Warning: Permanently added '54.145.143.79' (ECDSA) to the list of known hosts. Running: /usr/bin/copr-rpmbuild --verbose --drop-resultdir --build-id 1341786 --chroot fedora-31-x86_64 --detached Version: 0.38 Task: {'build_id': 1341786, 'buildroot_pkgs': [], 'chroot': 'fedora-31-x86_64', 'enable_net': True, 'fetch_sources_only': True, 'git_hash': 'f04f0b724899a9dee2711f8db9ce4638365416d7', 'git_repo': 'aimylios/electronics/yosys', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.9-3.fc31', 'project_dirname': 'electronics', 'project_name': 'electronics', 'project_owner': 'aimylios', 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/aimylios/electronics/fedora-31-x86_64/', 'id': 'copr_base', 'name': 'Copr repository'}], 'sandbox': 'aimylios/electronics--aimylios', 'source_json': {'clone_url': 'https://copr-dist-git.fedorainfracloud.org/git/aimylios/electronics/yosys.git', 'committish': 'f04f0b724899a9dee2711f8db9ce4638365416d7'}, 'source_type': 8, 'submitter': 'aimylios', 'task_id': '1341786-fedora-31-x86_64', 'timeout': 86400, 'use_bootstrap_container': True, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/aimylios/electronics/yosys.git /tmp/copr-rpmbuild-ly5ksejc/obtain-sources/yosys --depth 500 --no-single-branch cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/aimylios/electronics/yosys.git', '/tmp/copr-rpmbuild-ly5ksejc/obtain-sources/yosys', '--depth', '500', '--no-single-branch'] cwd: . rc: 0 stdout: stderr: Cloning into '/tmp/copr-rpmbuild-ly5ksejc/obtain-sources/yosys'... Running: git checkout f04f0b724899a9dee2711f8db9ce4638365416d7 cmd: ['git', 'checkout', 'f04f0b724899a9dee2711f8db9ce4638365416d7'] cwd: /tmp/copr-rpmbuild-ly5ksejc/obtain-sources/yosys rc: 0 stdout: stderr: Note: switching to 'f04f0b724899a9dee2711f8db9ce4638365416d7'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at f04f0b7 automatic import of yosys Running: cp -r . /tmp/copr-rpmbuild-ly5ksejc cmd: ['cp', '-r', '.', '/tmp/copr-rpmbuild-ly5ksejc'] cwd: /tmp/copr-rpmbuild-ly5ksejc/obtain-sources/yosys rc: 0 stdout: stderr: Generated rpkg config: [rpkg] preprocess_spec = True # auto-packing is deprecated: auto_pack = True [git] lookaside = https://copr-dist-git.fedorainfracloud.org/repo/pkgs/%(ns2)s/%(ns1)s/%(name)s/%(filename)s/%(hashtype)s/%(hash)s/%(filename)s anongiturl = https://copr-dist-git.fedorainfracloud.org/git/%(module)s Writing config into /tmp/copr-rpmbuild-ly5ksejc/obtain-sources/.config/rpkg.conf Running: rpkg -C /tmp/copr-rpmbuild-ly5ksejc/obtain-sources/.config/rpkg.conf sources --outdir /tmp/copr-rpmbuild-ly5ksejc /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated cmd: ['rpkg', '-C', '/tmp/copr-rpmbuild-ly5ksejc/obtain-sources/.config/rpkg.conf', 'sources', '--outdir', '/tmp/copr-rpmbuild-ly5ksejc'] cwd: /tmp/copr-rpmbuild-ly5ksejc/obtain-sources/yosys rc: 0 stdout: Downloading yosys-0.9.tar.gz from lookaside cache at copr-dist-git.fedorainfracloud.org Downloading yosys_0.9-1.debian.tar.xz from lookaside cache at copr-dist-git.fedorainfracloud.org stderr: Running (timeout=86400): unbuffer mock --buildsrpm --spec /tmp/copr-rpmbuild-ly5ksejc/yosys.spec --sources /tmp/copr-rpmbuild-ly5ksejc --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1587286378.648397 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 2.2 starting (python version = 3.7.6)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/tmp/copr-rpmbuild-ly5ksejc/yosys.spec) Config(fedora-31-x86_64) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-31-x86_64-bootstrap-1587286378.648397/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.2 INFO: Mock Version: 2.2 Start(bootstrap): dnf install No matches found for the following disable plugin patterns: local, spacewalk Copr repository 792 kB/s | 236 kB 00:00 fedora 19 MB/s | 71 MB 00:03 updates 17 MB/s | 24 MB 00:01 Dependencies resolved. ====================================================================================== Package Arch Version Repo Size ====================================================================================== Installing: dnf  noarch 4.2.21-1.fc31 updates 418 k dnf-plugins-core  noarch 4.0.15-1.fc31 updates 32 k Installing dependencies: alternatives  x86_64 1.11-5.fc31 fedora 35 k audit-libs  x86_64 3.0-0.15.20191104git1c2f876.fc31 updates 115 k basesystem  noarch 11-8.fc31 fedora 6.9 k bash  x86_64 5.0.11-1.fc31 updates 1.6 M brotli  x86_64 1.0.7-6.fc31 fedora 341 k bzip2-libs  x86_64 1.0.8-1.fc31 fedora 40 k ca-certificates  noarch 2020.2.40-1.1.fc31 updates 358 k coreutils  x86_64 8.31-9.fc31 updates 1.1 M coreutils-common  x86_64 8.31-9.fc31 updates 1.9 M crypto-policies  noarch 20191128-2.gitcd267a5.fc31 updates 97 k curl  x86_64 7.66.0-1.fc31 fedora 294 k cyrus-sasl-lib  x86_64 2.1.27-3.fc31 updates 101 k dnf-data  noarch 4.2.21-1.fc31 updates 50 k elfutils-default-yama-scope  noarch 0.179-1.fc31 updates 18 k elfutils-libelf  x86_64 0.179-1.fc31 updates 191 k elfutils-libs  x86_64 0.179-1.fc31 updates 267 k expat  x86_64 2.2.8-1.fc31 fedora 102 k fedora-gpg-keys  noarch 31-3 updates 101 k fedora-release  noarch 31-3 updates 12 k fedora-release-common  noarch 31-3 updates 20 k fedora-repos  noarch 31-3 updates 9.7 k file-libs  x86_64 5.37-8.fc31 updates 541 k filesystem  x86_64 3.12-2.fc31 fedora 1.1 M gawk  x86_64 5.0.1-5.fc31 fedora 1.2 M gdbm-libs  x86_64 1:1.18.1-1.fc31 fedora 55 k glib2  x86_64 2.62.6-1.fc31 updates 2.6 M glibc  x86_64 2.30-11.fc31 updates 3.5 M glibc-all-langpacks  x86_64 2.30-11.fc31 updates 18 M glibc-common  x86_64 2.30-11.fc31 updates 638 k gmp  x86_64 1:6.1.2-10.fc31 fedora 265 k gnupg2  x86_64 2.2.18-2.fc31 updates 2.4 M gnutls  x86_64 3.6.13-1.fc31 updates 984 k gpgme  x86_64 1.13.1-3.fc31 fedora 203 k grep  x86_64 3.3-3.fc31 fedora 249 k ima-evm-utils  x86_64 1.2.1-2.fc31 fedora 57 k json-c  x86_64 0.13.1-8.fc31 updates 38 k keyutils-libs  x86_64 1.6-3.fc31 fedora 31 k krb5-libs  x86_64 1.17-46.fc31 updates 752 k libacl  x86_64 2.2.53-4.fc31 fedora 25 k libarchive  x86_64 3.4.2-1.fc31 updates 384 k libassuan  x86_64 2.5.3-2.fc31 fedora 69 k libattr  x86_64 2.4.48-7.fc31 fedora 18 k libblkid  x86_64 2.34-4.fc31 updates 158 k libcap  x86_64 2.26-6.fc31 fedora 52 k libcap-ng  x86_64 0.7.10-1.fc31 updates 28 k libcom_err  x86_64 1.45.5-1.fc31 updates 28 k libcomps  x86_64 0.1.15-1.fc31 updates 80 k libcurl  x86_64 7.66.0-1.fc31 fedora 269 k libdb  x86_64 5.3.28-38.fc31 fedora 763 k libdb-utils  x86_64 5.3.28-38.fc31 fedora 143 k libdnf  x86_64 0.47.0-1.fc31 updates 641 k libffi  x86_64 3.1-23.fc31 fedora 33 k libgcc  x86_64 9.3.1-2.fc31 updates 102 k libgcrypt  x86_64 1.8.5-1.fc31 fedora 466 k libgomp  x86_64 9.3.1-2.fc31 updates 238 k libgpg-error  x86_64 1.36-2.fc31 fedora 200 k libidn2  x86_64 2.3.0-1.fc31 updates 104 k libksba  x86_64 1.3.5-10.fc31 fedora 132 k libmetalink  x86_64 0.1.3-9.fc31 fedora 31 k libmodulemd  x86_64 2.9.3-1.fc31 updates 198 k libmount  x86_64 2.34-4.fc31 updates 184 k libnghttp2  x86_64 1.40.0-1.fc31 updates 78 k libnsl2  x86_64 1.2.0-5.20180605git4a062cf.fc31 fedora 59 k libpsl  x86_64 0.21.0-2.fc31 fedora 61 k librepo  x86_64 1.11.3-1.fc31 updates 96 k libreport-filesystem  noarch 2.12.0-1.fc31 updates 15 k libselinux  x86_64 2.9-5.fc31 fedora 86 k libsepol  x86_64 2.9-2.fc31 fedora 301 k libsigsegv  x86_64 2.11-8.fc31 fedora 26 k libsmartcols  x86_64 2.34-4.fc31 updates 126 k libsolv  x86_64 0.7.11-1.fc31 updates 396 k libssh  x86_64 0.9.4-2.fc31 updates 213 k libssh-config  noarch 0.9.4-2.fc31 updates 11 k libstdc++  x86_64 9.3.1-2.fc31 updates 634 k libtasn1  x86_64 4.14-2.fc31 fedora 72 k libtirpc  x86_64 1.2.5-1.rc2.fc31 updates 98 k libunistring  x86_64 0.9.10-6.fc31 fedora 513 k libusbx  x86_64 1.0.22-4.fc31 fedora 69 k libuuid  x86_64 2.34-4.fc31 updates 27 k libverto  x86_64 0.3.0-8.fc31 fedora 22 k libxcrypt  x86_64 4.4.16-1.fc31 updates 126 k libxml2  x86_64 2.9.10-3.fc31 updates 692 k libyaml  x86_64 0.2.2-2.fc31 fedora 60 k libzstd  x86_64 1.4.4-1.fc31 updates 272 k lua-libs  x86_64 5.3.5-6.fc31 fedora 117 k lz4-libs  x86_64 1.9.1-1.fc31 fedora 66 k mpfr  x86_64 3.1.6-5.fc31 fedora 220 k ncurses  x86_64 6.1-12.20190803.fc31 fedora 398 k ncurses-base  noarch 6.1-12.20190803.fc31 fedora 60 k ncurses-libs  x86_64 6.1-12.20190803.fc31 fedora 331 k nettle  x86_64 3.5.1-3.fc31 fedora 404 k npth  x86_64 1.6-3.fc31 fedora 25 k openldap  x86_64 2.4.47-3.fc31 fedora 304 k openssl  x86_64 1:1.1.1d-2.fc31 fedora 647 k openssl-libs  x86_64 1:1.1.1d-2.fc31 fedora 1.4 M p11-kit  x86_64 0.23.20-1.fc31 updates 341 k p11-kit-trust  x86_64 0.23.20-1.fc31 updates 141 k pcre  x86_64 8.44-1.fc31 updates 192 k pcre2  x86_64 10.34-9.fc31 updates 225 k pcre2-syntax  noarch 10.34-9.fc31 updates 140 k popt  x86_64 1.16-18.fc31 fedora 59 k publicsuffix-list-dafsa  noarch 20190417-2.fc31 fedora 56 k python-pip-wheel  noarch 19.1.1-7.fc31 updates 1.2 M python-setuptools-wheel  noarch 41.6.0-1.fc31 updates 281 k python3  x86_64 3.7.6-2.fc31 updates 30 k python3-dateutil  noarch 1:2.8.0-3.fc31 fedora 290 k python3-distro  noarch 1.4.0-2.fc31 fedora 35 k python3-dnf  noarch 4.2.21-1.fc31 updates 406 k python3-dnf-plugins-core  noarch 4.0.15-1.fc31 updates 184 k python3-gpg  x86_64 1.13.1-3.fc31 fedora 248 k python3-hawkey  x86_64 0.47.0-1.fc31 updates 113 k python3-libcomps  x86_64 0.1.15-1.fc31 updates 50 k python3-libdnf  x86_64 0.47.0-1.fc31 updates 772 k python3-libs  x86_64 3.7.6-2.fc31 updates 7.3 M python3-rpm  x86_64 4.15.1-1.fc31 updates 95 k python3-setuptools  noarch 41.6.0-1.fc31 updates 585 k python3-six  noarch 1.12.0-2.fc31 fedora 35 k readline  x86_64 8.0-3.fc31 fedora 206 k rpm  x86_64 4.15.1-1.fc31 updates 499 k rpm-build-libs  x86_64 4.15.1-1.fc31 updates 97 k rpm-libs  x86_64 4.15.1-1.fc31 updates 300 k rpm-sign-libs  x86_64 4.15.1-1.fc31 updates 26 k sed  x86_64 4.5-4.fc31 fedora 291 k setup  noarch 2.13.6-1.fc31 updates 142 k sqlite-libs  x86_64 3.30.0-1.fc31 updates 571 k systemd-libs  x86_64 243.8-1.fc31 updates 523 k tss2  x86_64 1331-2.fc31 fedora 577 k tzdata  noarch 2019c-2.fc31 updates 429 k xz-libs  x86_64 5.2.4-6.fc31 fedora 90 k zchunk-libs  x86_64 1.1.5-1.fc31 updates 51 k zlib  x86_64 1.2.11-20.fc31 updates 92 k Transaction Summary ====================================================================================== Install 133 Packages Total download size: 68 M Installed size: 392 M Downloading Packages: (1/133): basesystem-11-8.fc31.noarch.rpm 257 kB/s | 6.9 kB 00:00 (2/133): alternatives-1.11-5.fc31.x86_64.rpm 1.2 MB/s | 35 kB 00:00 (3/133): bzip2-libs-1.0.8-1.fc31.x86_64.rpm 15 MB/s | 40 kB 00:00 (4/133): brotli-1.0.7-6.fc31.x86_64.rpm 9.0 MB/s | 341 kB 00:00 (5/133): curl-7.66.0-1.fc31.x86_64.rpm 21 MB/s | 294 kB 00:00 (6/133): expat-2.2.8-1.fc31.x86_64.rpm 5.7 MB/s | 102 kB 00:00 (7/133): filesystem-3.12-2.fc31.x86_64.rpm 59 MB/s | 1.1 MB 00:00 (8/133): gawk-5.0.1-5.fc31.x86_64.rpm 72 MB/s | 1.2 MB 00:00 (9/133): gdbm-libs-1.18.1-1.fc31.x86_64.rpm 7.4 MB/s | 55 kB 00:00 (10/133): gmp-6.1.2-10.fc31.x86_64.rpm 30 MB/s | 265 kB 00:00 (11/133): grep-3.3-3.fc31.x86_64.rpm 52 MB/s | 249 kB 00:00 (12/133): ima-evm-utils-1.2.1-2.fc31.x86_64.rpm 9.4 MB/s | 57 kB 00:00 (13/133): gpgme-1.13.1-3.fc31.x86_64.rpm 19 MB/s | 203 kB 00:00 (14/133): keyutils-libs-1.6-3.fc31.x86_64.rpm 7.9 MB/s | 31 kB 00:00 (15/133): libacl-2.2.53-4.fc31.x86_64.rpm 7.2 MB/s | 25 kB 00:00 (16/133): libcap-2.26-6.fc31.x86_64.rpm 18 MB/s | 52 kB 00:00 (17/133): libattr-2.4.48-7.fc31.x86_64.rpm 4.6 MB/s | 18 kB 00:00 (18/133): libassuan-2.5.3-2.fc31.x86_64.rpm 9.9 MB/s | 69 kB 00:00 (19/133): libcurl-7.66.0-1.fc31.x86_64.rpm 34 MB/s | 269 kB 00:00 (20/133): libdb-5.3.28-38.fc31.x86_64.rpm 73 MB/s | 763 kB 00:00 (21/133): libdb-utils-5.3.28-38.fc31.x86_64.rpm 20 MB/s | 143 kB 00:00 (22/133): libffi-3.1-23.fc31.x86_64.rpm 7.9 MB/s | 33 kB 00:00 (23/133): libgcrypt-1.8.5-1.fc31.x86_64.rpm 69 MB/s | 466 kB 00:00 (24/133): libgpg-error-1.36-2.fc31.x86_64.rpm 25 MB/s | 200 kB 00:00 (25/133): libmetalink-0.1.3-9.fc31.x86_64.rpm 13 MB/s | 31 kB 00:00 (26/133): libnsl2-1.2.0-5.20180605git4a062cf.fc 19 MB/s | 59 kB 00:00 (27/133): libksba-1.3.5-10.fc31.x86_64.rpm 20 MB/s | 132 kB 00:00 (28/133): libpsl-0.21.0-2.fc31.x86_64.rpm 13 MB/s | 61 kB 00:00 (29/133): libselinux-2.9-5.fc31.x86_64.rpm 26 MB/s | 86 kB 00:00 (30/133): libsigsegv-2.11-8.fc31.x86_64.rpm 9.1 MB/s | 26 kB 00:00 (31/133): libsepol-2.9-2.fc31.x86_64.rpm 50 MB/s | 301 kB 00:00 (32/133): libtasn1-4.14-2.fc31.x86_64.rpm 11 MB/s | 72 kB 00:00 (33/133): libunistring-0.9.10-6.fc31.x86_64.rpm 71 MB/s | 513 kB 00:00 (34/133): libverto-0.3.0-8.fc31.x86_64.rpm 6.4 MB/s | 22 kB 00:00 (35/133): libusbx-1.0.22-4.fc31.x86_64.rpm 13 MB/s | 69 kB 00:00 (36/133): libyaml-0.2.2-2.fc31.x86_64.rpm 6.6 MB/s | 60 kB 00:00 (37/133): lua-libs-5.3.5-6.fc31.x86_64.rpm 11 MB/s | 117 kB 00:00 (38/133): lz4-libs-1.9.1-1.fc31.x86_64.rpm 7.0 MB/s | 66 kB 00:00 (39/133): mpfr-3.1.6-5.fc31.x86_64.rpm 47 MB/s | 220 kB 00:00 (40/133): ncurses-6.1-12.20190803.fc31.x86_64.r 63 MB/s | 398 kB 00:00 (41/133): ncurses-base-6.1-12.20190803.fc31.noa 8.8 MB/s | 60 kB 00:00 (42/133): ncurses-libs-6.1-12.20190803.fc31.x86 47 MB/s | 331 kB 00:00 (43/133): npth-1.6-3.fc31.x86_64.rpm 4.6 MB/s | 25 kB 00:00 (44/133): openldap-2.4.47-3.fc31.x86_64.rpm 71 MB/s | 304 kB 00:00 (45/133): openssl-libs-1.1.1d-2.fc31.x86_64.rpm 106 MB/s | 1.4 MB 00:00 (46/133): popt-1.16-18.fc31.x86_64.rpm 22 MB/s | 59 kB 00:00 (47/133): openssl-1.1.1d-2.fc31.x86_64.rpm 25 MB/s | 647 kB 00:00 (48/133): publicsuffix-list-dafsa-20190417-2.fc 11 MB/s | 56 kB 00:00 (49/133): python3-distro-1.4.0-2.fc31.noarch.rp 7.4 MB/s | 35 kB 00:00 (50/133): nettle-3.5.1-3.fc31.x86_64.rpm 8.7 MB/s | 404 kB 00:00 (51/133): python3-dateutil-2.8.0-3.fc31.noarch. 17 MB/s | 290 kB 00:00 (52/133): python3-gpg-1.13.1-3.fc31.x86_64.rpm 19 MB/s | 248 kB 00:00 (53/133): python3-six-1.12.0-2.fc31.noarch.rpm 6.8 MB/s | 35 kB 00:00 (54/133): readline-8.0-3.fc31.x86_64.rpm 33 MB/s | 206 kB 00:00 (55/133): sed-4.5-4.fc31.x86_64.rpm 43 MB/s | 291 kB 00:00 (56/133): xz-libs-5.2.4-6.fc31.x86_64.rpm 27 MB/s | 90 kB 00:00 (57/133): audit-libs-3.0-0.15.20191104git1c2f87 38 MB/s | 115 kB 00:00 (58/133): bash-5.0.11-1.fc31.x86_64.rpm 90 MB/s | 1.6 MB 00:00 (59/133): ca-certificates-2020.2.40-1.1.fc31.no 18 MB/s | 358 kB 00:00 (60/133): tss2-1331-2.fc31.x86_64.rpm 14 MB/s | 577 kB 00:00 (61/133): coreutils-8.31-9.fc31.x86_64.rpm 68 MB/s | 1.1 MB 00:00 (62/133): coreutils-common-8.31-9.fc31.x86_64.r 81 MB/s | 1.9 MB 00:00 (63/133): crypto-policies-20191128-2.gitcd267a5 9.0 MB/s | 97 kB 00:00 (64/133): cyrus-sasl-lib-2.1.27-3.fc31.x86_64.r 8.6 MB/s | 101 kB 00:00 (65/133): dnf-4.2.21-1.fc31.noarch.rpm 59 MB/s | 418 kB 00:00 (66/133): dnf-data-4.2.21-1.fc31.noarch.rpm 11 MB/s | 50 kB 00:00 (67/133): dnf-plugins-core-4.0.15-1.fc31.noarch 6.2 MB/s | 32 kB 00:00 (68/133): elfutils-default-yama-scope-0.179-1.f 7.2 MB/s | 18 kB 00:00 (69/133): elfutils-libelf-0.179-1.fc31.x86_64.r 37 MB/s | 191 kB 00:00 (70/133): elfutils-libs-0.179-1.fc31.x86_64.rpm 41 MB/s | 267 kB 00:00 (71/133): fedora-gpg-keys-31-3.noarch.rpm 16 MB/s | 101 kB 00:00 (72/133): fedora-release-31-3.noarch.rpm 4.7 MB/s | 12 kB 00:00 (73/133): fedora-release-common-31-3.noarch.rpm 5.9 MB/s | 20 kB 00:00 (74/133): fedora-repos-31-3.noarch.rpm 2.6 MB/s | 9.7 kB 00:00 (75/133): file-libs-5.37-8.fc31.x86_64.rpm 21 MB/s | 541 kB 00:00 (76/133): glibc-2.30-11.fc31.x86_64.rpm 84 MB/s | 3.5 MB 00:00 (77/133): glib2-2.62.6-1.fc31.x86_64.rpm 43 MB/s | 2.6 MB 00:00 (78/133): glibc-common-2.30-11.fc31.x86_64.rpm 36 MB/s | 638 kB 00:00 (79/133): gnutls-3.6.13-1.fc31.x86_64.rpm 97 MB/s | 984 kB 00:00 (80/133): json-c-0.13.1-8.fc31.x86_64.rpm 9.2 MB/s | 38 kB 00:00 (81/133): krb5-libs-1.17-46.fc31.x86_64.rpm 94 MB/s | 752 kB 00:00 (82/133): libarchive-3.4.2-1.fc31.x86_64.rpm 59 MB/s | 384 kB 00:00 (83/133): libblkid-2.34-4.fc31.x86_64.rpm 44 MB/s | 158 kB 00:00 (84/133): libcap-ng-0.7.10-1.fc31.x86_64.rpm 10 MB/s | 28 kB 00:00 (85/133): libcom_err-1.45.5-1.fc31.x86_64.rpm 12 MB/s | 28 kB 00:00 (86/133): libcomps-0.1.15-1.fc31.x86_64.rpm 15 MB/s | 80 kB 00:00 (87/133): libdnf-0.47.0-1.fc31.x86_64.rpm 90 MB/s | 641 kB 00:00 (88/133): libgcc-9.3.1-2.fc31.x86_64.rpm 35 MB/s | 102 kB 00:00 (89/133): libgomp-9.3.1-2.fc31.x86_64.rpm 62 MB/s | 238 kB 00:00 (90/133): libidn2-2.3.0-1.fc31.x86_64.rpm 35 MB/s | 104 kB 00:00 (91/133): libmodulemd-2.9.3-1.fc31.x86_64.rpm 20 MB/s | 198 kB 00:00 (92/133): libmount-2.34-4.fc31.x86_64.rpm 51 MB/s | 184 kB 00:00 (93/133): libnghttp2-1.40.0-1.fc31.x86_64.rpm 30 MB/s | 78 kB 00:00 (94/133): librepo-1.11.3-1.fc31.x86_64.rpm 35 MB/s | 96 kB 00:00 (95/133): gnupg2-2.2.18-2.fc31.x86_64.rpm 22 MB/s | 2.4 MB 00:00 (96/133): libreport-filesystem-2.12.0-1.fc31.no 1.2 MB/s | 15 kB 00:00 (97/133): libsmartcols-2.34-4.fc31.x86_64.rpm 39 MB/s | 126 kB 00:00 (98/133): libsolv-0.7.11-1.fc31.x86_64.rpm 70 MB/s | 396 kB 00:00 (99/133): libssh-0.9.4-2.fc31.x86_64.rpm 32 MB/s | 213 kB 00:00 (100/133): libssh-config-0.9.4-2.fc31.noarch.rp 3.9 MB/s | 11 kB 00:00 (101/133): libstdc++-9.3.1-2.fc31.x86_64.rpm 93 MB/s | 634 kB 00:00 (102/133): libtirpc-1.2.5-1.rc2.fc31.x86_64.rpm 13 MB/s | 98 kB 00:00 (103/133): libuuid-2.34-4.fc31.x86_64.rpm 6.4 MB/s | 27 kB 00:00 (104/133): libxcrypt-4.4.16-1.fc31.x86_64.rpm 26 MB/s | 126 kB 00:00 (105/133): libxml2-2.9.10-3.fc31.x86_64.rpm 89 MB/s | 692 kB 00:00 (106/133): libzstd-1.4.4-1.fc31.x86_64.rpm 30 MB/s | 272 kB 00:00 (107/133): p11-kit-0.23.20-1.fc31.x86_64.rpm 62 MB/s | 341 kB 00:00 (108/133): p11-kit-trust-0.23.20-1.fc31.x86_64. 34 MB/s | 141 kB 00:00 (109/133): pcre-8.44-1.fc31.x86_64.rpm 54 MB/s | 192 kB 00:00 (110/133): pcre2-10.34-9.fc31.x86_64.rpm 51 MB/s | 225 kB 00:00 (111/133): pcre2-syntax-10.34-9.fc31.noarch.rpm 31 MB/s | 140 kB 00:00 (112/133): python-pip-wheel-19.1.1-7.fc31.noarc 100 MB/s | 1.2 MB 00:00 (113/133): python-setuptools-wheel-41.6.0-1.fc3 32 MB/s | 281 kB 00:00 (114/133): python3-3.7.6-2.fc31.x86_64.rpm 8.7 MB/s | 30 kB 00:00 (115/133): python3-dnf-4.2.21-1.fc31.noarch.rpm 75 MB/s | 406 kB 00:00 (116/133): python3-dnf-plugins-core-4.0.15-1.fc 28 MB/s | 184 kB 00:00 (117/133): python3-hawkey-0.47.0-1.fc31.x86_64. 33 MB/s | 113 kB 00:00 (118/133): python3-libdnf-0.47.0-1.fc31.x86_64. 98 MB/s | 772 kB 00:00 (119/133): python3-libcomps-0.1.15-1.fc31.x86_6 4.5 MB/s | 50 kB 00:00 (120/133): python3-libs-3.7.6-2.fc31.x86_64.rpm 106 MB/s | 7.3 MB 00:00 (121/133): python3-rpm-4.15.1-1.fc31.x86_64.rpm 1.3 MB/s | 95 kB 00:00 (122/133): python3-setuptools-41.6.0-1.fc31.noa 54 MB/s | 585 kB 00:00 (123/133): rpm-4.15.1-1.fc31.x86_64.rpm 59 MB/s | 499 kB 00:00 (124/133): rpm-build-libs-4.15.1-1.fc31.x86_64. 22 MB/s | 97 kB 00:00 (125/133): rpm-libs-4.15.1-1.fc31.x86_64.rpm 69 MB/s | 300 kB 00:00 (126/133): rpm-sign-libs-4.15.1-1.fc31.x86_64.r 7.9 MB/s | 26 kB 00:00 (127/133): setup-2.13.6-1.fc31.noarch.rpm 42 MB/s | 142 kB 00:00 (128/133): sqlite-libs-3.30.0-1.fc31.x86_64.rpm 74 MB/s | 571 kB 00:00 (129/133): systemd-libs-243.8-1.fc31.x86_64.rpm 62 MB/s | 523 kB 00:00 (130/133): tzdata-2019c-2.fc31.noarch.rpm 60 MB/s | 429 kB 00:00 (131/133): zchunk-libs-1.1.5-1.fc31.x86_64.rpm 11 MB/s | 51 kB 00:00 (132/133): zlib-1.2.11-20.fc31.x86_64.rpm 30 MB/s | 92 kB 00:00 (133/133): glibc-all-langpacks-2.30-11.fc31.x86 23 MB/s | 18 MB 00:00 -------------------------------------------------------------------------------- Total 52 MB/s | 68 MB 00:01 warning: Generating 18 missing index(es), please wait... warning: /var/lib/mock/fedora-31-x86_64-bootstrap-1587286378.648397/root/var/cache/dnf/fedora-3589ee8a7ee1691d/packages/alternatives-1.11-5.fc31.x86_64.rpm: Header V3 RSA/SHA256 Signature, key ID 3c3359c4: NOKEY fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x3C3359C4: Userid : "Fedora (31) " Fingerprint: 7D22 D586 7F2A 4236 474B F7B8 50CB 390B 3C33 59C4 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-31-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.12-2.fc31.x86_64 1/1 Preparing : 1/1 Installing : libgcc-9.3.1-2.fc31.x86_64 1/133 Running scriptlet: libgcc-9.3.1-2.fc31.x86_64 1/133 Installing : tzdata-2019c-2.fc31.noarch 2/133 Installing : python-setuptools-wheel-41.6.0-1.fc31.noarch 3/133 Installing : pcre2-syntax-10.34-9.fc31.noarch 4/133 Installing : libssh-config-0.9.4-2.fc31.noarch 5/133 Installing : libreport-filesystem-2.12.0-1.fc31.noarch 6/133 Installing : dnf-data-4.2.21-1.fc31.noarch 7/133 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : fedora-gpg-keys-31-3.noarch 8/133 Installing : fedora-release-31-3.noarch 9/133 Installing : fedora-repos-31-3.noarch 10/133 Installing : fedora-release-common-31-3.noarch 11/133 Installing : setup-2.13.6-1.fc31.noarch 12/133 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.13.6-1.fc31.noarch 12/133 Installing : filesystem-3.12-2.fc31.x86_64 13/133 Installing : basesystem-11-8.fc31.noarch 14/133 Installing : coreutils-common-8.31-9.fc31.x86_64 15/133 Installing : publicsuffix-list-dafsa-20190417-2.fc31.noarch 16/133 Installing : ncurses-base-6.1-12.20190803.fc31.noarch 17/133 Installing : pcre2-10.34-9.fc31.x86_64 18/133 Installing : libselinux-2.9-5.fc31.x86_64 19/133 Installing : ncurses-libs-6.1-12.20190803.fc31.x86_64 20/133 Installing : glibc-all-langpacks-2.30-11.fc31.x86_64 21/133 Installing : glibc-common-2.30-11.fc31.x86_64 22/133 Running scriptlet: glibc-2.30-11.fc31.x86_64 23/133 Installing : glibc-2.30-11.fc31.x86_64 23/133 Running scriptlet: glibc-2.30-11.fc31.x86_64 23/133 Installing : bash-5.0.11-1.fc31.x86_64 24/133 Running scriptlet: bash-5.0.11-1.fc31.x86_64 24/133 Installing : libsepol-2.9-2.fc31.x86_64 25/133 Running scriptlet: libsepol-2.9-2.fc31.x86_64 25/133 Installing : zlib-1.2.11-20.fc31.x86_64 26/133 Installing : xz-libs-5.2.4-6.fc31.x86_64 27/133 Installing : bzip2-libs-1.0.8-1.fc31.x86_64 28/133 Installing : libzstd-1.4.4-1.fc31.x86_64 29/133 Installing : libdb-5.3.28-38.fc31.x86_64 30/133 Installing : gmp-1:6.1.2-10.fc31.x86_64 31/133 Installing : libcap-2.26-6.fc31.x86_64 32/133 Installing : libgpg-error-1.36-2.fc31.x86_64 33/133 Installing : popt-1.16-18.fc31.x86_64 34/133 Installing : libxml2-2.9.10-3.fc31.x86_64 35/133 Installing : lua-libs-5.3.5-6.fc31.x86_64 36/133 Installing : libcom_err-1.45.5-1.fc31.x86_64 37/133 Installing : elfutils-libelf-0.179-1.fc31.x86_64 38/133 Installing : file-libs-5.37-8.fc31.x86_64 39/133 Installing : sqlite-libs-3.30.0-1.fc31.x86_64 40/133 Installing : expat-2.2.8-1.fc31.x86_64 41/133 Installing : libffi-3.1-23.fc31.x86_64 42/133 Installing : p11-kit-0.23.20-1.fc31.x86_64 43/133 Installing : libunistring-0.9.10-6.fc31.x86_64 44/133 Installing : libidn2-2.3.0-1.fc31.x86_64 45/133 Installing : readline-8.0-3.fc31.x86_64 46/133 Installing : libstdc++-9.3.1-2.fc31.x86_64 47/133 Installing : libuuid-2.34-4.fc31.x86_64 48/133 Installing : libassuan-2.5.3-2.fc31.x86_64 49/133 Installing : libgcrypt-1.8.5-1.fc31.x86_64 50/133 Installing : keyutils-libs-1.6-3.fc31.x86_64 51/133 Installing : libattr-2.4.48-7.fc31.x86_64 52/133 Installing : libacl-2.2.53-4.fc31.x86_64 53/133 Installing : sed-4.5-4.fc31.x86_64 54/133 Installing : libtasn1-4.14-2.fc31.x86_64 55/133 Installing : lz4-libs-1.9.1-1.fc31.x86_64 56/133 Installing : libsmartcols-2.34-4.fc31.x86_64 57/133 Installing : libxcrypt-4.4.16-1.fc31.x86_64 58/133 Installing : pcre-8.44-1.fc31.x86_64 59/133 Installing : grep-3.3-3.fc31.x86_64 60/133 Installing : libpsl-0.21.0-2.fc31.x86_64 61/133 Installing : libmetalink-0.1.3-9.fc31.x86_64 62/133 Installing : libcomps-0.1.15-1.fc31.x86_64 63/133 Installing : libksba-1.3.5-10.fc31.x86_64 64/133 Installing : mpfr-3.1.6-5.fc31.x86_64 65/133 Installing : nettle-3.5.1-3.fc31.x86_64 66/133 Installing : libdb-utils-5.3.28-38.fc31.x86_64 67/133 Installing : elfutils-default-yama-scope-0.179-1.fc31.noarch 68/133 Running scriptlet: elfutils-default-yama-scope-0.179-1.fc31.noarch 68/133 Installing : elfutils-libs-0.179-1.fc31.x86_64 69/133 Installing : alternatives-1.11-5.fc31.x86_64 70/133 Installing : p11-kit-trust-0.23.20-1.fc31.x86_64 71/133 Running scriptlet: p11-kit-trust-0.23.20-1.fc31.x86_64 71/133 Installing : brotli-1.0.7-6.fc31.x86_64 72/133 Installing : gdbm-libs-1:1.18.1-1.fc31.x86_64 73/133 Installing : libsigsegv-2.11-8.fc31.x86_64 74/133 Installing : gawk-5.0.1-5.fc31.x86_64 75/133 Installing : libverto-0.3.0-8.fc31.x86_64 76/133 Installing : libyaml-0.2.2-2.fc31.x86_64 77/133 Installing : ncurses-6.1-12.20190803.fc31.x86_64 78/133 Installing : krb5-libs-1.17-46.fc31.x86_64 79/133 Installing : libtirpc-1.2.5-1.rc2.fc31.x86_64 80/133 Installing : libnsl2-1.2.0-5.20180605git4a062cf.fc31.x86_64 81/133 Installing : python-pip-wheel-19.1.1-7.fc31.noarch 82/133 Installing : openssl-libs-1:1.1.1d-2.fc31.x86_64 83/133 Installing : coreutils-8.31-9.fc31.x86_64 84/133 Running scriptlet: ca-certificates-2020.2.40-1.1.fc31.noarch 85/133 Installing : ca-certificates-2020.2.40-1.1.fc31.noarch 85/133 Running scriptlet: ca-certificates-2020.2.40-1.1.fc31.noarch 85/133 Installing : python3-setuptools-41.6.0-1.fc31.noarch 86/133 Installing : python3-libs-3.7.6-2.fc31.x86_64 87/133 Installing : python3-3.7.6-2.fc31.x86_64 88/133 Installing : crypto-policies-20191128-2.gitcd267a5.fc31.noarc 89/133 Installing : zchunk-libs-1.1.5-1.fc31.x86_64 90/133 Installing : gnutls-3.6.13-1.fc31.x86_64 91/133 Installing : libssh-0.9.4-2.fc31.x86_64 92/133 Installing : python3-distro-1.4.0-2.fc31.noarch 93/133 Installing : python3-six-1.12.0-2.fc31.noarch 94/133 Installing : python3-dateutil-1:2.8.0-3.fc31.noarch 95/133 Installing : python3-libcomps-0.1.15-1.fc31.x86_64 96/133 Installing : openssl-1:1.1.1d-2.fc31.x86_64 97/133 Installing : tss2-1331-2.fc31.x86_64 98/133 Installing : ima-evm-utils-1.2.1-2.fc31.x86_64 99/133 Installing : libblkid-2.34-4.fc31.x86_64 100/133 Running scriptlet: libblkid-2.34-4.fc31.x86_64 100/133 Installing : libmount-2.34-4.fc31.x86_64 101/133 Installing : glib2-2.62.6-1.fc31.x86_64 102/133 Installing : systemd-libs-243.8-1.fc31.x86_64 103/133 Running scriptlet: systemd-libs-243.8-1.fc31.x86_64 103/133 Installing : libusbx-1.0.22-4.fc31.x86_64 104/133 Installing : libarchive-3.4.2-1.fc31.x86_64 105/133 Installing : cyrus-sasl-lib-2.1.27-3.fc31.x86_64 106/133 Installing : openldap-2.4.47-3.fc31.x86_64 107/133 Installing : npth-1.6-3.fc31.x86_64 108/133 Installing : gnupg2-2.2.18-2.fc31.x86_64 109/133 Installing : gpgme-1.13.1-3.fc31.x86_64 110/133 Installing : python3-gpg-1.13.1-3.fc31.x86_64 111/133 Installing : json-c-0.13.1-8.fc31.x86_64 112/133 Installing : libcap-ng-0.7.10-1.fc31.x86_64 113/133 Installing : audit-libs-3.0-0.15.20191104git1c2f876.fc31.x86_ 114/133 Installing : libgomp-9.3.1-2.fc31.x86_64 115/133 Installing : libnghttp2-1.40.0-1.fc31.x86_64 116/133 Installing : libcurl-7.66.0-1.fc31.x86_64 117/133 Installing : curl-7.66.0-1.fc31.x86_64 118/133 Installing : rpm-libs-4.15.1-1.fc31.x86_64 119/133 Installing : rpm-4.15.1-1.fc31.x86_64 120/133 Installing : libmodulemd-2.9.3-1.fc31.x86_64 121/133 Installing : libsolv-0.7.11-1.fc31.x86_64 122/133 Installing : rpm-build-libs-4.15.1-1.fc31.x86_64 123/133 Installing : rpm-sign-libs-4.15.1-1.fc31.x86_64 124/133 Installing : python3-rpm-4.15.1-1.fc31.x86_64 125/133 Installing : librepo-1.11.3-1.fc31.x86_64 126/133 Installing : libdnf-0.47.0-1.fc31.x86_64 127/133 Installing : python3-libdnf-0.47.0-1.fc31.x86_64 128/133 Installing : python3-hawkey-0.47.0-1.fc31.x86_64 129/133 Installing : python3-dnf-4.2.21-1.fc31.noarch 130/133 Installing : python3-dnf-plugins-core-4.0.15-1.fc31.noarch 131/133 Installing : dnf-plugins-core-4.0.15-1.fc31.noarch 132/133 Installing : dnf-4.2.21-1.fc31.noarch 133/133 Running scriptlet: dnf-4.2.21-1.fc31.noarch 133/133 Running scriptlet: fedora-release-common-31-3.noarch 133/133 Running scriptlet: filesystem-3.12-2.fc31.x86_64 133/133 Running scriptlet: glibc-all-langpacks-2.30-11.fc31.x86_64 133/133 Running scriptlet: crypto-policies-20191128-2.gitcd267a5.fc31.noarc 133/133 Running scriptlet: dnf-4.2.21-1.fc31.noarch 133/133 Verifying : alternatives-1.11-5.fc31.x86_64 1/133 Verifying : basesystem-11-8.fc31.noarch 2/133 Verifying : brotli-1.0.7-6.fc31.x86_64 3/133 Verifying : bzip2-libs-1.0.8-1.fc31.x86_64 4/133 Verifying : curl-7.66.0-1.fc31.x86_64 5/133 Verifying : expat-2.2.8-1.fc31.x86_64 6/133 Verifying : filesystem-3.12-2.fc31.x86_64 7/133 Verifying : gawk-5.0.1-5.fc31.x86_64 8/133 Verifying : gdbm-libs-1:1.18.1-1.fc31.x86_64 9/133 Verifying : gmp-1:6.1.2-10.fc31.x86_64 10/133 Verifying : gpgme-1.13.1-3.fc31.x86_64 11/133 Verifying : grep-3.3-3.fc31.x86_64 12/133 Verifying : ima-evm-utils-1.2.1-2.fc31.x86_64 13/133 Verifying : keyutils-libs-1.6-3.fc31.x86_64 14/133 Verifying : libacl-2.2.53-4.fc31.x86_64 15/133 Verifying : libassuan-2.5.3-2.fc31.x86_64 16/133 Verifying : libattr-2.4.48-7.fc31.x86_64 17/133 Verifying : libcap-2.26-6.fc31.x86_64 18/133 Verifying : libcurl-7.66.0-1.fc31.x86_64 19/133 Verifying : libdb-5.3.28-38.fc31.x86_64 20/133 Verifying : libdb-utils-5.3.28-38.fc31.x86_64 21/133 Verifying : libffi-3.1-23.fc31.x86_64 22/133 Verifying : libgcrypt-1.8.5-1.fc31.x86_64 23/133 Verifying : libgpg-error-1.36-2.fc31.x86_64 24/133 Verifying : libksba-1.3.5-10.fc31.x86_64 25/133 Verifying : libmetalink-0.1.3-9.fc31.x86_64 26/133 Verifying : libnsl2-1.2.0-5.20180605git4a062cf.fc31.x86_64 27/133 Verifying : libpsl-0.21.0-2.fc31.x86_64 28/133 Verifying : libselinux-2.9-5.fc31.x86_64 29/133 Verifying : libsepol-2.9-2.fc31.x86_64 30/133 Verifying : libsigsegv-2.11-8.fc31.x86_64 31/133 Verifying : libtasn1-4.14-2.fc31.x86_64 32/133 Verifying : libunistring-0.9.10-6.fc31.x86_64 33/133 Verifying : libusbx-1.0.22-4.fc31.x86_64 34/133 Verifying : libverto-0.3.0-8.fc31.x86_64 35/133 Verifying : libyaml-0.2.2-2.fc31.x86_64 36/133 Verifying : lua-libs-5.3.5-6.fc31.x86_64 37/133 Verifying : lz4-libs-1.9.1-1.fc31.x86_64 38/133 Verifying : mpfr-3.1.6-5.fc31.x86_64 39/133 Verifying : ncurses-6.1-12.20190803.fc31.x86_64 40/133 Verifying : ncurses-base-6.1-12.20190803.fc31.noarch 41/133 Verifying : ncurses-libs-6.1-12.20190803.fc31.x86_64 42/133 Verifying : nettle-3.5.1-3.fc31.x86_64 43/133 Verifying : npth-1.6-3.fc31.x86_64 44/133 Verifying : openldap-2.4.47-3.fc31.x86_64 45/133 Verifying : openssl-1:1.1.1d-2.fc31.x86_64 46/133 Verifying : openssl-libs-1:1.1.1d-2.fc31.x86_64 47/133 Verifying : popt-1.16-18.fc31.x86_64 48/133 Verifying : publicsuffix-list-dafsa-20190417-2.fc31.noarch 49/133 Verifying : python3-dateutil-1:2.8.0-3.fc31.noarch 50/133 Verifying : python3-distro-1.4.0-2.fc31.noarch 51/133 Verifying : python3-gpg-1.13.1-3.fc31.x86_64 52/133 Verifying : python3-six-1.12.0-2.fc31.noarch 53/133 Verifying : readline-8.0-3.fc31.x86_64 54/133 Verifying : sed-4.5-4.fc31.x86_64 55/133 Verifying : tss2-1331-2.fc31.x86_64 56/133 Verifying : xz-libs-5.2.4-6.fc31.x86_64 57/133 Verifying : audit-libs-3.0-0.15.20191104git1c2f876.fc31.x86_ 58/133 Verifying : bash-5.0.11-1.fc31.x86_64 59/133 Verifying : ca-certificates-2020.2.40-1.1.fc31.noarch 60/133 Verifying : coreutils-8.31-9.fc31.x86_64 61/133 Verifying : coreutils-common-8.31-9.fc31.x86_64 62/133 Verifying : crypto-policies-20191128-2.gitcd267a5.fc31.noarc 63/133 Verifying : cyrus-sasl-lib-2.1.27-3.fc31.x86_64 64/133 Verifying : dnf-4.2.21-1.fc31.noarch 65/133 Verifying : dnf-data-4.2.21-1.fc31.noarch 66/133 Verifying : dnf-plugins-core-4.0.15-1.fc31.noarch 67/133 Verifying : elfutils-default-yama-scope-0.179-1.fc31.noarch 68/133 Verifying : elfutils-libelf-0.179-1.fc31.x86_64 69/133 Verifying : elfutils-libs-0.179-1.fc31.x86_64 70/133 Verifying : fedora-gpg-keys-31-3.noarch 71/133 Verifying : fedora-release-31-3.noarch 72/133 Verifying : fedora-release-common-31-3.noarch 73/133 Verifying : fedora-repos-31-3.noarch 74/133 Verifying : file-libs-5.37-8.fc31.x86_64 75/133 Verifying : glib2-2.62.6-1.fc31.x86_64 76/133 Verifying : glibc-2.30-11.fc31.x86_64 77/133 Verifying : glibc-all-langpacks-2.30-11.fc31.x86_64 78/133 Verifying : glibc-common-2.30-11.fc31.x86_64 79/133 Verifying : gnupg2-2.2.18-2.fc31.x86_64 80/133 Verifying : gnutls-3.6.13-1.fc31.x86_64 81/133 Verifying : json-c-0.13.1-8.fc31.x86_64 82/133 Verifying : krb5-libs-1.17-46.fc31.x86_64 83/133 Verifying : libarchive-3.4.2-1.fc31.x86_64 84/133 Verifying : libblkid-2.34-4.fc31.x86_64 85/133 Verifying : libcap-ng-0.7.10-1.fc31.x86_64 86/133 Verifying : libcom_err-1.45.5-1.fc31.x86_64 87/133 Verifying : libcomps-0.1.15-1.fc31.x86_64 88/133 Verifying : libdnf-0.47.0-1.fc31.x86_64 89/133 Verifying : libgcc-9.3.1-2.fc31.x86_64 90/133 Verifying : libgomp-9.3.1-2.fc31.x86_64 91/133 Verifying : libidn2-2.3.0-1.fc31.x86_64 92/133 Verifying : libmodulemd-2.9.3-1.fc31.x86_64 93/133 Verifying : libmount-2.34-4.fc31.x86_64 94/133 Verifying : libnghttp2-1.40.0-1.fc31.x86_64 95/133 Verifying : librepo-1.11.3-1.fc31.x86_64 96/133 Verifying : libreport-filesystem-2.12.0-1.fc31.noarch 97/133 Verifying : libsmartcols-2.34-4.fc31.x86_64 98/133 Verifying : libsolv-0.7.11-1.fc31.x86_64 99/133 Verifying : libssh-0.9.4-2.fc31.x86_64 100/133 Verifying : libssh-config-0.9.4-2.fc31.noarch 101/133 Verifying : libstdc++-9.3.1-2.fc31.x86_64 102/133 Verifying : libtirpc-1.2.5-1.rc2.fc31.x86_64 103/133 Verifying : libuuid-2.34-4.fc31.x86_64 104/133 Verifying : libxcrypt-4.4.16-1.fc31.x86_64 105/133 Verifying : libxml2-2.9.10-3.fc31.x86_64 106/133 Verifying : libzstd-1.4.4-1.fc31.x86_64 107/133 Verifying : p11-kit-0.23.20-1.fc31.x86_64 108/133 Verifying : p11-kit-trust-0.23.20-1.fc31.x86_64 109/133 Verifying : pcre-8.44-1.fc31.x86_64 110/133 Verifying : pcre2-10.34-9.fc31.x86_64 111/133 Verifying : pcre2-syntax-10.34-9.fc31.noarch 112/133 Verifying : python-pip-wheel-19.1.1-7.fc31.noarch 113/133 Verifying : python-setuptools-wheel-41.6.0-1.fc31.noarch 114/133 Verifying : python3-3.7.6-2.fc31.x86_64 115/133 Verifying : python3-dnf-4.2.21-1.fc31.noarch 116/133 Verifying : python3-dnf-plugins-core-4.0.15-1.fc31.noarch 117/133 Verifying : python3-hawkey-0.47.0-1.fc31.x86_64 118/133 Verifying : python3-libcomps-0.1.15-1.fc31.x86_64 119/133 Verifying : python3-libdnf-0.47.0-1.fc31.x86_64 120/133 Verifying : python3-libs-3.7.6-2.fc31.x86_64 121/133 Verifying : python3-rpm-4.15.1-1.fc31.x86_64 122/133 Verifying : python3-setuptools-41.6.0-1.fc31.noarch 123/133 Verifying : rpm-4.15.1-1.fc31.x86_64 124/133 Verifying : rpm-build-libs-4.15.1-1.fc31.x86_64 125/133 Verifying : rpm-libs-4.15.1-1.fc31.x86_64 126/133 Verifying : rpm-sign-libs-4.15.1-1.fc31.x86_64 127/133 Verifying : setup-2.13.6-1.fc31.noarch 128/133 Verifying : sqlite-libs-3.30.0-1.fc31.x86_64 129/133 Verifying : systemd-libs-243.8-1.fc31.x86_64 130/133 Verifying : tzdata-2019c-2.fc31.noarch 131/133 Verifying : zchunk-libs-1.1.5-1.fc31.x86_64 132/133 Verifying : zlib-1.2.11-20.fc31.x86_64 133/133 Installed: alternatives-1.11-5.fc31.x86_64 audit-libs-3.0-0.15.20191104git1c2f876.fc31.x86_64 basesystem-11-8.fc31.noarch bash-5.0.11-1.fc31.x86_64 brotli-1.0.7-6.fc31.x86_64 bzip2-libs-1.0.8-1.fc31.x86_64 ca-certificates-2020.2.40-1.1.fc31.noarch coreutils-8.31-9.fc31.x86_64 coreutils-common-8.31-9.fc31.x86_64 crypto-policies-20191128-2.gitcd267a5.fc31.noarch curl-7.66.0-1.fc31.x86_64 cyrus-sasl-lib-2.1.27-3.fc31.x86_64 dnf-4.2.21-1.fc31.noarch dnf-data-4.2.21-1.fc31.noarch dnf-plugins-core-4.0.15-1.fc31.noarch elfutils-default-yama-scope-0.179-1.fc31.noarch elfutils-libelf-0.179-1.fc31.x86_64 elfutils-libs-0.179-1.fc31.x86_64 expat-2.2.8-1.fc31.x86_64 fedora-gpg-keys-31-3.noarch fedora-release-31-3.noarch fedora-release-common-31-3.noarch fedora-repos-31-3.noarch file-libs-5.37-8.fc31.x86_64 filesystem-3.12-2.fc31.x86_64 gawk-5.0.1-5.fc31.x86_64 gdbm-libs-1:1.18.1-1.fc31.x86_64 glib2-2.62.6-1.fc31.x86_64 glibc-2.30-11.fc31.x86_64 glibc-all-langpacks-2.30-11.fc31.x86_64 glibc-common-2.30-11.fc31.x86_64 gmp-1:6.1.2-10.fc31.x86_64 gnupg2-2.2.18-2.fc31.x86_64 gnutls-3.6.13-1.fc31.x86_64 gpgme-1.13.1-3.fc31.x86_64 grep-3.3-3.fc31.x86_64 ima-evm-utils-1.2.1-2.fc31.x86_64 json-c-0.13.1-8.fc31.x86_64 keyutils-libs-1.6-3.fc31.x86_64 krb5-libs-1.17-46.fc31.x86_64 libacl-2.2.53-4.fc31.x86_64 libarchive-3.4.2-1.fc31.x86_64 libassuan-2.5.3-2.fc31.x86_64 libattr-2.4.48-7.fc31.x86_64 libblkid-2.34-4.fc31.x86_64 libcap-2.26-6.fc31.x86_64 libcap-ng-0.7.10-1.fc31.x86_64 libcom_err-1.45.5-1.fc31.x86_64 libcomps-0.1.15-1.fc31.x86_64 libcurl-7.66.0-1.fc31.x86_64 libdb-5.3.28-38.fc31.x86_64 libdb-utils-5.3.28-38.fc31.x86_64 libdnf-0.47.0-1.fc31.x86_64 libffi-3.1-23.fc31.x86_64 libgcc-9.3.1-2.fc31.x86_64 libgcrypt-1.8.5-1.fc31.x86_64 libgomp-9.3.1-2.fc31.x86_64 libgpg-error-1.36-2.fc31.x86_64 libidn2-2.3.0-1.fc31.x86_64 libksba-1.3.5-10.fc31.x86_64 libmetalink-0.1.3-9.fc31.x86_64 libmodulemd-2.9.3-1.fc31.x86_64 libmount-2.34-4.fc31.x86_64 libnghttp2-1.40.0-1.fc31.x86_64 libnsl2-1.2.0-5.20180605git4a062cf.fc31.x86_64 libpsl-0.21.0-2.fc31.x86_64 librepo-1.11.3-1.fc31.x86_64 libreport-filesystem-2.12.0-1.fc31.noarch libselinux-2.9-5.fc31.x86_64 libsepol-2.9-2.fc31.x86_64 libsigsegv-2.11-8.fc31.x86_64 libsmartcols-2.34-4.fc31.x86_64 libsolv-0.7.11-1.fc31.x86_64 libssh-0.9.4-2.fc31.x86_64 libssh-config-0.9.4-2.fc31.noarch libstdc++-9.3.1-2.fc31.x86_64 libtasn1-4.14-2.fc31.x86_64 libtirpc-1.2.5-1.rc2.fc31.x86_64 libunistring-0.9.10-6.fc31.x86_64 libusbx-1.0.22-4.fc31.x86_64 libuuid-2.34-4.fc31.x86_64 libverto-0.3.0-8.fc31.x86_64 libxcrypt-4.4.16-1.fc31.x86_64 libxml2-2.9.10-3.fc31.x86_64 libyaml-0.2.2-2.fc31.x86_64 libzstd-1.4.4-1.fc31.x86_64 lua-libs-5.3.5-6.fc31.x86_64 lz4-libs-1.9.1-1.fc31.x86_64 mpfr-3.1.6-5.fc31.x86_64 ncurses-6.1-12.20190803.fc31.x86_64 ncurses-base-6.1-12.20190803.fc31.noarch ncurses-libs-6.1-12.20190803.fc31.x86_64 nettle-3.5.1-3.fc31.x86_64 npth-1.6-3.fc31.x86_64 openldap-2.4.47-3.fc31.x86_64 openssl-1:1.1.1d-2.fc31.x86_64 openssl-libs-1:1.1.1d-2.fc31.x86_64 p11-kit-0.23.20-1.fc31.x86_64 p11-kit-trust-0.23.20-1.fc31.x86_64 pcre-8.44-1.fc31.x86_64 pcre2-10.34-9.fc31.x86_64 pcre2-syntax-10.34-9.fc31.noarch popt-1.16-18.fc31.x86_64 publicsuffix-list-dafsa-20190417-2.fc31.noarch python-pip-wheel-19.1.1-7.fc31.noarch python-setuptools-wheel-41.6.0-1.fc31.noarch python3-3.7.6-2.fc31.x86_64 python3-dateutil-1:2.8.0-3.fc31.noarch python3-distro-1.4.0-2.fc31.noarch python3-dnf-4.2.21-1.fc31.noarch python3-dnf-plugins-core-4.0.15-1.fc31.noarch python3-gpg-1.13.1-3.fc31.x86_64 python3-hawkey-0.47.0-1.fc31.x86_64 python3-libcomps-0.1.15-1.fc31.x86_64 python3-libdnf-0.47.0-1.fc31.x86_64 python3-libs-3.7.6-2.fc31.x86_64 python3-rpm-4.15.1-1.fc31.x86_64 python3-setuptools-41.6.0-1.fc31.noarch python3-six-1.12.0-2.fc31.noarch readline-8.0-3.fc31.x86_64 rpm-4.15.1-1.fc31.x86_64 rpm-build-libs-4.15.1-1.fc31.x86_64 rpm-libs-4.15.1-1.fc31.x86_64 rpm-sign-libs-4.15.1-1.fc31.x86_64 sed-4.5-4.fc31.x86_64 setup-2.13.6-1.fc31.noarch sqlite-libs-3.30.0-1.fc31.x86_64 systemd-libs-243.8-1.fc31.x86_64 tss2-1331-2.fc31.x86_64 tzdata-2019c-2.fc31.noarch xz-libs-5.2.4-6.fc31.x86_64 zchunk-libs-1.1.5-1.fc31.x86_64 zlib-1.2.11-20.fc31.x86_64 Complete! Finish(bootstrap): dnf install Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-31-x86_64-1587286378.648397/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.2 INFO: Mock Version: 2.2 Start: dnf install No matches found for the following disable plugin patterns: local, spacewalk Copr repository 1.2 MB/s | 236 kB 00:00 fedora 18 MB/s | 71 MB 00:03 updates 17 MB/s | 24 MB 00:01 Dependencies resolved. ====================================================================================== Package Arch Version Repo Size ====================================================================================== Installing group/module packages: bash  x86_64 5.0.11-1.fc31 updates 1.6 M bzip2  x86_64 1.0.8-1.fc31 fedora 52 k coreutils  x86_64 8.31-9.fc31 updates 1.1 M cpio  x86_64 2.12-12.fc31 fedora 260 k diffutils  x86_64 3.7-3.fc31 fedora 401 k fedora-release-common  noarch 31-3 updates 20 k findutils  x86_64 1:4.6.0-24.fc31 fedora 520 k gawk  x86_64 5.0.1-5.fc31 fedora 1.2 M glibc-minimal-langpack  x86_64 2.30-11.fc31 updates 47 k grep  x86_64 3.3-3.fc31 fedora 249 k gzip  x86_64 1.10-1.fc31 fedora 155 k info  x86_64 6.6-2.fc31 fedora 227 k make  x86_64 1:4.2.1-15.fc31 updates 494 k patch  x86_64 2.7.6-11.fc31 fedora 135 k redhat-rpm-config  noarch 143-1.fc31 updates 62 k rpm-build  x86_64 4.15.1-1.fc31 updates 116 k sed  x86_64 4.5-4.fc31 fedora 291 k shadow-utils  x86_64 2:4.6-18.fc31 updates 1.2 M tar  x86_64 2:1.32-2.fc31 fedora 887 k unzip  x86_64 6.0-44.fc31 fedora 177 k util-linux  x86_64 2.34-4.fc31 updates 2.6 M which  x86_64 2.21-15.fc31 fedora 42 k xz  x86_64 5.2.4-6.fc31 fedora 147 k Installing dependencies: alternatives  x86_64 1.11-5.fc31 fedora 35 k audit-libs  x86_64 3.0-0.15.20191104git1c2f876.fc31 updates 115 k basesystem  noarch 11-8.fc31 fedora 6.9 k binutils  x86_64 2.32-31.fc31 updates 5.2 M binutils-gold  x86_64 2.32-31.fc31 updates 842 k brotli  x86_64 1.0.7-6.fc31 fedora 341 k bzip2-libs  x86_64 1.0.8-1.fc31 fedora 40 k ca-certificates  noarch 2020.2.40-1.1.fc31 updates 358 k coreutils-common  x86_64 8.31-9.fc31 updates 1.9 M cracklib  x86_64 2.9.6-21.fc31 fedora 83 k crypto-policies  noarch 20191128-2.gitcd267a5.fc31 updates 97 k curl  x86_64 7.66.0-1.fc31 fedora 294 k cyrus-sasl-lib  x86_64 2.1.27-3.fc31 updates 101 k dwz  x86_64 0.12-11.fc31 fedora 107 k efi-srpm-macros  noarch 4-3.fc31 fedora 22 k elfutils  x86_64 0.179-1.fc31 updates 533 k elfutils-default-yama-scope  noarch 0.179-1.fc31 updates 18 k elfutils-libelf  x86_64 0.179-1.fc31 updates 191 k elfutils-libs  x86_64 0.179-1.fc31 updates 267 k expat  x86_64 2.2.8-1.fc31 fedora 102 k fedora-gpg-keys  noarch 31-3 updates 101 k fedora-release  noarch 31-3 updates 12 k fedora-repos  noarch 31-3 updates 9.7 k file  x86_64 5.37-8.fc31 updates 51 k file-libs  x86_64 5.37-8.fc31 updates 541 k filesystem  x86_64 3.12-2.fc31 fedora 1.1 M fonts-srpm-macros  noarch 2.0.3-1.fc31 updates 26 k fpc-srpm-macros  noarch 1.2-2.fc31 fedora 7.6 k gc  x86_64 7.6.4-6.fc31 fedora 104 k gdb-minimal  x86_64 8.3.50.20190824-30.fc31 updates 3.3 M gdbm-libs  x86_64 1:1.18.1-1.fc31 fedora 55 k ghc-srpm-macros  noarch 1.5.0-1.fc31 fedora 7.6 k glibc  x86_64 2.30-11.fc31 updates 3.5 M glibc-common  x86_64 2.30-11.fc31 updates 638 k gmp  x86_64 1:6.1.2-10.fc31 fedora 265 k gnat-srpm-macros  noarch 4-10.fc31 fedora 8.2 k go-srpm-macros  noarch 3.0.8-4.fc31 fedora 25 k guile22  x86_64 2.2.6-2.fc31 fedora 6.6 M keyutils-libs  x86_64 1.6-3.fc31 fedora 31 k krb5-libs  x86_64 1.17-46.fc31 updates 752 k libacl  x86_64 2.2.53-4.fc31 fedora 25 k libarchive  x86_64 3.4.2-1.fc31 updates 384 k libatomic_ops  x86_64 7.6.10-2.fc31 fedora 36 k libattr  x86_64 2.4.48-7.fc31 fedora 18 k libblkid  x86_64 2.34-4.fc31 updates 158 k libcap  x86_64 2.26-6.fc31 fedora 52 k libcap-ng  x86_64 0.7.10-1.fc31 updates 28 k libcom_err  x86_64 1.45.5-1.fc31 updates 28 k libcurl  x86_64 7.66.0-1.fc31 fedora 269 k libdb  x86_64 5.3.28-38.fc31 fedora 763 k libdb-utils  x86_64 5.3.28-38.fc31 fedora 143 k libfdisk  x86_64 2.34-4.fc31 updates 210 k libffi  x86_64 3.1-23.fc31 fedora 33 k libgcc  x86_64 9.3.1-2.fc31 updates 102 k libgcrypt  x86_64 1.8.5-1.fc31 fedora 466 k libgomp  x86_64 9.3.1-2.fc31 updates 238 k libgpg-error  x86_64 1.36-2.fc31 fedora 200 k libidn2  x86_64 2.3.0-1.fc31 updates 104 k libmetalink  x86_64 0.1.3-9.fc31 fedora 31 k libmount  x86_64 2.34-4.fc31 updates 184 k libnghttp2  x86_64 1.40.0-1.fc31 updates 78 k libnsl2  x86_64 1.2.0-5.20180605git4a062cf.fc31 fedora 59 k libpkgconf  x86_64 1.6.3-2.fc31 fedora 37 k libpsl  x86_64 0.21.0-2.fc31 fedora 61 k libpwquality  x86_64 1.4.2-1.fc31 updates 101 k libselinux  x86_64 2.9-5.fc31 fedora 86 k libsemanage  x86_64 2.9-3.fc31 fedora 123 k libsepol  x86_64 2.9-2.fc31 fedora 301 k libsigsegv  x86_64 2.11-8.fc31 fedora 26 k libsmartcols  x86_64 2.34-4.fc31 updates 126 k libssh  x86_64 0.9.4-2.fc31 updates 213 k libssh-config  noarch 0.9.4-2.fc31 updates 11 k libstdc++  x86_64 9.3.1-2.fc31 updates 634 k libtasn1  x86_64 4.14-2.fc31 fedora 72 k libtirpc  x86_64 1.2.5-1.rc2.fc31 updates 98 k libtool-ltdl  x86_64 2.4.6-31.fc31 fedora 37 k libunistring  x86_64 0.9.10-6.fc31 fedora 513 k libutempter  x86_64 1.1.6-17.fc31 fedora 26 k libuuid  x86_64 2.34-4.fc31 updates 27 k libverto  x86_64 0.3.0-8.fc31 fedora 22 k libxcrypt  x86_64 4.4.16-1.fc31 updates 126 k libxml2  x86_64 2.9.10-3.fc31 updates 692 k libzstd  x86_64 1.4.4-1.fc31 updates 272 k lua-libs  x86_64 5.3.5-6.fc31 fedora 117 k lz4-libs  x86_64 1.9.1-1.fc31 fedora 66 k mpfr  x86_64 3.1.6-5.fc31 fedora 220 k ncurses  x86_64 6.1-12.20190803.fc31 fedora 398 k ncurses-base  noarch 6.1-12.20190803.fc31 fedora 60 k ncurses-libs  x86_64 6.1-12.20190803.fc31 fedora 331 k nim-srpm-macros  noarch 3-1.fc31 updates 8.2 k ocaml-srpm-macros  noarch 5-6.fc31 fedora 7.8 k openblas-srpm-macros  noarch 2-6.fc31 fedora 7.3 k openldap  x86_64 2.4.47-3.fc31 fedora 304 k openssl-libs  x86_64 1:1.1.1d-2.fc31 fedora 1.4 M p11-kit  x86_64 0.23.20-1.fc31 updates 341 k p11-kit-trust  x86_64 0.23.20-1.fc31 updates 141 k pam  x86_64 1.3.1-21.fc31 updates 660 k pcre  x86_64 8.44-1.fc31 updates 192 k pcre2  x86_64 10.34-9.fc31 updates 225 k pcre2-syntax  noarch 10.34-9.fc31 updates 140 k perl-srpm-macros  noarch 1-33.fc31 fedora 8.2 k pkgconf  x86_64 1.6.3-2.fc31 fedora 41 k pkgconf-m4  noarch 1.6.3-2.fc31 fedora 15 k pkgconf-pkg-config  x86_64 1.6.3-2.fc31 fedora 11 k popt  x86_64 1.16-18.fc31 fedora 59 k publicsuffix-list-dafsa  noarch 20190417-2.fc31 fedora 56 k python-pip-wheel  noarch 19.1.1-7.fc31 updates 1.2 M python-setuptools-wheel  noarch 41.6.0-1.fc31 updates 281 k python-srpm-macros  noarch 3-54.fc31 updates 17 k python3  x86_64 3.7.6-2.fc31 updates 30 k python3-libs  x86_64 3.7.6-2.fc31 updates 7.3 M qt5-srpm-macros  noarch 5.13.2-1.fc31 updates 8.8 k readline  x86_64 8.0-3.fc31 fedora 206 k rpm  x86_64 4.15.1-1.fc31 updates 499 k rpm-build-libs  x86_64 4.15.1-1.fc31 updates 97 k rpm-libs  x86_64 4.15.1-1.fc31 updates 300 k rust-srpm-macros  noarch 13-1.fc31 updates 9.6 k setup  noarch 2.13.6-1.fc31 updates 142 k sqlite-libs  x86_64 3.30.0-1.fc31 updates 571 k systemd-libs  x86_64 243.8-1.fc31 updates 523 k tzdata  noarch 2019c-2.fc31 updates 429 k xz-libs  x86_64 5.2.4-6.fc31 fedora 90 k zip  x86_64 3.0-25.fc31 fedora 262 k zlib  x86_64 1.2.11-20.fc31 updates 92 k zstd  x86_64 1.4.4-1.fc31 updates 403 k Installing Groups: Buildsystem building group Transaction Summary ====================================================================================== Install 148 Packages Total download size: 64 M Installed size: 254 M Downloading Packages: (1/148): basesystem-11-8.fc31.noarch.rpm 236 kB/s | 6.9 kB 00:00 (2/148): alternatives-1.11-5.fc31.x86_64.rpm 1.1 MB/s | 35 kB 00:00 (3/148): bzip2-1.0.8-1.fc31.x86_64.rpm 18 MB/s | 52 kB 00:00 (4/148): bzip2-libs-1.0.8-1.fc31.x86_64.rpm 12 MB/s | 40 kB 00:00 (5/148): brotli-1.0.7-6.fc31.x86_64.rpm 9.0 MB/s | 341 kB 00:00 (6/148): cpio-2.12-12.fc31.x86_64.rpm 20 MB/s | 260 kB 00:00 (7/148): cracklib-2.9.6-21.fc31.x86_64.rpm 6.8 MB/s | 83 kB 00:00 (8/148): curl-7.66.0-1.fc31.x86_64.rpm 24 MB/s | 294 kB 00:00 (9/148): dwz-0.12-11.fc31.x86_64.rpm 25 MB/s | 107 kB 00:00 (10/148): diffutils-3.7-3.fc31.x86_64.rpm 51 MB/s | 401 kB 00:00 (11/148): efi-srpm-macros-4-3.fc31.noarch.rpm 3.9 MB/s | 22 kB 00:00 (12/148): expat-2.2.8-1.fc31.x86_64.rpm 23 MB/s | 102 kB 00:00 (13/148): filesystem-3.12-2.fc31.x86_64.rpm 81 MB/s | 1.1 MB 00:00 (14/148): findutils-4.6.0-24.fc31.x86_64.rpm 30 MB/s | 520 kB 00:00 (15/148): fpc-srpm-macros-1.2-2.fc31.noarch.rpm 466 kB/s | 7.6 kB 00:00 (16/148): gawk-5.0.1-5.fc31.x86_64.rpm 84 MB/s | 1.2 MB 00:00 (17/148): gdbm-libs-1.18.1-1.fc31.x86_64.rpm 4.4 MB/s | 55 kB 00:00 (18/148): gc-7.6.4-6.fc31.x86_64.rpm 7.2 MB/s | 104 kB 00:00 (19/148): ghc-srpm-macros-1.5.0-1.fc31.noarch.r 2.2 MB/s | 7.6 kB 00:00 (20/148): gmp-6.1.2-10.fc31.x86_64.rpm 52 MB/s | 265 kB 00:00 (21/148): gnat-srpm-macros-4-10.fc31.noarch.rpm 1.7 MB/s | 8.2 kB 00:00 (22/148): go-srpm-macros-3.0.8-4.fc31.noarch.rp 5.4 MB/s | 25 kB 00:00 (23/148): grep-3.3-3.fc31.x86_64.rpm 54 MB/s | 249 kB 00:00 (24/148): guile22-2.2.6-2.fc31.x86_64.rpm 101 MB/s | 6.6 MB 00:00 (25/148): gzip-1.10-1.fc31.x86_64.rpm 2.3 MB/s | 155 kB 00:00 (26/148): info-6.6-2.fc31.x86_64.rpm 5.0 MB/s | 227 kB 00:00 (27/148): keyutils-libs-1.6-3.fc31.x86_64.rpm 10 MB/s | 31 kB 00:00 (28/148): libacl-2.2.53-4.fc31.x86_64.rpm 6.1 MB/s | 25 kB 00:00 (29/148): libatomic_ops-7.6.10-2.fc31.x86_64.rp 7.9 MB/s | 36 kB 00:00 (30/148): libattr-2.4.48-7.fc31.x86_64.rpm 7.4 MB/s | 18 kB 00:00 (31/148): libcap-2.26-6.fc31.x86_64.rpm 13 MB/s | 52 kB 00:00 (32/148): libcurl-7.66.0-1.fc31.x86_64.rpm 42 MB/s | 269 kB 00:00 (33/148): libdb-5.3.28-38.fc31.x86_64.rpm 65 MB/s | 763 kB 00:00 (34/148): libdb-utils-5.3.28-38.fc31.x86_64.rpm 24 MB/s | 143 kB 00:00 (35/148): libffi-3.1-23.fc31.x86_64.rpm 5.2 MB/s | 33 kB 00:00 (36/148): libgcrypt-1.8.5-1.fc31.x86_64.rpm 77 MB/s | 466 kB 00:00 (37/148): libgpg-error-1.36-2.fc31.x86_64.rpm 39 MB/s | 200 kB 00:00 (38/148): libmetalink-0.1.3-9.fc31.x86_64.rpm 5.5 MB/s | 31 kB 00:00 (39/148): libnsl2-1.2.0-5.20180605git4a062cf.fc 12 MB/s | 59 kB 00:00 (40/148): libpkgconf-1.6.3-2.fc31.x86_64.rpm 11 MB/s | 37 kB 00:00 (41/148): libpsl-0.21.0-2.fc31.x86_64.rpm 12 MB/s | 61 kB 00:00 (42/148): libselinux-2.9-5.fc31.x86_64.rpm 17 MB/s | 86 kB 00:00 (43/148): libsemanage-2.9-3.fc31.x86_64.rpm 28 MB/s | 123 kB 00:00 (44/148): libsepol-2.9-2.fc31.x86_64.rpm 63 MB/s | 301 kB 00:00 (45/148): libsigsegv-2.11-8.fc31.x86_64.rpm 5.0 MB/s | 26 kB 00:00 (46/148): libtasn1-4.14-2.fc31.x86_64.rpm 12 MB/s | 72 kB 00:00 (47/148): libtool-ltdl-2.4.6-31.fc31.x86_64.rpm 14 MB/s | 37 kB 00:00 (48/148): libunistring-0.9.10-6.fc31.x86_64.rpm 70 MB/s | 513 kB 00:00 (49/148): libutempter-1.1.6-17.fc31.x86_64.rpm 3.8 MB/s | 26 kB 00:00 (50/148): libverto-0.3.0-8.fc31.x86_64.rpm 5.0 MB/s | 22 kB 00:00 (51/148): lz4-libs-1.9.1-1.fc31.x86_64.rpm 19 MB/s | 66 kB 00:00 (52/148): lua-libs-5.3.5-6.fc31.x86_64.rpm 18 MB/s | 117 kB 00:00 (53/148): mpfr-3.1.6-5.fc31.x86_64.rpm 30 MB/s | 220 kB 00:00 (54/148): ncurses-6.1-12.20190803.fc31.x86_64.r 61 MB/s | 398 kB 00:00 (55/148): ncurses-base-6.1-12.20190803.fc31.noa 12 MB/s | 60 kB 00:00 (56/148): ncurses-libs-6.1-12.20190803.fc31.x86 50 MB/s | 331 kB 00:00 (57/148): ocaml-srpm-macros-5-6.fc31.noarch.rpm 2.0 MB/s | 7.8 kB 00:00 (58/148): openblas-srpm-macros-2-6.fc31.noarch. 2.2 MB/s | 7.3 kB 00:00 (59/148): openldap-2.4.47-3.fc31.x86_64.rpm 61 MB/s | 304 kB 00:00 (60/148): openssl-libs-1.1.1d-2.fc31.x86_64.rpm 89 MB/s | 1.4 MB 00:00 (61/148): patch-2.7.6-11.fc31.x86_64.rpm 15 MB/s | 135 kB 00:00 (62/148): perl-srpm-macros-1-33.fc31.noarch.rpm 932 kB/s | 8.2 kB 00:00 (63/148): pkgconf-1.6.3-2.fc31.x86_64.rpm 14 MB/s | 41 kB 00:00 (64/148): pkgconf-m4-1.6.3-2.fc31.noarch.rpm 5.5 MB/s | 15 kB 00:00 (65/148): pkgconf-pkg-config-1.6.3-2.fc31.x86_6 3.7 MB/s | 11 kB 00:00 (66/148): popt-1.16-18.fc31.x86_64.rpm 18 MB/s | 59 kB 00:00 (67/148): publicsuffix-list-dafsa-20190417-2.fc 21 MB/s | 56 kB 00:00 (68/148): readline-8.0-3.fc31.x86_64.rpm 34 MB/s | 206 kB 00:00 (69/148): sed-4.5-4.fc31.x86_64.rpm 38 MB/s | 291 kB 00:00 (70/148): tar-1.32-2.fc31.x86_64.rpm 81 MB/s | 887 kB 00:00 (71/148): unzip-6.0-44.fc31.x86_64.rpm 26 MB/s | 177 kB 00:00 (72/148): which-2.21-15.fc31.x86_64.rpm 5.8 MB/s | 42 kB 00:00 (73/148): xz-5.2.4-6.fc31.x86_64.rpm 37 MB/s | 147 kB 00:00 (74/148): xz-libs-5.2.4-6.fc31.x86_64.rpm 23 MB/s | 90 kB 00:00 (75/148): zip-3.0-25.fc31.x86_64.rpm 47 MB/s | 262 kB 00:00 (76/148): audit-libs-3.0-0.15.20191104git1c2f87 18 MB/s | 115 kB 00:00 (77/148): binutils-2.32-31.fc31.x86_64.rpm 103 MB/s | 5.2 MB 00:00 (78/148): bash-5.0.11-1.fc31.x86_64.rpm 24 MB/s | 1.6 MB 00:00 (79/148): binutils-gold-2.32-31.fc31.x86_64.rpm 12 MB/s | 842 kB 00:00 (80/148): ca-certificates-2020.2.40-1.1.fc31.no 24 MB/s | 358 kB 00:00 (81/148): coreutils-common-8.31-9.fc31.x86_64.r 97 MB/s | 1.9 MB 00:00 (82/148): coreutils-8.31-9.fc31.x86_64.rpm 39 MB/s | 1.1 MB 00:00 (83/148): crypto-policies-20191128-2.gitcd267a5 5.1 MB/s | 97 kB 00:00 (84/148): cyrus-sasl-lib-2.1.27-3.fc31.x86_64.r 12 MB/s | 101 kB 00:00 (85/148): elfutils-0.179-1.fc31.x86_64.rpm 84 MB/s | 533 kB 00:00 (86/148): elfutils-default-yama-scope-0.179-1.f 2.4 MB/s | 18 kB 00:00 (87/148): elfutils-libelf-0.179-1.fc31.x86_64.r 20 MB/s | 191 kB 00:00 (88/148): elfutils-libs-0.179-1.fc31.x86_64.rpm 39 MB/s | 267 kB 00:00 (89/148): fedora-gpg-keys-31-3.noarch.rpm 15 MB/s | 101 kB 00:00 (90/148): fedora-release-31-3.noarch.rpm 3.1 MB/s | 12 kB 00:00 (91/148): fedora-repos-31-3.noarch.rpm 4.1 MB/s | 9.7 kB 00:00 (92/148): fedora-release-common-31-3.noarch.rpm 4.8 MB/s | 20 kB 00:00 (93/148): file-5.37-8.fc31.x86_64.rpm 13 MB/s | 51 kB 00:00 (94/148): file-libs-5.37-8.fc31.x86_64.rpm 70 MB/s | 541 kB 00:00 (95/148): fonts-srpm-macros-2.0.3-1.fc31.noarch 1.3 MB/s | 26 kB 00:00 (96/148): gdb-minimal-8.3.50.20190824-30.fc31.x 87 MB/s | 3.3 MB 00:00 (97/148): glibc-2.30-11.fc31.x86_64.rpm 69 MB/s | 3.5 MB 00:00 (98/148): glibc-common-2.30-11.fc31.x86_64.rpm 25 MB/s | 638 kB 00:00 (99/148): glibc-minimal-langpack-2.30-11.fc31.x 1.8 MB/s | 47 kB 00:00 (100/148): krb5-libs-1.17-46.fc31.x86_64.rpm 57 MB/s | 752 kB 00:00 (101/148): libarchive-3.4.2-1.fc31.x86_64.rpm 48 MB/s | 384 kB 00:00 (102/148): libblkid-2.34-4.fc31.x86_64.rpm 17 MB/s | 158 kB 00:00 (103/148): libcap-ng-0.7.10-1.fc31.x86_64.rpm 6.1 MB/s | 28 kB 00:00 (104/148): libcom_err-1.45.5-1.fc31.x86_64.rpm 8.2 MB/s | 28 kB 00:00 (105/148): libfdisk-2.34-4.fc31.x86_64.rpm 44 MB/s | 210 kB 00:00 (106/148): libgcc-9.3.1-2.fc31.x86_64.rpm 17 MB/s | 102 kB 00:00 (107/148): libgomp-9.3.1-2.fc31.x86_64.rpm 54 MB/s | 238 kB 00:00 (108/148): libidn2-2.3.0-1.fc31.x86_64.rpm 16 MB/s | 104 kB 00:00 (109/148): libmount-2.34-4.fc31.x86_64.rpm 27 MB/s | 184 kB 00:00 (110/148): libnghttp2-1.40.0-1.fc31.x86_64.rpm 20 MB/s | 78 kB 00:00 (111/148): libpwquality-1.4.2-1.fc31.x86_64.rpm 26 MB/s | 101 kB 00:00 (112/148): libsmartcols-2.34-4.fc31.x86_64.rpm 21 MB/s | 126 kB 00:00 (113/148): libssh-0.9.4-2.fc31.x86_64.rpm 32 MB/s | 213 kB 00:00 (114/148): libssh-config-0.9.4-2.fc31.noarch.rp 3.1 MB/s | 11 kB 00:00 (115/148): libtirpc-1.2.5-1.rc2.fc31.x86_64.rpm 23 MB/s | 98 kB 00:00 (116/148): libstdc++-9.3.1-2.fc31.x86_64.rpm 62 MB/s | 634 kB 00:00 (117/148): libuuid-2.34-4.fc31.x86_64.rpm 2.8 MB/s | 27 kB 00:00 (118/148): libxcrypt-4.4.16-1.fc31.x86_64.rpm 22 MB/s | 126 kB 00:00 (119/148): libxml2-2.9.10-3.fc31.x86_64.rpm 66 MB/s | 692 kB 00:00 (120/148): libzstd-1.4.4-1.fc31.x86_64.rpm 22 MB/s | 272 kB 00:00 (121/148): make-4.2.1-15.fc31.x86_64.rpm 36 MB/s | 494 kB 00:00 (122/148): nim-srpm-macros-3-1.fc31.noarch.rpm 2.9 MB/s | 8.2 kB 00:00 (123/148): p11-kit-0.23.20-1.fc31.x86_64.rpm 52 MB/s | 341 kB 00:00 (124/148): p11-kit-trust-0.23.20-1.fc31.x86_64. 18 MB/s | 141 kB 00:00 (125/148): pam-1.3.1-21.fc31.x86_64.rpm 70 MB/s | 660 kB 00:00 (126/148): pcre-8.44-1.fc31.x86_64.rpm 30 MB/s | 192 kB 00:00 (127/148): pcre2-10.34-9.fc31.x86_64.rpm 28 MB/s | 225 kB 00:00 (128/148): pcre2-syntax-10.34-9.fc31.noarch.rpm 28 MB/s | 140 kB 00:00 (129/148): python-pip-wheel-19.1.1-7.fc31.noarc 93 MB/s | 1.2 MB 00:00 (130/148): python-setuptools-wheel-41.6.0-1.fc3 19 MB/s | 281 kB 00:00 (131/148): python-srpm-macros-3-54.fc31.noarch. 1.2 MB/s | 17 kB 00:00 (132/148): python3-3.7.6-2.fc31.x86_64.rpm 10 MB/s | 30 kB 00:00 (133/148): python3-libs-3.7.6-2.fc31.x86_64.rpm 104 MB/s | 7.3 MB 00:00 (134/148): qt5-srpm-macros-5.13.2-1.fc31.noarch 124 kB/s | 8.8 kB 00:00 (135/148): redhat-rpm-config-143-1.fc31.noarch. 1.4 MB/s | 62 kB 00:00 (136/148): rpm-4.15.1-1.fc31.x86_64.rpm 73 MB/s | 499 kB 00:00 (137/148): rpm-build-4.15.1-1.fc31.x86_64.rpm 15 MB/s | 116 kB 00:00 (138/148): rpm-build-libs-4.15.1-1.fc31.x86_64. 11 MB/s | 97 kB 00:00 (139/148): rpm-libs-4.15.1-1.fc31.x86_64.rpm 61 MB/s | 300 kB 00:00 (140/148): rust-srpm-macros-13-1.fc31.noarch.rp 1.8 MB/s | 9.6 kB 00:00 (141/148): setup-2.13.6-1.fc31.noarch.rpm 23 MB/s | 142 kB 00:00 (142/148): shadow-utils-4.6-18.fc31.x86_64.rpm 78 MB/s | 1.2 MB 00:00 (143/148): sqlite-libs-3.30.0-1.fc31.x86_64.rpm 31 MB/s | 571 kB 00:00 (144/148): systemd-libs-243.8-1.fc31.x86_64.rpm 26 MB/s | 523 kB 00:00 (145/148): tzdata-2019c-2.fc31.noarch.rpm 25 MB/s | 429 kB 00:00 (146/148): util-linux-2.34-4.fc31.x86_64.rpm 92 MB/s | 2.6 MB 00:00 (147/148): zlib-1.2.11-20.fc31.x86_64.rpm 3.1 MB/s | 92 kB 00:00 (148/148): zstd-1.4.4-1.fc31.x86_64.rpm 70 MB/s | 403 kB 00:00 -------------------------------------------------------------------------------- Total 69 MB/s | 64 MB 00:00 warning: Generating 18 missing index(es), please wait... warning: /var/lib/mock/fedora-31-x86_64-1587286378.648397/root/var/cache/dnf/fedora-3589ee8a7ee1691d/packages/alternatives-1.11-5.fc31.x86_64.rpm: Header V3 RSA/SHA256 Signature, key ID 3c3359c4: NOKEY fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x3C3359C4: Userid : "Fedora (31) " Fingerprint: 7D22 D586 7F2A 4236 474B F7B8 50CB 390B 3C33 59C4 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-31-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.12-2.fc31.x86_64 1/1 Preparing : 1/1 Installing : libgcc-9.3.1-2.fc31.x86_64 1/148 Running scriptlet: libgcc-9.3.1-2.fc31.x86_64 1/148 Installing : tzdata-2019c-2.fc31.noarch 2/148 Installing : rust-srpm-macros-13-1.fc31.noarch 3/148 Installing : qt5-srpm-macros-5.13.2-1.fc31.noarch 4/148 Installing : python-setuptools-wheel-41.6.0-1.fc31.noarch 5/148 Installing : pcre2-syntax-10.34-9.fc31.noarch 6/148 Installing : nim-srpm-macros-3-1.fc31.noarch 7/148 Installing : libssh-config-0.9.4-2.fc31.noarch 8/148 Installing : fedora-gpg-keys-31-3.noarch 9/148 Installing : fedora-release-31-3.noarch 10/148 Installing : fedora-repos-31-3.noarch 11/148 Installing : fedora-release-common-31-3.noarch 12/148 Installing : setup-2.13.6-1.fc31.noarch 13/148 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.13.6-1.fc31.noarch 13/148 Installing : filesystem-3.12-2.fc31.x86_64 14/148 Installing : basesystem-11-8.fc31.noarch 15/148 Installing : coreutils-common-8.31-9.fc31.x86_64 16/148 Installing : publicsuffix-list-dafsa-20190417-2.fc31.noarch 17/148 Installing : pkgconf-m4-1.6.3-2.fc31.noarch 18/148 Installing : perl-srpm-macros-1-33.fc31.noarch 19/148 Installing : openblas-srpm-macros-2-6.fc31.noarch 20/148 Installing : ocaml-srpm-macros-5-6.fc31.noarch 21/148 Installing : ncurses-base-6.1-12.20190803.fc31.noarch 22/148 Installing : pcre2-10.34-9.fc31.x86_64 23/148 Installing : libselinux-2.9-5.fc31.x86_64 24/148 Installing : ncurses-libs-6.1-12.20190803.fc31.x86_64 25/148 Installing : glibc-minimal-langpack-2.30-11.fc31.x86_64 26/148 Installing : glibc-common-2.30-11.fc31.x86_64 27/148 Running scriptlet: glibc-2.30-11.fc31.x86_64 28/148 Installing : glibc-2.30-11.fc31.x86_64 28/148 Running scriptlet: glibc-2.30-11.fc31.x86_64 28/148 Installing : bash-5.0.11-1.fc31.x86_64 29/148 Running scriptlet: bash-5.0.11-1.fc31.x86_64 29/148 Installing : libsepol-2.9-2.fc31.x86_64 30/148 Running scriptlet: libsepol-2.9-2.fc31.x86_64 30/148 Installing : zlib-1.2.11-20.fc31.x86_64 31/148 Installing : bzip2-libs-1.0.8-1.fc31.x86_64 32/148 Installing : xz-libs-5.2.4-6.fc31.x86_64 33/148 Installing : libstdc++-9.3.1-2.fc31.x86_64 34/148 Installing : libxcrypt-4.4.16-1.fc31.x86_64 35/148 Installing : elfutils-libelf-0.179-1.fc31.x86_64 36/148 Installing : libdb-5.3.28-38.fc31.x86_64 37/148 Installing : libuuid-2.34-4.fc31.x86_64 38/148 Installing : gmp-1:6.1.2-10.fc31.x86_64 39/148 Installing : libattr-2.4.48-7.fc31.x86_64 40/148 Installing : libacl-2.2.53-4.fc31.x86_64 41/148 Installing : sed-4.5-4.fc31.x86_64 42/148 Installing : popt-1.16-18.fc31.x86_64 43/148 Installing : readline-8.0-3.fc31.x86_64 44/148 Installing : libcom_err-1.45.5-1.fc31.x86_64 45/148 Installing : libcap-2.26-6.fc31.x86_64 46/148 Installing : libffi-3.1-23.fc31.x86_64 47/148 Installing : libunistring-0.9.10-6.fc31.x86_64 48/148 Installing : libzstd-1.4.4-1.fc31.x86_64 49/148 Installing : libidn2-2.3.0-1.fc31.x86_64 50/148 Installing : p11-kit-0.23.20-1.fc31.x86_64 51/148 Installing : mpfr-3.1.6-5.fc31.x86_64 52/148 Installing : unzip-6.0-44.fc31.x86_64 53/148 Installing : file-libs-5.37-8.fc31.x86_64 54/148 Installing : file-5.37-8.fc31.x86_64 55/148 Installing : alternatives-1.11-5.fc31.x86_64 56/148 Installing : expat-2.2.8-1.fc31.x86_64 57/148 Installing : findutils-1:4.6.0-24.fc31.x86_64 58/148 Installing : lua-libs-5.3.5-6.fc31.x86_64 59/148 Installing : lz4-libs-1.9.1-1.fc31.x86_64 60/148 Installing : libcap-ng-0.7.10-1.fc31.x86_64 61/148 Installing : audit-libs-3.0-0.15.20191104git1c2f876.fc31.x86_ 62/148 Installing : libsemanage-2.9-3.fc31.x86_64 63/148 Installing : shadow-utils-2:4.6-18.fc31.x86_64 64/148 Running scriptlet: libutempter-1.1.6-17.fc31.x86_64 65/148 Installing : libutempter-1.1.6-17.fc31.x86_64 65/148 Installing : libmetalink-0.1.3-9.fc31.x86_64 66/148 Installing : zip-3.0-25.fc31.x86_64 67/148 Installing : gdb-minimal-8.3.50.20190824-30.fc31.x86_64 68/148 Installing : libpsl-0.21.0-2.fc31.x86_64 69/148 Installing : tar-2:1.32-2.fc31.x86_64 70/148 Installing : patch-2.7.6-11.fc31.x86_64 71/148 Installing : libdb-utils-5.3.28-38.fc31.x86_64 72/148 Installing : dwz-0.12-11.fc31.x86_64 73/148 Installing : zstd-1.4.4-1.fc31.x86_64 74/148 Installing : libxml2-2.9.10-3.fc31.x86_64 75/148 Installing : bzip2-1.0.8-1.fc31.x86_64 76/148 Installing : sqlite-libs-3.30.0-1.fc31.x86_64 77/148 Installing : elfutils-default-yama-scope-0.179-1.fc31.noarch 78/148 Running scriptlet: elfutils-default-yama-scope-0.179-1.fc31.noarch 78/148 Installing : elfutils-libs-0.179-1.fc31.x86_64 79/148 Installing : elfutils-0.179-1.fc31.x86_64 80/148 Installing : brotli-1.0.7-6.fc31.x86_64 81/148 Installing : cpio-2.12-12.fc31.x86_64 82/148 Installing : diffutils-3.7-3.fc31.x86_64 83/148 Installing : gdbm-libs-1:1.18.1-1.fc31.x86_64 84/148 Installing : keyutils-libs-1.6-3.fc31.x86_64 85/148 Installing : libatomic_ops-7.6.10-2.fc31.x86_64 86/148 Installing : gc-7.6.4-6.fc31.x86_64 87/148 Installing : libgpg-error-1.36-2.fc31.x86_64 88/148 Installing : libgcrypt-1.8.5-1.fc31.x86_64 89/148 Installing : libpkgconf-1.6.3-2.fc31.x86_64 90/148 Installing : pkgconf-1.6.3-2.fc31.x86_64 91/148 Installing : pkgconf-pkg-config-1.6.3-2.fc31.x86_64 92/148 Installing : libsigsegv-2.11-8.fc31.x86_64 93/148 Installing : gawk-5.0.1-5.fc31.x86_64 94/148 Installing : libtasn1-4.14-2.fc31.x86_64 95/148 Installing : p11-kit-trust-0.23.20-1.fc31.x86_64 96/148 Running scriptlet: p11-kit-trust-0.23.20-1.fc31.x86_64 96/148 Installing : libtool-ltdl-2.4.6-31.fc31.x86_64 97/148 Installing : libverto-0.3.0-8.fc31.x86_64 98/148 Installing : ncurses-6.1-12.20190803.fc31.x86_64 99/148 Installing : libgomp-9.3.1-2.fc31.x86_64 100/148 Installing : libnghttp2-1.40.0-1.fc31.x86_64 101/148 Installing : libsmartcols-2.34-4.fc31.x86_64 102/148 Installing : pcre-8.44-1.fc31.x86_64 103/148 Installing : grep-3.3-3.fc31.x86_64 104/148 Installing : krb5-libs-1.17-46.fc31.x86_64 105/148 Installing : libtirpc-1.2.5-1.rc2.fc31.x86_64 106/148 Installing : libnsl2-1.2.0-5.20180605git4a062cf.fc31.x86_64 107/148 Installing : python-pip-wheel-19.1.1-7.fc31.noarch 108/148 Installing : openssl-libs-1:1.1.1d-2.fc31.x86_64 109/148 Installing : coreutils-8.31-9.fc31.x86_64 110/148 Running scriptlet: ca-certificates-2020.2.40-1.1.fc31.noarch 111/148 Installing : ca-certificates-2020.2.40-1.1.fc31.noarch 111/148 Running scriptlet: ca-certificates-2020.2.40-1.1.fc31.noarch 111/148 Installing : python3-libs-3.7.6-2.fc31.x86_64 112/148 Installing : python3-3.7.6-2.fc31.x86_64 113/148 Installing : crypto-policies-20191128-2.gitcd267a5.fc31.noarc 114/148 Installing : libblkid-2.34-4.fc31.x86_64 115/148 Running scriptlet: libblkid-2.34-4.fc31.x86_64 115/148 Installing : gzip-1.10-1.fc31.x86_64 116/148 Installing : cracklib-2.9.6-21.fc31.x86_64 117/148 Installing : binutils-gold-2.32-31.fc31.x86_64 118/148 Installing : binutils-2.32-31.fc31.x86_64 119/148 Running scriptlet: binutils-2.32-31.fc31.x86_64 119/148 Installing : libpwquality-1.4.2-1.fc31.x86_64 120/148 Installing : pam-1.3.1-21.fc31.x86_64 121/148 Installing : libfdisk-2.34-4.fc31.x86_64 122/148 Installing : libmount-2.34-4.fc31.x86_64 123/148 Installing : libssh-0.9.4-2.fc31.x86_64 124/148 Installing : guile22-2.2.6-2.fc31.x86_64 125/148 Running scriptlet: guile22-2.2.6-2.fc31.x86_64 125/148 Installing : systemd-libs-243.8-1.fc31.x86_64 126/148 Running scriptlet: systemd-libs-243.8-1.fc31.x86_64 126/148 Installing : libarchive-3.4.2-1.fc31.x86_64 127/148 Installing : cyrus-sasl-lib-2.1.27-3.fc31.x86_64 128/148 Installing : openldap-2.4.47-3.fc31.x86_64 129/148 Installing : libcurl-7.66.0-1.fc31.x86_64 130/148 Installing : curl-7.66.0-1.fc31.x86_64 131/148 Installing : rpm-libs-4.15.1-1.fc31.x86_64 132/148 Installing : rpm-4.15.1-1.fc31.x86_64 133/148 Installing : efi-srpm-macros-4-3.fc31.noarch 134/148 Installing : rpm-build-libs-4.15.1-1.fc31.x86_64 135/148 Installing : xz-5.2.4-6.fc31.x86_64 136/148 Installing : gnat-srpm-macros-4-10.fc31.noarch 137/148 Installing : ghc-srpm-macros-1.5.0-1.fc31.noarch 138/148 Installing : fpc-srpm-macros-1.2-2.fc31.noarch 139/148 Installing : go-srpm-macros-3.0.8-4.fc31.noarch 140/148 Installing : fonts-srpm-macros-2.0.3-1.fc31.noarch 141/148 Installing : python-srpm-macros-3-54.fc31.noarch 142/148 Installing : redhat-rpm-config-143-1.fc31.noarch 143/148 Installing : rpm-build-4.15.1-1.fc31.x86_64 144/148 Installing : util-linux-2.34-4.fc31.x86_64 145/148 Running scriptlet: util-linux-2.34-4.fc31.x86_64 145/148 Installing : make-1:4.2.1-15.fc31.x86_64 146/148 Installing : info-6.6-2.fc31.x86_64 147/148 Installing : which-2.21-15.fc31.x86_64 148/148 Running scriptlet: fedora-release-common-31-3.noarch 148/148 Running scriptlet: filesystem-3.12-2.fc31.x86_64 148/148 Running scriptlet: crypto-policies-20191128-2.gitcd267a5.fc31.noarc 148/148 Running scriptlet: which-2.21-15.fc31.x86_64 148/148 Verifying : alternatives-1.11-5.fc31.x86_64 1/148 Verifying : basesystem-11-8.fc31.noarch 2/148 Verifying : brotli-1.0.7-6.fc31.x86_64 3/148 Verifying : bzip2-1.0.8-1.fc31.x86_64 4/148 Verifying : bzip2-libs-1.0.8-1.fc31.x86_64 5/148 Verifying : cpio-2.12-12.fc31.x86_64 6/148 Verifying : cracklib-2.9.6-21.fc31.x86_64 7/148 Verifying : curl-7.66.0-1.fc31.x86_64 8/148 Verifying : diffutils-3.7-3.fc31.x86_64 9/148 Verifying : dwz-0.12-11.fc31.x86_64 10/148 Verifying : efi-srpm-macros-4-3.fc31.noarch 11/148 Verifying : expat-2.2.8-1.fc31.x86_64 12/148 Verifying : filesystem-3.12-2.fc31.x86_64 13/148 Verifying : findutils-1:4.6.0-24.fc31.x86_64 14/148 Verifying : fpc-srpm-macros-1.2-2.fc31.noarch 15/148 Verifying : gawk-5.0.1-5.fc31.x86_64 16/148 Verifying : gc-7.6.4-6.fc31.x86_64 17/148 Verifying : gdbm-libs-1:1.18.1-1.fc31.x86_64 18/148 Verifying : ghc-srpm-macros-1.5.0-1.fc31.noarch 19/148 Verifying : gmp-1:6.1.2-10.fc31.x86_64 20/148 Verifying : gnat-srpm-macros-4-10.fc31.noarch 21/148 Verifying : go-srpm-macros-3.0.8-4.fc31.noarch 22/148 Verifying : grep-3.3-3.fc31.x86_64 23/148 Verifying : guile22-2.2.6-2.fc31.x86_64 24/148 Verifying : gzip-1.10-1.fc31.x86_64 25/148 Verifying : info-6.6-2.fc31.x86_64 26/148 Verifying : keyutils-libs-1.6-3.fc31.x86_64 27/148 Verifying : libacl-2.2.53-4.fc31.x86_64 28/148 Verifying : libatomic_ops-7.6.10-2.fc31.x86_64 29/148 Verifying : libattr-2.4.48-7.fc31.x86_64 30/148 Verifying : libcap-2.26-6.fc31.x86_64 31/148 Verifying : libcurl-7.66.0-1.fc31.x86_64 32/148 Verifying : libdb-5.3.28-38.fc31.x86_64 33/148 Verifying : libdb-utils-5.3.28-38.fc31.x86_64 34/148 Verifying : libffi-3.1-23.fc31.x86_64 35/148 Verifying : libgcrypt-1.8.5-1.fc31.x86_64 36/148 Verifying : libgpg-error-1.36-2.fc31.x86_64 37/148 Verifying : libmetalink-0.1.3-9.fc31.x86_64 38/148 Verifying : libnsl2-1.2.0-5.20180605git4a062cf.fc31.x86_64 39/148 Verifying : libpkgconf-1.6.3-2.fc31.x86_64 40/148 Verifying : libpsl-0.21.0-2.fc31.x86_64 41/148 Verifying : libselinux-2.9-5.fc31.x86_64 42/148 Verifying : libsemanage-2.9-3.fc31.x86_64 43/148 Verifying : libsepol-2.9-2.fc31.x86_64 44/148 Verifying : libsigsegv-2.11-8.fc31.x86_64 45/148 Verifying : libtasn1-4.14-2.fc31.x86_64 46/148 Verifying : libtool-ltdl-2.4.6-31.fc31.x86_64 47/148 Verifying : libunistring-0.9.10-6.fc31.x86_64 48/148 Verifying : libutempter-1.1.6-17.fc31.x86_64 49/148 Verifying : libverto-0.3.0-8.fc31.x86_64 50/148 Verifying : lua-libs-5.3.5-6.fc31.x86_64 51/148 Verifying : lz4-libs-1.9.1-1.fc31.x86_64 52/148 Verifying : mpfr-3.1.6-5.fc31.x86_64 53/148 Verifying : ncurses-6.1-12.20190803.fc31.x86_64 54/148 Verifying : ncurses-base-6.1-12.20190803.fc31.noarch 55/148 Verifying : ncurses-libs-6.1-12.20190803.fc31.x86_64 56/148 Verifying : ocaml-srpm-macros-5-6.fc31.noarch 57/148 Verifying : openblas-srpm-macros-2-6.fc31.noarch 58/148 Verifying : openldap-2.4.47-3.fc31.x86_64 59/148 Verifying : openssl-libs-1:1.1.1d-2.fc31.x86_64 60/148 Verifying : patch-2.7.6-11.fc31.x86_64 61/148 Verifying : perl-srpm-macros-1-33.fc31.noarch 62/148 Verifying : pkgconf-1.6.3-2.fc31.x86_64 63/148 Verifying : pkgconf-m4-1.6.3-2.fc31.noarch 64/148 Verifying : pkgconf-pkg-config-1.6.3-2.fc31.x86_64 65/148 Verifying : popt-1.16-18.fc31.x86_64 66/148 Verifying : publicsuffix-list-dafsa-20190417-2.fc31.noarch 67/148 Verifying : readline-8.0-3.fc31.x86_64 68/148 Verifying : sed-4.5-4.fc31.x86_64 69/148 Verifying : tar-2:1.32-2.fc31.x86_64 70/148 Verifying : unzip-6.0-44.fc31.x86_64 71/148 Verifying : which-2.21-15.fc31.x86_64 72/148 Verifying : xz-5.2.4-6.fc31.x86_64 73/148 Verifying : xz-libs-5.2.4-6.fc31.x86_64 74/148 Verifying : zip-3.0-25.fc31.x86_64 75/148 Verifying : audit-libs-3.0-0.15.20191104git1c2f876.fc31.x86_ 76/148 Verifying : bash-5.0.11-1.fc31.x86_64 77/148 Verifying : binutils-2.32-31.fc31.x86_64 78/148 Verifying : binutils-gold-2.32-31.fc31.x86_64 79/148 Verifying : ca-certificates-2020.2.40-1.1.fc31.noarch 80/148 Verifying : coreutils-8.31-9.fc31.x86_64 81/148 Verifying : coreutils-common-8.31-9.fc31.x86_64 82/148 Verifying : crypto-policies-20191128-2.gitcd267a5.fc31.noarc 83/148 Verifying : cyrus-sasl-lib-2.1.27-3.fc31.x86_64 84/148 Verifying : elfutils-0.179-1.fc31.x86_64 85/148 Verifying : elfutils-default-yama-scope-0.179-1.fc31.noarch 86/148 Verifying : elfutils-libelf-0.179-1.fc31.x86_64 87/148 Verifying : elfutils-libs-0.179-1.fc31.x86_64 88/148 Verifying : fedora-gpg-keys-31-3.noarch 89/148 Verifying : fedora-release-31-3.noarch 90/148 Verifying : fedora-release-common-31-3.noarch 91/148 Verifying : fedora-repos-31-3.noarch 92/148 Verifying : file-5.37-8.fc31.x86_64 93/148 Verifying : file-libs-5.37-8.fc31.x86_64 94/148 Verifying : fonts-srpm-macros-2.0.3-1.fc31.noarch 95/148 Verifying : gdb-minimal-8.3.50.20190824-30.fc31.x86_64 96/148 Verifying : glibc-2.30-11.fc31.x86_64 97/148 Verifying : glibc-common-2.30-11.fc31.x86_64 98/148 Verifying : glibc-minimal-langpack-2.30-11.fc31.x86_64 99/148 Verifying : krb5-libs-1.17-46.fc31.x86_64 100/148 Verifying : libarchive-3.4.2-1.fc31.x86_64 101/148 Verifying : libblkid-2.34-4.fc31.x86_64 102/148 Verifying : libcap-ng-0.7.10-1.fc31.x86_64 103/148 Verifying : libcom_err-1.45.5-1.fc31.x86_64 104/148 Verifying : libfdisk-2.34-4.fc31.x86_64 105/148 Verifying : libgcc-9.3.1-2.fc31.x86_64 106/148 Verifying : libgomp-9.3.1-2.fc31.x86_64 107/148 Verifying : libidn2-2.3.0-1.fc31.x86_64 108/148 Verifying : libmount-2.34-4.fc31.x86_64 109/148 Verifying : libnghttp2-1.40.0-1.fc31.x86_64 110/148 Verifying : libpwquality-1.4.2-1.fc31.x86_64 111/148 Verifying : libsmartcols-2.34-4.fc31.x86_64 112/148 Verifying : libssh-0.9.4-2.fc31.x86_64 113/148 Verifying : libssh-config-0.9.4-2.fc31.noarch 114/148 Verifying : libstdc++-9.3.1-2.fc31.x86_64 115/148 Verifying : libtirpc-1.2.5-1.rc2.fc31.x86_64 116/148 Verifying : libuuid-2.34-4.fc31.x86_64 117/148 Verifying : libxcrypt-4.4.16-1.fc31.x86_64 118/148 Verifying : libxml2-2.9.10-3.fc31.x86_64 119/148 Verifying : libzstd-1.4.4-1.fc31.x86_64 120/148 Verifying : make-1:4.2.1-15.fc31.x86_64 121/148 Verifying : nim-srpm-macros-3-1.fc31.noarch 122/148 Verifying : p11-kit-0.23.20-1.fc31.x86_64 123/148 Verifying : p11-kit-trust-0.23.20-1.fc31.x86_64 124/148 Verifying : pam-1.3.1-21.fc31.x86_64 125/148 Verifying : pcre-8.44-1.fc31.x86_64 126/148 Verifying : pcre2-10.34-9.fc31.x86_64 127/148 Verifying : pcre2-syntax-10.34-9.fc31.noarch 128/148 Verifying : python-pip-wheel-19.1.1-7.fc31.noarch 129/148 Verifying : python-setuptools-wheel-41.6.0-1.fc31.noarch 130/148 Verifying : python-srpm-macros-3-54.fc31.noarch 131/148 Verifying : python3-3.7.6-2.fc31.x86_64 132/148 Verifying : python3-libs-3.7.6-2.fc31.x86_64 133/148 Verifying : qt5-srpm-macros-5.13.2-1.fc31.noarch 134/148 Verifying : redhat-rpm-config-143-1.fc31.noarch 135/148 Verifying : rpm-4.15.1-1.fc31.x86_64 136/148 Verifying : rpm-build-4.15.1-1.fc31.x86_64 137/148 Verifying : rpm-build-libs-4.15.1-1.fc31.x86_64 138/148 Verifying : rpm-libs-4.15.1-1.fc31.x86_64 139/148 Verifying : rust-srpm-macros-13-1.fc31.noarch 140/148 Verifying : setup-2.13.6-1.fc31.noarch 141/148 Verifying : shadow-utils-2:4.6-18.fc31.x86_64 142/148 Verifying : sqlite-libs-3.30.0-1.fc31.x86_64 143/148 Verifying : systemd-libs-243.8-1.fc31.x86_64 144/148 Verifying : tzdata-2019c-2.fc31.noarch 145/148 Verifying : util-linux-2.34-4.fc31.x86_64 146/148 Verifying : zlib-1.2.11-20.fc31.x86_64 147/148 Verifying : zstd-1.4.4-1.fc31.x86_64 148/148 Installed: alternatives-1.11-5.fc31.x86_64 audit-libs-3.0-0.15.20191104git1c2f876.fc31.x86_64 basesystem-11-8.fc31.noarch bash-5.0.11-1.fc31.x86_64 binutils-2.32-31.fc31.x86_64 binutils-gold-2.32-31.fc31.x86_64 brotli-1.0.7-6.fc31.x86_64 bzip2-1.0.8-1.fc31.x86_64 bzip2-libs-1.0.8-1.fc31.x86_64 ca-certificates-2020.2.40-1.1.fc31.noarch coreutils-8.31-9.fc31.x86_64 coreutils-common-8.31-9.fc31.x86_64 cpio-2.12-12.fc31.x86_64 cracklib-2.9.6-21.fc31.x86_64 crypto-policies-20191128-2.gitcd267a5.fc31.noarch curl-7.66.0-1.fc31.x86_64 cyrus-sasl-lib-2.1.27-3.fc31.x86_64 diffutils-3.7-3.fc31.x86_64 dwz-0.12-11.fc31.x86_64 efi-srpm-macros-4-3.fc31.noarch elfutils-0.179-1.fc31.x86_64 elfutils-default-yama-scope-0.179-1.fc31.noarch elfutils-libelf-0.179-1.fc31.x86_64 elfutils-libs-0.179-1.fc31.x86_64 expat-2.2.8-1.fc31.x86_64 fedora-gpg-keys-31-3.noarch fedora-release-31-3.noarch fedora-release-common-31-3.noarch fedora-repos-31-3.noarch file-5.37-8.fc31.x86_64 file-libs-5.37-8.fc31.x86_64 filesystem-3.12-2.fc31.x86_64 findutils-1:4.6.0-24.fc31.x86_64 fonts-srpm-macros-2.0.3-1.fc31.noarch fpc-srpm-macros-1.2-2.fc31.noarch gawk-5.0.1-5.fc31.x86_64 gc-7.6.4-6.fc31.x86_64 gdb-minimal-8.3.50.20190824-30.fc31.x86_64 gdbm-libs-1:1.18.1-1.fc31.x86_64 ghc-srpm-macros-1.5.0-1.fc31.noarch glibc-2.30-11.fc31.x86_64 glibc-common-2.30-11.fc31.x86_64 glibc-minimal-langpack-2.30-11.fc31.x86_64 gmp-1:6.1.2-10.fc31.x86_64 gnat-srpm-macros-4-10.fc31.noarch go-srpm-macros-3.0.8-4.fc31.noarch grep-3.3-3.fc31.x86_64 guile22-2.2.6-2.fc31.x86_64 gzip-1.10-1.fc31.x86_64 info-6.6-2.fc31.x86_64 keyutils-libs-1.6-3.fc31.x86_64 krb5-libs-1.17-46.fc31.x86_64 libacl-2.2.53-4.fc31.x86_64 libarchive-3.4.2-1.fc31.x86_64 libatomic_ops-7.6.10-2.fc31.x86_64 libattr-2.4.48-7.fc31.x86_64 libblkid-2.34-4.fc31.x86_64 libcap-2.26-6.fc31.x86_64 libcap-ng-0.7.10-1.fc31.x86_64 libcom_err-1.45.5-1.fc31.x86_64 libcurl-7.66.0-1.fc31.x86_64 libdb-5.3.28-38.fc31.x86_64 libdb-utils-5.3.28-38.fc31.x86_64 libfdisk-2.34-4.fc31.x86_64 libffi-3.1-23.fc31.x86_64 libgcc-9.3.1-2.fc31.x86_64 libgcrypt-1.8.5-1.fc31.x86_64 libgomp-9.3.1-2.fc31.x86_64 libgpg-error-1.36-2.fc31.x86_64 libidn2-2.3.0-1.fc31.x86_64 libmetalink-0.1.3-9.fc31.x86_64 libmount-2.34-4.fc31.x86_64 libnghttp2-1.40.0-1.fc31.x86_64 libnsl2-1.2.0-5.20180605git4a062cf.fc31.x86_64 libpkgconf-1.6.3-2.fc31.x86_64 libpsl-0.21.0-2.fc31.x86_64 libpwquality-1.4.2-1.fc31.x86_64 libselinux-2.9-5.fc31.x86_64 libsemanage-2.9-3.fc31.x86_64 libsepol-2.9-2.fc31.x86_64 libsigsegv-2.11-8.fc31.x86_64 libsmartcols-2.34-4.fc31.x86_64 libssh-0.9.4-2.fc31.x86_64 libssh-config-0.9.4-2.fc31.noarch libstdc++-9.3.1-2.fc31.x86_64 libtasn1-4.14-2.fc31.x86_64 libtirpc-1.2.5-1.rc2.fc31.x86_64 libtool-ltdl-2.4.6-31.fc31.x86_64 libunistring-0.9.10-6.fc31.x86_64 libutempter-1.1.6-17.fc31.x86_64 libuuid-2.34-4.fc31.x86_64 libverto-0.3.0-8.fc31.x86_64 libxcrypt-4.4.16-1.fc31.x86_64 libxml2-2.9.10-3.fc31.x86_64 libzstd-1.4.4-1.fc31.x86_64 lua-libs-5.3.5-6.fc31.x86_64 lz4-libs-1.9.1-1.fc31.x86_64 make-1:4.2.1-15.fc31.x86_64 mpfr-3.1.6-5.fc31.x86_64 ncurses-6.1-12.20190803.fc31.x86_64 ncurses-base-6.1-12.20190803.fc31.noarch ncurses-libs-6.1-12.20190803.fc31.x86_64 nim-srpm-macros-3-1.fc31.noarch ocaml-srpm-macros-5-6.fc31.noarch openblas-srpm-macros-2-6.fc31.noarch openldap-2.4.47-3.fc31.x86_64 openssl-libs-1:1.1.1d-2.fc31.x86_64 p11-kit-0.23.20-1.fc31.x86_64 p11-kit-trust-0.23.20-1.fc31.x86_64 pam-1.3.1-21.fc31.x86_64 patch-2.7.6-11.fc31.x86_64 pcre-8.44-1.fc31.x86_64 pcre2-10.34-9.fc31.x86_64 pcre2-syntax-10.34-9.fc31.noarch perl-srpm-macros-1-33.fc31.noarch pkgconf-1.6.3-2.fc31.x86_64 pkgconf-m4-1.6.3-2.fc31.noarch pkgconf-pkg-config-1.6.3-2.fc31.x86_64 popt-1.16-18.fc31.x86_64 publicsuffix-list-dafsa-20190417-2.fc31.noarch python-pip-wheel-19.1.1-7.fc31.noarch python-setuptools-wheel-41.6.0-1.fc31.noarch python-srpm-macros-3-54.fc31.noarch python3-3.7.6-2.fc31.x86_64 python3-libs-3.7.6-2.fc31.x86_64 qt5-srpm-macros-5.13.2-1.fc31.noarch readline-8.0-3.fc31.x86_64 redhat-rpm-config-143-1.fc31.noarch rpm-4.15.1-1.fc31.x86_64 rpm-build-4.15.1-1.fc31.x86_64 rpm-build-libs-4.15.1-1.fc31.x86_64 rpm-libs-4.15.1-1.fc31.x86_64 rust-srpm-macros-13-1.fc31.noarch sed-4.5-4.fc31.x86_64 setup-2.13.6-1.fc31.noarch shadow-utils-2:4.6-18.fc31.x86_64 sqlite-libs-3.30.0-1.fc31.x86_64 systemd-libs-243.8-1.fc31.x86_64 tar-2:1.32-2.fc31.x86_64 tzdata-2019c-2.fc31.noarch unzip-6.0-44.fc31.x86_64 util-linux-2.34-4.fc31.x86_64 which-2.21-15.fc31.x86_64 xz-5.2.4-6.fc31.x86_64 xz-libs-5.2.4-6.fc31.x86_64 zip-3.0-25.fc31.x86_64 zlib-1.2.11-20.fc31.x86_64 zstd-1.4.4-1.fc31.x86_64 Complete! Finish: dnf install Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1587254400 Wrote: /builddir/build/SRPMS/yosys-0.9-3.fc31.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-31-x86_64-1587286378.648397/root/var/log/dnf.rpm.log /var/lib/mock/fedora-31-x86_64-1587286378.648397/root/var/log/dnf.librepo.log /var/lib/mock/fedora-31-x86_64-1587286378.648397/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/tmp/copr-rpmbuild-ly5ksejc/yosys.spec) Config(child) 2 minutes 9 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run INFO: unmounting tmpfs. Running (timeout=86400): unbuffer mock --rebuild /var/lib/copr-rpmbuild/results/yosys-0.9-3.fc31.src.rpm --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1587286509.139348 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 2.2 starting (python version = 3.7.6)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.9-3.fc31.src.rpm) Config(fedora-31-x86_64) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-31-x86_64-bootstrap-1587286509.139348/root. INFO: calling preinit hooks INFO: enabled root cache Start(bootstrap): unpacking root cache Finish(bootstrap): unpacking root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.2 INFO: Mock Version: 2.2 Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-31-x86_64-1587286509.139348/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 2.2 INFO: Mock Version: 2.2 Start: dnf update No matches found for the following disable plugin patterns: local, spacewalk Copr repository 42 kB/s | 3.6 kB 00:00 fedora 105 kB/s | 17 kB 00:00 updates 192 kB/s | 15 kB 00:00 Dependencies resolved. Nothing to do. Complete! Finish: dnf update Finish: chroot init Start: build phase for yosys-0.9-3.fc31.src.rpm Start: build setup for yosys-0.9-3.fc31.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1587254400 Wrote: /builddir/build/SRPMS/yosys-0.9-3.fc31.src.rpm No matches found for the following disable plugin patterns: local, spacewalk Copr repository 142 kB/s | 3.6 kB 00:00 fedora 57 kB/s | 17 kB 00:00 updates 51 kB/s | 15 kB 00:00 Package pkgconf-pkg-config-1.6.3-2.fc31.x86_64 is already installed. Package python3-3.7.6-2.fc31.x86_64 is already installed. Dependencies resolved. ==================================================================================================== Package Arch Version Repo Size ==================================================================================================== Installing: abc  x86_64 1.01-23.git20190608.fc31 fedora 19 k bison  x86_64 3.4.1-2.fc31 fedora 779 k flex  x86_64 2.6.4-3.fc31 fedora 319 k gcc-c++  x86_64 9.3.1-2.fc31 updates 12 M graphviz  x86_64 2.40.1-54.fc31 fedora 3.4 M iverilog  x86_64 10.3-1.fc31 fedora 2.1 M libffi-devel  x86_64 3.1-23.fc31 fedora 24 k readline-devel  x86_64 8.0-3.fc31 fedora 193 k tcl-devel  x86_64 1:8.6.8-2.fc31 fedora 159 k texlive-beamer  noarch 9:svn51711-19.fc31 updates 210 k texlive-collection-bibtexextra  noarch 9:svn50619-19.fc31 updates 17 k texlive-collection-fontsextra  noarch 9:svn51763-19.fc31 updates 19 k texlive-collection-latexextra  noarch 9:svn51779-19.fc31 updates 41 k texlive-collection-mathscience  noarch 9:svn51797-19.fc31 updates 18 k texlive-collection-publishers  noarch 9:svn51691-19.fc31 updates 18 k txt2man  noarch 1.6.0-7.fc31 fedora 28 k Installing dependencies: ImageMagick  x86_64 1:6.9.10.86-1.fc31 updates 160 k ImageMagick-libs  x86_64 1:6.9.10.86-1.fc31 updates 2.3 M LibRaw  x86_64 0.19.5-1.fc31 fedora 320 k OpenEXR-libs  x86_64 2.3.0-4.fc31 fedora 814 k R-core  x86_64 3.6.3-1.fc31 updates 57 M R-evaluate  noarch 0.14-3.fc31 fedora 88 k R-glue  x86_64 1.3.1-4.fc31 updates 171 k R-highr  noarch 0.8-3.fc31 fedora 52 k R-knitr  noarch 1.28-1.fc31 updates 1.2 M R-magrittr  noarch 1.5-8.fc31 fedora 175 k R-markdown  x86_64 1.1-1.fc31 fedora 139 k R-mime  x86_64 0.9-1.fc31 updates 46 k R-stringi  x86_64 1.4.6-1.fc31 updates 806 k R-stringr  noarch 1.4.0-4.fc31 updates 219 k R-xfun  noarch 0.12-1.fc31 updates 194 k R-yaml  x86_64 2.2.1-1.fc31 updates 119 k abc-libs  x86_64 1.01-23.git20190608.fc31 fedora 4.3 M acl  x86_64 2.2.53-4.fc31 fedora 72 k adobe-mappings-cmap  noarch 20171205-6.fc31 fedora 1.9 M adobe-mappings-cmap-deprecated  noarch 20171205-6.fc31 fedora 118 k adobe-mappings-pdf  noarch 20180407-4.fc31 fedora 668 k adwaita-cursor-theme  noarch 3.34.3-2.fc31 updates 625 k adwaita-icon-theme  noarch 3.34.3-2.fc31 updates 11 M alsa-lib  x86_64 1.2.2-2.fc31 updates 472 k annobin  x86_64 8.78-4.fc31 updates 105 k at-spi2-atk  x86_64 2.34.2-1.fc31 updates 89 k at-spi2-core  x86_64 2.34.0-1.fc31 fedora 175 k atk  x86_64 2.34.1-1.fc31 fedora 269 k avahi  x86_64 0.7-20.fc31 fedora 288 k avahi-glib  x86_64 0.7-20.fc31 fedora 14 k avahi-libs  x86_64 0.7-20.fc31 fedora 63 k bc  x86_64 1.07.1-9.fc31 fedora 121 k biber  noarch 2.12-1.fc31 updates 282 k cairo  x86_64 1.16.0-6.fc31 fedora 707 k cairo-gobject  x86_64 1.16.0-6.fc31 fedora 20 k cdparanoia-libs  x86_64 10.2-30.fc31 fedora 55 k color-filesystem  noarch 1-23.fc31 fedora 7.8 k colord  x86_64 1.4.4-2.fc31 fedora 511 k colord-libs  x86_64 1.4.4-2.fc31 fedora 236 k cpp  x86_64 9.3.1-2.fc31 updates 9.8 M cryptsetup-libs  x86_64 2.3.0-1.fc31 updates 449 k cups  x86_64 1:2.2.12-6.fc31 updates 1.4 M cups-client  x86_64 1:2.2.12-6.fc31 updates 70 k cups-filesystem  noarch 1:2.2.12-6.fc31 updates 11 k cups-filters  x86_64 1.27.3-1.fc31 updates 826 k cups-filters-libs  x86_64 1.27.3-1.fc31 updates 136 k cups-ipptool  x86_64 1:2.2.12-6.fc31 updates 5.7 M cups-libs  x86_64 1:2.2.12-6.fc31 updates 275 k dbus  x86_64 1:1.12.16-3.fc31 fedora 11 k dbus-broker  x86_64 21-6.fc31 fedora 175 k dbus-common  noarch 1:1.12.16-3.fc31 fedora 17 k dbus-libs  x86_64 1:1.12.16-3.fc31 fedora 161 k desktop-file-utils  x86_64 0.24-1.fc31 fedora 73 k device-mapper  x86_64 1.02.171-1.fc31 updates 143 k device-mapper-libs  x86_64 1.02.171-1.fc31 updates 177 k emacs-filesystem  noarch 1:26.3-1.fc31 updates 8.5 k fftw-libs-double  x86_64 3.3.8-6.fc31 fedora 968 k fontawesome-fonts  noarch 4.7.0-7.fc31 fedora 205 k fontconfig  x86_64 2.13.92-3.fc31 fedora 263 k fonts-filesystem  noarch 2.0.3-1.fc31 updates 7.7 k freetype  x86_64 2.10.0-3.fc31 fedora 384 k fribidi  x86_64 1.0.5-5.fc31 updates 83 k gcc  x86_64 9.3.1-2.fc31 updates 21 M gd  x86_64 2.2.5-12.fc31 updates 139 k gdbm  x86_64 1:1.18.1-1.fc31 fedora 127 k gdk-pixbuf2  x86_64 2.40.0-1.fc31 fedora 465 k gdk-pixbuf2-modules  x86_64 2.40.0-1.fc31 fedora 99 k ghostscript  x86_64 9.27-4.fc31 updates 39 k glib-networking  x86_64 2.62.3-1.fc31 updates 155 k glib2  x86_64 2.62.6-1.fc31 updates 2.6 M glibc-devel  x86_64 2.30-11.fc31 updates 1.0 M glibc-headers  x86_64 2.30-11.fc31 updates 446 k gnutls  x86_64 3.6.13-1.fc31 updates 984 k google-droid-sans-fonts  noarch 20120715-16.fc31 fedora 2.4 M graphite2  x86_64 1.3.13-1.fc31 fedora 104 k groff-base  x86_64 1.22.3-20.fc31 fedora 1.0 M gsettings-desktop-schemas  x86_64 3.34.0-1.fc31 fedora 644 k gstreamer1  x86_64 1.16.2-1.fc31 updates 1.3 M gstreamer1-plugins-base  x86_64 1.16.2-2.fc31 updates 2.0 M gtk-update-icon-cache  x86_64 3.24.13-2.fc31 updates 33 k gtk2  x86_64 2.24.32-6.fc31 updates 3.5 M gtk3  x86_64 3.24.13-2.fc31 updates 4.6 M gts  x86_64 0.7.6-36.20121130.fc31 fedora 238 k harfbuzz  x86_64 2.6.1-2.fc31 fedora 628 k harfbuzz-icu  x86_64 2.6.1-2.fc31 fedora 16 k hicolor-icon-theme  noarch 0.17-7.fc31 fedora 45 k hwdata  noarch 0.334-1.fc31 updates 1.4 M ilmbase  x86_64 2.3.0-3.fc31 fedora 143 k iptables-libs  x86_64 1.8.3-7.fc31 updates 56 k isl  x86_64 0.16.1-9.fc31 fedora 871 k iso-codes  noarch 4.3-2.fc31 fedora 3.3 M jasper-libs  x86_64 2.0.14-9.fc31 fedora 165 k jbig2dec-libs  x86_64 0.16-1.fc31 fedora 70 k jbigkit-libs  x86_64 2.1-17.fc31 fedora 53 k json-c  x86_64 0.13.1-8.fc31 updates 38 k json-glib  x86_64 1.4.4-3.fc31 fedora 145 k kernel-headers  x86_64 5.5.17-200.fc31 updates 1.2 M kmod-libs  x86_64 26-4.fc31 fedora 64 k lasi  x86_64 1.1.2-13.fc31 fedora 48 k lcms2  x86_64 2.9-6.fc31 fedora 166 k less  x86_64 551-2.fc31 fedora 154 k libICE  x86_64 1.0.10-2.fc31 fedora 72 k libRmath  x86_64 3.6.3-1.fc31 updates 121 k libSM  x86_64 1.2.3-4.fc31 fedora 42 k libX11  x86_64 1.6.9-2.fc31 updates 659 k libX11-common  noarch 1.6.9-2.fc31 updates 153 k libX11-xcb  x86_64 1.6.9-2.fc31 updates 11 k libXau  x86_64 1.0.9-2.fc31 fedora 31 k libXaw  x86_64 1.0.13-13.fc31 fedora 201 k libXcomposite  x86_64 0.4.4-17.fc31 fedora 23 k libXcursor  x86_64 1.1.15-6.fc31 fedora 30 k libXdamage  x86_64 1.1.4-17.fc31 fedora 21 k libXext  x86_64 1.3.4-2.fc31 fedora 39 k libXfixes  x86_64 5.0.3-10.fc31 fedora 19 k libXft  x86_64 2.3.3-2.fc31 fedora 64 k libXi  x86_64 1.7.10-2.fc31 fedora 39 k libXinerama  x86_64 1.1.4-4.fc31 fedora 14 k libXmu  x86_64 1.1.3-2.fc31 fedora 77 k libXpm  x86_64 3.5.12-10.fc31 fedora 58 k libXrandr  x86_64 1.5.2-2.fc31 fedora 27 k libXrender  x86_64 0.9.10-10.fc31 fedora 27 k libXt  x86_64 1.1.5-12.20190424gitba4ec9376.fc31 fedora 183 k libXtst  x86_64 1.2.3-10.fc31 fedora 21 k libXv  x86_64 1.0.11-10.fc31 fedora 18 k libXxf86vm  x86_64 1.1.4-12.fc31 fedora 18 k libargon2  x86_64 20171227-3.fc31 fedora 30 k libcroco  x86_64 0.6.13-2.fc31 fedora 112 k libdaemon  x86_64 0.14-18.fc31 fedora 32 k libdatrie  x86_64 0.2.9-10.fc31 fedora 32 k libdrm  x86_64 2.4.100-1.fc31 updates 159 k libepoxy  x86_64 1.5.3-4.fc31 fedora 248 k liberation-fonts-common  noarch 1:2.00.5-6.fc31 fedora 14 k liberation-mono-fonts  noarch 1:2.00.5-6.fc31 fedora 503 k libfontenc  x86_64 1.1.3-11.fc31 fedora 31 k libgee  x86_64 0.20.3-1.fc31 updates 289 k libgfortran  x86_64 9.3.1-2.fc31 updates 736 k libglvnd  x86_64 1:1.1.1-5.fc31 fedora 109 k libglvnd-egl  x86_64 1:1.1.1-5.fc31 fedora 46 k libglvnd-gles  x86_64 1:1.1.1-5.fc31 fedora 37 k libglvnd-glx  x86_64 1:1.1.1-5.fc31 fedora 147 k libgs  x86_64 9.27-4.fc31 updates 3.0 M libgudev  x86_64 232-6.fc31 fedora 34 k libgusb  x86_64 0.3.4-1.fc31 updates 49 k libicu  x86_64 63.2-3.fc31 fedora 9.3 M libidn  x86_64 1.35-6.fc31 fedora 240 k libijs  x86_64 0.35-10.fc31 fedora 30 k libimagequant  x86_64 2.12.5-1.fc31 fedora 63 k libjpeg-turbo  x86_64 2.0.2-5.fc31 updates 168 k liblqr-1  x86_64 0.4.2-13.fc31 fedora 50 k libmcpp  x86_64 2.7.2-24.fc31 fedora 79 k libmodman  x86_64 2.0.1-20.fc31 fedora 34 k libmpc  x86_64 1.1.0-4.fc31 fedora 60 k libogg  x86_64 2:1.3.3-3.fc31 fedora 26 k libpaper  x86_64 1.1.24-25.fc31 fedora 42 k libpcap  x86_64 14:1.9.1-2.fc31 updates 168 k libpciaccess  x86_64 0.15-2.fc31 fedora 27 k libpng  x86_64 2:1.6.37-2.fc31 fedora 114 k libproxy  x86_64 0.4.15-14.fc31 fedora 69 k libquadmath  x86_64 9.3.1-2.fc31 updates 209 k libraqm  x86_64 0.7.0-4.fc31 updates 19 k librsvg2  x86_64 2.46.4-1.fc31 updates 1.4 M libseccomp  x86_64 2.4.2-2.fc31 updates 76 k libsoup  x86_64 2.68.4-1.fc31 updates 383 k libstdc++-devel  x86_64 9.3.1-2.fc31 updates 1.9 M libthai  x86_64 0.1.28-3.fc31 fedora 213 k libtheora  x86_64 1:1.1.1-24.fc31 fedora 187 k libtiff  x86_64 4.0.10-8.fc31 updates 178 k libusbx  x86_64 1.0.22-4.fc31 fedora 69 k libvisual  x86_64 1:0.4.0-27.fc31 fedora 149 k libvorbis  x86_64 1:1.3.6-5.fc31 fedora 201 k libwayland-client  x86_64 1.17.0-2.fc31 fedora 35 k libwayland-cursor  x86_64 1.17.0-2.fc31 fedora 20 k libwayland-egl  x86_64 1.17.0-2.fc31 fedora 13 k libwayland-server  x86_64 1.17.0-2.fc31 fedora 41 k libwebp  x86_64 1.0.3-2.fc31 fedora 279 k libwmf-lite  x86_64 0.2.12-2.fc31 fedora 71 k libxcb  x86_64 1.13.1-3.fc31 fedora 231 k libxcrypt-devel  x86_64 4.4.16-1.fc31 updates 34 k libxkbcommon  x86_64 0.9.1-3.fc31 updates 120 k libxshmfence  x86_64 1.3-5.fc31 fedora 12 k libxslt  x86_64 1.1.34-1.fc31 updates 244 k m4  x86_64 1.4.18-11.fc31 fedora 217 k mailcap  noarch 2.1.48-6.fc31 fedora 31 k mcpp  x86_64 2.7.2-24.fc31 fedora 27 k mesa-libEGL  x86_64 19.2.8-1.fc31 updates 122 k mesa-libGL  x86_64 19.2.8-1.fc31 updates 180 k mesa-libgbm  x86_64 19.2.8-1.fc31 updates 42 k mesa-libglapi  x86_64 19.2.8-1.fc31 updates 57 k ncurses-c++-libs  x86_64 6.1-12.20190803.fc31 fedora 37 k ncurses-devel  x86_64 6.1-12.20190803.fc31 fedora 503 k netpbm  x86_64 10.90.00-1.fc31 updates 184 k nettle  x86_64 3.5.1-3.fc31 fedora 404 k nspr  x86_64 4.25.0-1.fc31 updates 137 k nss  x86_64 3.51.0-1.fc31 updates 666 k nss-mdns  x86_64 0.14.1-7.fc31 updates 44 k nss-softokn  x86_64 3.51.0-1.fc31 updates 439 k nss-softokn-freebl  x86_64 3.51.0-1.fc31 updates 242 k nss-sysinit  x86_64 3.51.0-1.fc31 updates 20 k nss-util  x86_64 3.51.0-1.fc31 updates 89 k oldstandard-sfd-fonts  noarch 2.0.2-26.fc31 fedora 1.7 M openblas-Rblas  x86_64 0.3.9-2.fc31 updates 4.3 M openjpeg2  x86_64 2.3.1-6.fc31 updates 154 k opus  x86_64 1.3.1-2.fc31 fedora 218 k orc  x86_64 0.4.30-1.fc31 fedora 181 k pango  x86_64 1.44.7-1.fc31 updates 293 k pdfpc  x86_64 4.3.4-3.fc31 fedora 169 k perl-B-Hooks-EndOfScope  noarch 0.24-8.fc31 fedora 38 k perl-BibTeX-Parser  noarch 1.02-6.fc31 fedora 31 k perl-Bit-Vector  x86_64 7.4-16.fc31 fedora 167 k perl-Business-ISBN  noarch 3.004-9.fc31 fedora 32 k perl-Business-ISBN-Data  noarch 20140910.003-12.fc31 fedora 29 k perl-Business-ISMN  noarch 1.201-4.fc31 fedora 25 k perl-Business-ISSN  noarch 1.003-6.fc31 fedora 18 k perl-Carp  noarch 1.50-439.fc31 fedora 29 k perl-Carp-Clan  noarch 6.08-1.fc31 fedora 25 k perl-Class-Accessor  noarch 0.51-7.fc31 fedora 29 k perl-Class-Data-Inheritable  noarch 0.08-33.fc31 fedora 13 k perl-Class-Inspector  noarch 1.36-1.fc31 fedora 31 k perl-Class-Method-Modifiers  noarch 2.13-1.fc31 fedora 44 k perl-Class-Singleton  noarch 1.5-14.fc31 fedora 19 k perl-Compress-Raw-Bzip2  x86_64 2.087-1.fc31 fedora 33 k perl-Compress-Raw-Zlib  x86_64 2.087-1.fc31 fedora 60 k perl-Crypt-RC4  noarch 2.02-23.fc31 fedora 14 k perl-Data-Compare  noarch 1.26-1.fc31 fedora 35 k perl-Data-Dump  noarch 1.23-12.fc31 fedora 33 k perl-Data-Dumper  x86_64 2.174-440.fc31 fedora 57 k perl-Data-OptList  noarch 0.110-11.fc31 fedora 26 k perl-Data-Uniqid  noarch 0.12-20.fc31 fedora 12 k perl-Date-Calc  noarch 6.4-13.fc31 fedora 207 k perl-Date-ISO8601  noarch 0.005-7.fc31 fedora 21 k perl-Date-Manip  noarch 6.80-1.fc31 updates 1.0 M perl-DateTime  x86_64 2:1.51-4.fc31 fedora 129 k perl-DateTime-Calendar-Julian  noarch 0.102-1.fc31 updates 23 k perl-DateTime-Format-Builder  noarch 0.8200-3.fc31 fedora 88 k perl-DateTime-Format-Strptime  noarch 1:1.76-3.fc31 fedora 42 k perl-DateTime-Locale  noarch 1.25-1.fc31 updates 2.6 M perl-DateTime-TimeZone  noarch 2.38-1.fc31 updates 360 k perl-DateTime-TimeZone-SystemV  noarch 0.010-8.fc31 fedora 24 k perl-DateTime-TimeZone-Tzfile  noarch 0.011-8.fc31 fedora 21 k perl-Devel-CallChecker  x86_64 0.008-8.fc31 fedora 24 k perl-Devel-Caller  x86_64 2.06-20.fc31 fedora 19 k perl-Devel-GlobalDestruction  noarch 0.14-10.fc31 fedora 17 k perl-Devel-LexAlias  x86_64 0.05-21.fc31 fedora 17 k perl-Devel-StackTrace  noarch 1:2.04-4.fc31 fedora 31 k perl-Digest  noarch 1.17-439.fc31 fedora 24 k perl-Digest-HMAC  noarch 1.03-22.fc31 fedora 16 k perl-Digest-MD5  x86_64 2.55-439.fc31 fedora 36 k perl-Digest-Perl-MD5  noarch 1.9-17.fc31 fedora 18 k perl-Digest-SHA  x86_64 1:6.02-440.fc31 fedora 64 k perl-Digest-SHA1  x86_64 2.13-28.fc31 fedora 54 k perl-Dist-CheckConflicts  noarch 0.11-16.fc31 fedora 23 k perl-DynaLoader-Functions  noarch 0.003-7.fc31 fedora 19 k perl-Email-Date-Format  noarch 1.005-14.fc31 fedora 18 k perl-Encode  x86_64 4:3.05-443.fc31 updates 1.7 M perl-Encode-EUCJPASCII  x86_64 0.03-28.fc31 fedora 165 k perl-Encode-HanExtra  x86_64 0.23-28.fc31 fedora 2.0 M perl-Encode-JIS2K  x86_64 0.03-13.fc31 fedora 400 k perl-Encode-Locale  noarch 1.05-14.fc31 fedora 19 k perl-Errno  x86_64 1.30-451.fc31 updates 24 k perl-Eval-Closure  noarch 0.14-10.fc31 fedora 24 k perl-Exception-Class  noarch 1.44-7.fc31 fedora 43 k perl-Exporter  noarch 5.74-1.fc31 updates 32 k perl-Exporter-Tiny  noarch 1.002001-4.fc31 fedora 51 k perl-ExtUtils-MM-Utils  noarch 2:7.44-1.fc31 updates 12 k perl-File-Find-Rule  noarch 0.34-13.fc31 fedora 33 k perl-File-Listing  noarch 6.04-22.fc31 fedora 16 k perl-File-Path  noarch 2.16-439.fc31 fedora 36 k perl-File-ShareDir  noarch 1.116-6.fc31 fedora 30 k perl-File-Slurper  noarch 0.012-6.fc31 fedora 21 k perl-File-Temp  noarch 1:0.230.900-439.fc31 fedora 60 k perl-File-Which  noarch 1.23-4.fc31 fedora 22 k perl-Filter  x86_64 2:1.59-440.fc31 fedora 82 k perl-GD  x86_64 2.71-3.fc31 fedora 177 k perl-GD-Barcode  noarch 1.15-32.fc31 fedora 598 k perl-Getopt-Long  noarch 1:2.51-1.fc31 fedora 60 k perl-HTML-Parser  x86_64 3.72-19.fc31 fedora 110 k perl-HTML-Tagset  noarch 3.20-40.fc31 fedora 19 k perl-HTTP-Cookies  noarch 6.04-7.fc31 fedora 37 k perl-HTTP-Date  noarch 6.05-1.fc31 updates 24 k perl-HTTP-Message  noarch 6.22-1.fc31 updates 96 k perl-HTTP-Negotiate  noarch 6.01-24.fc31 fedora 20 k perl-HTTP-Tiny  noarch 0.076-439.fc31 fedora 55 k perl-IO  x86_64 1.40-451.fc31 updates 91 k perl-IO-Compress  noarch 2.087-1.fc31 fedora 241 k perl-IO-HTML  noarch 1.001-15.fc31 fedora 26 k perl-IO-Socket-IP  noarch 0.39-440.fc31 fedora 42 k perl-IO-Socket-SSL  noarch 2.066-7.fc31 updates 238 k perl-IO-String  noarch 1.08-36.fc31 fedora 17 k perl-IO-stringy  noarch 2.111-14.fc31 fedora 67 k perl-IPC-Cmd  noarch 2:1.04-2.fc31 fedora 40 k perl-IPC-Run3  noarch 0.048-17.fc31 fedora 39 k perl-IPC-SysV  x86_64 2.07-440.fc31 fedora 43 k perl-Jcode  noarch 2.07-29.fc31 fedora 38 k perl-LWP-MediaTypes  noarch 6.04-3.fc31 fedora 34 k perl-LWP-Protocol-https  noarch 6.07-9.fc31 fedora 15 k perl-LaTeX-ToUnicode  noarch 0.05-10.fc31 fedora 24 k perl-List-AllUtils  noarch 0.16-1.fc31 updates 49 k perl-List-MoreUtils  noarch 0.428-7.fc31 fedora 64 k perl-List-MoreUtils-XS  x86_64 0.428-7.fc31 fedora 62 k perl-List-SomeUtils  noarch 0.56-8.fc31 fedora 46 k perl-List-UtilsBy  noarch 0.11-7.fc31 fedora 29 k perl-Locale-Maketext  noarch 1.29-440.fc31 fedora 95 k perl-Locale-Maketext-Simple  noarch 1:0.21-451.fc31 updates 27 k perl-Log-Dispatch  noarch 2.68-4.fc31 fedora 85 k perl-Log-Dispatch-FileRotate  noarch 1.36-4.fc31 fedora 34 k perl-Log-Log4perl  noarch 1.49-9.fc31 fedora 355 k perl-MIME-Base64  x86_64 3.15-439.fc31 fedora 30 k perl-MIME-Charset  noarch 1.012.2-9.fc31 fedora 49 k perl-MIME-Lite  noarch 3.030-16.fc31 fedora 94 k perl-MIME-Types  noarch 2.17-7.fc31 fedora 68 k perl-MRO-Compat  noarch 0.13-9.fc31 fedora 19 k perl-Mail-Sender  noarch 1:0.903-10.fc31 fedora 52 k perl-Mail-Sendmail  noarch 0.80-7.fc31 fedora 37 k perl-MailTools  noarch 2.21-3.fc31 fedora 104 k perl-Math-BigInt  noarch 1:1.9998.16-439.fc31 fedora 185 k perl-Math-Complex  noarch 1.59-451.fc31 updates 56 k perl-Module-CoreList  noarch 1:5.20200320-1.fc31 updates 81 k perl-Module-Implementation  noarch 0.09-22.fc31 fedora 20 k perl-Module-Load  noarch 1:0.34-439.fc31 fedora 17 k perl-Module-Load-Conditional  noarch 0.70-1.fc31 updates 22 k perl-Module-Metadata  noarch 1.000037-1.fc31 fedora 36 k perl-Module-Runtime  noarch 0.016-7.fc31 fedora 23 k perl-Mozilla-CA  noarch 20180117-6.fc31 fedora 12 k perl-NTLM  noarch 1.09-21.fc31 fedora 22 k perl-Net-HTTP  noarch 6.19-3.fc31 fedora 40 k perl-Net-SMTP-SSL  noarch 1.04-10.fc31 fedora 12 k perl-Net-SSLeay  x86_64 1.88-3.fc31 fedora 355 k perl-Number-Compare  noarch 0.03-24.fc31 fedora 12 k perl-OLE-Storage_Lite  noarch 0.19-26.fc31 fedora 29 k perl-Package-Generator  noarch 1.106-16.fc31 fedora 23 k perl-Package-Stash  noarch 0.38-4.fc31 fedora 36 k perl-Package-Stash-XS  x86_64 0.29-4.fc31 fedora 36 k perl-PadWalker  x86_64 2.3-7.fc31 fedora 27 k perl-Params-Check  noarch 1:0.38-439.fc31 fedora 22 k perl-Params-Classify  x86_64 0.015-8.fc31 fedora 33 k perl-Params-Util  x86_64 1.07-28.fc31 fedora 38 k perl-Params-Validate  x86_64 1.29-11.fc31 fedora 71 k perl-Params-ValidationCompiler  noarch 0.30-5.fc31 fedora 40 k perl-Parse-RecDescent  noarch 1.967015-9.fc31 fedora 197 k perl-PathTools  x86_64 3.78-439.fc31 fedora 86 k perl-PerlIO-utf8_strict  x86_64 0.007-10.fc31 fedora 26 k perl-Pod-Escapes  noarch 1:1.07-439.fc31 fedora 20 k perl-Pod-Html  noarch 1.24-451.fc31 updates 36 k perl-Pod-Perldoc  noarch 3.28.01-442.fc31 fedora 85 k perl-Pod-Simple  noarch 1:3.39-2.fc31 fedora 214 k perl-Pod-Usage  noarch 4:1.70-1.fc31 updates 33 k perl-Ref-Util  noarch 0.204-6.fc31 fedora 24 k perl-Ref-Util-XS  x86_64 0.117-7.fc31 fedora 24 k perl-Regexp-Common  noarch 2017060201-10.fc31 fedora 182 k perl-Role-Tiny  noarch 2.001004-1.fc31 updates 33 k perl-Scalar-List-Utils  x86_64 3:1.53-439.fc31 updates 66 k perl-SelfLoader  noarch 1.25-451.fc31 updates 31 k perl-Socket  x86_64 4:2.029-4.fc31 fedora 56 k perl-Sort-Key  x86_64 1.33-16.fc31 fedora 48 k perl-Specio  noarch 0.44-2.fc31 updates 155 k perl-Spreadsheet-ParseExcel  x86_64 0.6500-24.fc31 fedora 150 k perl-Spreadsheet-WriteExcel  noarch 2.40-16.fc31 fedora 516 k perl-Storable  x86_64 1:3.15-442.fc31 updates 97 k perl-Sub-Exporter  noarch 0.987-20.fc31 fedora 67 k perl-Sub-Exporter-Progressive  noarch 0.001013-10.fc31 fedora 21 k perl-Sub-Identify  x86_64 0.14-11.fc31 fedora 23 k perl-Sub-Install  noarch 0.928-21.fc31 fedora 22 k perl-Sys-Syslog  x86_64 0.36-1.fc31 updates 48 k perl-Term-ANSIColor  noarch 4.06-440.fc31 fedora 44 k perl-Term-Cap  noarch 1.17-439.fc31 fedora 22 k perl-Text-Balanced  noarch 2.03-439.fc31 fedora 56 k perl-Text-BibTeX  x86_64 0.88-3.fc31 fedora 266 k perl-Text-CSV  noarch 2.00-2.fc31 fedora 110 k perl-Text-CSV_XS  x86_64 1.40-1.fc31 fedora 132 k perl-Text-Glob  noarch 0.11-9.fc31 fedora 13 k perl-Text-ParseWords  noarch 3.30-439.fc31 fedora 16 k perl-Text-Roman  noarch 3.5-14.fc31 fedora 23 k perl-Text-Tabs+Wrap  noarch 2013.0523-439.fc31 fedora 23 k perl-Text-Unidecode  noarch 1.30-10.fc31 fedora 141 k perl-Tie-Cycle  noarch 1.225-8.fc31 fedora 19 k perl-Time-HiRes  x86_64 1.9760-439.fc31 fedora 59 k perl-Time-Local  noarch 2:1.300-1.fc31 updates 34 k perl-TimeDate  noarch 1:2.30-19.fc31 updates 49 k perl-Try-Tiny  noarch 0.30-7.fc31 fedora 38 k perl-UNIVERSAL-isa  noarch 1.20171012-7.fc31 fedora 22 k perl-URI  noarch 1.76-5.fc31 fedora 108 k perl-Unicode-Collate  x86_64 1.27-439.fc31 fedora 714 k perl-Unicode-LineBreak  x86_64 2019.001-4.fc31 fedora 123 k perl-Unicode-Map  x86_64 0.112-48.fc31 fedora 231 k perl-Unicode-Normalize  x86_64 1.26-439.fc31 fedora 97 k perl-Variable-Magic  x86_64 0.62-8.fc31 fedora 55 k perl-WWW-RobotRules  noarch 6.02-23.fc31 fedora 20 k perl-XML-LibXML  x86_64 1:2.0201-3.fc31 fedora 363 k perl-XML-LibXML-Simple  noarch 0.99-7.fc31 fedora 32 k perl-XML-LibXSLT  x86_64 1.96-6.fc31 fedora 60 k perl-XML-NamespaceSupport  noarch 1.12-9.fc31 fedora 26 k perl-XML-Parser  x86_64 2.44-17.fc31 fedora 233 k perl-XML-SAX  noarch 1.02-2.fc31 fedora 59 k perl-XML-SAX-Base  noarch 1.09-9.fc31 fedora 33 k perl-XML-Writer  noarch 0.625-15.fc31 fedora 33 k perl-XML-XPath  noarch 1.44-4.fc31 fedora 81 k perl-autovivification  x86_64 0.18-7.fc31 fedora 33 k perl-constant  noarch 1.33-440.fc31 fedora 23 k perl-encoding  x86_64 4:2.22-443.fc31 updates 62 k perl-interpreter  x86_64 4:5.30.2-451.fc31 updates 6.0 M perl-libnet  noarch 3.11-440.fc31 fedora 117 k perl-libs  x86_64 4:5.30.2-451.fc31 updates 1.7 M perl-libwww-perl  noarch 6.43-1.fc31 updates 201 k perl-macros  noarch 4:5.30.2-451.fc31 updates 20 k perl-namespace-autoclean  noarch 0.29-1.fc31 fedora 26 k perl-namespace-clean  noarch 0.27-12.fc31 fedora 29 k perl-open  noarch 1.11-451.fc31 updates 25 k perl-parent  noarch 1:0.237-439.fc31 fedora 14 k perl-podlators  noarch 1:4.12-2.fc31 fedora 113 k perl-threads  x86_64 1:2.22-439.fc31 fedora 58 k perl-threads-shared  x86_64 1.60-440.fc31 fedora 44 k perl-version  x86_64 7:0.99.24-441.fc31 updates 63 k perltidy  noarch 20190915-1.fc31 fedora 444 k pixman  x86_64 0.38.4-1.fc31 fedora 260 k polkit-libs  x86_64 0.116-4.fc31.1 updates 67 k poppler  x86_64 0.73.0-16.fc31 updates 1.1 M poppler-cpp  x86_64 0.73.0-16.fc31 updates 55 k poppler-data  noarch 0.4.9-4.fc31 fedora 1.9 M poppler-glib  x86_64 0.73.0-16.fc31 updates 157 k poppler-utils  x86_64 0.73.0-16.fc31 updates 229 k python-unversioned-command  noarch 3.7.6-2.fc31 updates 14 k python2  x86_64 2.7.17-1.fc31 updates 42 k python2-libs  x86_64 2.7.17-1.fc31 updates 6.0 M python3-pygments  noarch 2.4.2-2.fc31 fedora 1.7 M python3-setuptools  noarch 41.6.0-1.fc31 updates 585 k qpdf-libs  x86_64 8.4.2-2.fc31 fedora 511 k qrencode-libs  x86_64 4.0.2-4.fc31 fedora 61 k rest  x86_64 0.8.1-6.fc31 fedora 70 k shared-mime-info  x86_64 1.15-1.fc31 updates 303 k sombok  x86_64 2.4.0-10.fc31 fedora 48 k stix-fonts  noarch 1.1.0-15.fc31 fedora 1.3 M stix-math-fonts  noarch 1.1.0-15.fc31 fedora 308 k systemd  x86_64 243.8-1.fc31 updates 3.8 M systemd-pam  x86_64 243.8-1.fc31 updates 165 k systemd-rpm-macros  noarch 243.8-1.fc31 updates 20 k tcl  x86_64 1:8.6.8-2.fc31 fedora 1.1 M teckit  x86_64 2.5.9-2.fc31 fedora 463 k tex-preview  noarch 12.1-7.fc31 fedora 60 k texlive-12many  noarch 9:svn15878.0.3-19.fc31 updates 19 k texlive-2up  noarch 9:svn41578-19.fc31 updates 18 k texlive-ESIEEcv  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-GS1  noarch 9:svn44822-19.fc31 updates 23 k texlive-HA-prosper  noarch 9:svn15878.4.21-19.fc31 updates 49 k texlive-IEEEconf  noarch 9:svn15878.1.4-19.fc31 updates 19 k texlive-IEEEtran  noarch 9:svn51065-19.fc31 updates 110 k texlive-SIstyle  noarch 9:svn15878.2.3a-19.fc31 updates 20 k texlive-SIunits  noarch 9:svn15878.1.36-19.fc31 updates 26 k texlive-Tabbing  noarch 9:svn17022.0-19.fc31 updates 18 k texlive-a0poster  noarch 9:svn15878.1.22b-19.fc31 updates 20 k texlive-a4wide  noarch 9:svn20943.0-19.fc31 updates 18 k texlive-a5comb  noarch 9:svn17020.4-19.fc31 updates 15 k texlive-aastex  noarch 9:svn47692-19.fc31 updates 61 k texlive-abnt  noarch 9:svn49188-19.fc31 updates 117 k texlive-abntex2  noarch 9:svn49248-19.fc31 updates 50 k texlive-abraces  noarch 9:svn27880.2-19.fc31 updates 19 k texlive-abstract  noarch 9:svn15878.1.2a-19.fc31 updates 19 k texlive-academicons  noarch 9:svn48100-19.fc31 updates 51 k texlive-accanthis  noarch 9:svn32089.0-19.fc31 updates 418 k texlive-accents  noarch 9:svn51497-19.fc31 updates 247 k texlive-achemso  noarch 9:svn50025-19.fc31 updates 47 k texlive-acmart  noarch 9:svn51796-19.fc31 updates 57 k texlive-acmconf  noarch 9:svn15878.1.3-19.fc31 updates 21 k texlive-acro  noarch 9:svn52662-19.fc31 updates 41 k texlive-acronym  noarch 9:svn36582.1.41-19.fc31 updates 24 k texlive-acroterm  noarch 9:svn20498.0.1-19.fc31 updates 21 k texlive-active-conf  noarch 9:svn15878.0.3a-19.fc31 updates 22 k texlive-actuarialangle  noarch 9:svn51376-19.fc31 updates 16 k texlive-actuarialsymbol  noarch 9:svn51371-19.fc31 updates 298 k texlive-addfont  noarch 9:svn41972-19.fc31 updates 119 k texlive-addlines  noarch 9:svn49326-19.fc31 updates 18 k texlive-adfathesis  noarch 9:svn26048.2.42-19.fc31 updates 24 k texlive-adforn  noarch 9:svn20019.1.001_b_2-19.fc31 updates 56 k texlive-adfsymbols  noarch 9:svn19766.1.001-19.fc31 updates 47 k texlive-adigraph  noarch 9:svn49862-19.fc31 updates 492 k texlive-adjmulticol  noarch 9:svn28936.1.1-19.fc31 updates 22 k texlive-adjustbox  noarch 9:svn49596-19.fc31 updates 34 k texlive-adrconv  noarch 9:svn46817-19.fc31 updates 20 k texlive-advdate  noarch 9:svn20538.0-19.fc31 updates 22 k texlive-ae  noarch 9:svn15878.1.4-19.fc31 updates 100 k texlive-aecc  noarch 9:svn28574.1.0-19.fc31 updates 39 k texlive-aeguill  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-afparticle  noarch 9:svn35900.1.3-19.fc31 updates 24 k texlive-afthesis  noarch 9:svn15878.2.7-19.fc31 updates 28 k texlive-aguplus  noarch 9:svn17156.1.6b-19.fc31 updates 46 k texlive-aiaa  noarch 9:svn15878.3.6-19.fc31 updates 26 k texlive-aichej  noarch 9:svn15878.0-19.fc31 updates 23 k texlive-ajl  noarch 9:svn34016.0-19.fc31 updates 23 k texlive-akktex  noarch 9:svn26055.0.3.2-19.fc31 updates 35 k texlive-akletter  noarch 9:svn15878.1.5i-19.fc31 updates 27 k texlive-alegreya  noarch 9:svn50277-19.fc31 updates 14 M texlive-alertmessage  noarch 9:svn38055.1.1-19.fc31 updates 27 k texlive-alg  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-algobox  noarch 9:svn45223-19.fc31 updates 157 k texlive-algolrevived  noarch 9:svn51210-19.fc31 updates 934 k texlive-algorithm2e  noarch 9:svn44846-19.fc31 updates 50 k texlive-algorithmicx  noarch 9:svn15878.0-19.fc31 updates 25 k texlive-algorithms  noarch 9:svn42428-19.fc31 updates 26 k texlive-aligned-overset  noarch 9:svn47290-19.fc31 updates 390 k texlive-allrunes  noarch 9:svn42221-19.fc31 updates 7.7 M texlive-almendra  noarch 9:svn51085-19.fc31 updates 419 k texlive-almfixed  noarch 9:svn35065.0.92-19.fc31 updates 295 k texlive-alnumsec  noarch 9:svn15878.v0.03-19.fc31 updates 19 k texlive-alterqcm  noarch 9:svn51446-19.fc31 updates 23 k texlive-altfont  noarch 9:svn15878.1.1-19.fc31 updates 22 k texlive-ametsoc  noarch 9:svn36030.4.3.2-19.fc31 updates 33 k texlive-amsaddr  noarch 9:svn29630.1.1-19.fc31 updates 19 k texlive-amscdx  noarch 9:svn51532-19.fc31 updates 228 k texlive-amscls  noarch 9:svn46099-19.fc31 updates 1.1 M texlive-amsfonts  noarch 9:svn29208.3.04-19.fc31 updates 3.6 M texlive-amsmath  noarch 9:svn49390-19.fc31 updates 48 k texlive-amsrefs  noarch 9:svn30646.2.14-19.fc31 updates 49 k texlive-amstex  noarch 7:20190410-8.fc31 updates 430 k texlive-animate  noarch 9:svn51704-19.fc31 updates 41 k texlive-anonchap  noarch 9:svn17049.1.1a-19.fc31 updates 18 k texlive-anonymouspro  noarch 9:svn51631-19.fc31 updates 456 k texlive-answers  noarch 9:svn35032.2.16-19.fc31 updates 19 k texlive-antiqua  noarch 9:svn24266.001.003-19.fc31 updates 90 k texlive-antt  noarch 9:svn18651.2.08-19.fc31 updates 4.8 M texlive-anufinalexam-doc  noarch 9:svn26053.0-19.fc31 updates 20 k texlive-anyfontsize  noarch 9:svn17050.0-19.fc31 updates 19 k texlive-anysize  noarch 9:svn15878.0-19.fc31 updates 15 k texlive-aobs-tikz  noarch 9:svn32662.1.0-19.fc31 updates 21 k texlive-aomart  noarch 9:svn46091-19.fc31 updates 33 k texlive-apa  noarch 9:svn42428-19.fc31 updates 30 k texlive-apa6  noarch 9:svn44652-19.fc31 updates 35 k texlive-apa6e  noarch 9:svn23350.0.3-19.fc31 updates 17 k texlive-apacite  noarch 9:svn31264.6.03-19.fc31 updates 61 k texlive-apalike2  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-appendix  noarch 9:svn42428-19.fc31 updates 20 k texlive-appendixnumberbeamer  noarch 9:svn46317-19.fc31 updates 26 k texlive-apptools  noarch 9:svn28400.1.0-19.fc31 updates 21 k texlive-apxproof  noarch 9:svn49865-19.fc31 updates 566 k texlive-arabicfront  noarch 9:svn51474-19.fc31 updates 16 k texlive-archaeologie  noarch 9:svn50908-19.fc31 updates 96 k texlive-archaic  noarch 9:svn38005.0-19.fc31 updates 399 k texlive-arcs  noarch 9:svn15878.1-19.fc31 updates 18 k texlive-arev  noarch 9:svn15878.0-19.fc31 updates 979 k texlive-arimo  noarch 9:svn42880-19.fc31 updates 2.9 M texlive-arphic  noarch 9:svn15878.0-19.fc31 updates 26 M texlive-arraycols  noarch 9:svn51491-19.fc31 updates 466 k texlive-arrayjobx  noarch 9:svn18125.1.04-19.fc31 updates 20 k texlive-arraysort  noarch 9:svn31576.1.0-19.fc31 updates 20 k texlive-arsclassica  noarch 9:svn45656-19.fc31 updates 19 k texlive-articleingud  noarch 9:svn38741-19.fc31 updates 23 k texlive-arydshln  noarch 9:svn50084-19.fc31 updates 26 k texlive-asaetr  noarch 9:svn15878.1.0a-19.fc31 updates 28 k texlive-asana-math  noarch 9:svn50999-19.fc31 updates 733 k texlive-asapsym  noarch 9:svn40201-19.fc31 updates 71 k texlive-ascelike  noarch 9:svn29129.2.3-19.fc31 updates 30 k texlive-ascii-font  noarch 9:svn29989.2.0-19.fc31 updates 66 k texlive-asciilist  noarch 9:svn49060-19.fc31 updates 21 k texlive-askmaps  noarch 9:svn32320.0.1-19.fc31 updates 20 k texlive-asmeconf  noarch 9:svn51583-19.fc31 updates 403 k texlive-asmejour  noarch 9:svn51567-19.fc31 updates 399 k texlive-aspectratio  noarch 9:svn25243.2.0-19.fc31 updates 90 k texlive-assignment  noarch 9:svn20431.0-19.fc31 updates 19 k texlive-assoccnt  noarch 9:svn38497-19.fc31 updates 24 k texlive-astro  noarch 9:svn15878.2.20-19.fc31 updates 31 k texlive-asyfig  noarch 9:svn17512.0.1c-19.fc31 updates 21 k texlive-asypictureb  noarch 9:svn33490.0.3-19.fc31 updates 23 k texlive-attachfile  noarch 9:svn42099-19.fc31 updates 25 k texlive-aucklandthesis  noarch 9:svn51323-19.fc31 updates 25 k texlive-augie  noarch 9:svn18948.0-19.fc31 updates 82 k texlive-auncial-new  noarch 9:svn15878.2.0-19.fc31 updates 217 k texlive-aurical  noarch 9:svn15878.1.5-19.fc31 updates 2.4 M texlive-aurl  noarch 9:svn41853-19.fc31 updates 29 k texlive-authoraftertitle  noarch 9:svn24863.0.9-19.fc31 updates 14 k texlive-authorarchive  noarch 9:svn51430-19.fc31 updates 1.2 M texlive-authorindex  noarch 7:20190410-8.fc31 updates 138 k texlive-auto-pst-pdf  noarch 9:svn23723.0.6-19.fc31 updates 20 k texlive-autoarea  noarch 9:svn15878.0.3a-19.fc31 updates 21 k texlive-autobreak  noarch 9:svn43337-19.fc31 updates 552 k texlive-autonum  noarch 9:svn36084.0.3.11-19.fc31 updates 23 k texlive-autopdf  noarch 9:svn32377.1.1-19.fc31 updates 23 k texlive-avantgar  noarch 9:svn31835.0-19.fc31 updates 300 k texlive-avremu  noarch 9:svn35373.0.1-19.fc31 updates 35 k texlive-axodraw2  x86_64 7:20190410-8.fc31 updates 526 k texlive-b1encoding  noarch 9:svn21271.1.0-19.fc31 updates 25 k texlive-babel  noarch 9:svn51681-19.fc31 updates 289 k texlive-babel-english  noarch 9:svn44495-19.fc31 updates 23 k texlive-babelbib  noarch 9:svn50354-19.fc31 updates 48 k texlive-background  noarch 9:svn42428-19.fc31 updates 19 k texlive-backnaur  noarch 9:svn51505-19.fc31 updates 21 k texlive-bangorcsthesis  noarch 9:svn45059-19.fc31 updates 77 k texlive-bangorexam  noarch 9:svn46626-19.fc31 updates 257 k texlive-bankstatement  noarch 9:svn38857-19.fc31 updates 22 k texlive-barcodes  noarch 9:svn15878.0-19.fc31 updates 25 k texlive-bardiag  noarch 9:svn22013.0.4a-19.fc31 updates 30 k texlive-base  x86_64 7:20190410-8.fc31 updates 2.1 M texlive-bashful  noarch 9:svn25597.0.93-19.fc31 updates 25 k texlive-basicarith  noarch 9:svn35460.1.1-19.fc31 updates 22 k texlive-baskervald  noarch 9:svn19490.1.016-19.fc31 updates 462 k texlive-baskervaldx  noarch 9:svn43461-19.fc31 updates 808 k texlive-baskervillef  noarch 9:svn51121-19.fc31 updates 1.4 M texlive-bath-bst  noarch 9:svn51595-19.fc31 updates 747 k texlive-bbding  noarch 9:svn17186.1.01-19.fc31 updates 30 k texlive-bbm  noarch 9:svn15878.0-19.fc31 updates 60 k texlive-bbm-macros  noarch 9:svn17224.0-19.fc31 updates 19 k texlive-bbold  noarch 9:svn17187.1.01-19.fc31 updates 35 k texlive-bbold-type1  noarch 9:svn33143.0-19.fc31 updates 83 k texlive-bchart  noarch 9:svn43928-19.fc31 updates 15 k texlive-beamer-FUBerlin-doc  noarch 9:svn38159.0.02b-19.fc31 updates 379 k texlive-beamer-rl  noarch 9:svn50970-19.fc31 updates 202 k texlive-beamer-verona  noarch 9:svn39180-19.fc31 updates 23 k texlive-beamer2thesis  noarch 9:svn27539.2.2-19.fc31 updates 305 k texlive-beameraudience  noarch 9:svn23427.0.1-19.fc31 updates 18 k texlive-beamerauxtheme  noarch 9:svn51053-19.fc31 updates 202 k texlive-beamercolorthemeowl  noarch 9:svn40105-19.fc31 updates 22 k texlive-beamerdarkthemes  noarch 9:svn35101.0.4.1-19.fc31 updates 22 k texlive-beamerposter  noarch 9:svn47508-19.fc31 updates 25 k texlive-beamersubframe  noarch 9:svn23510.0.2-19.fc31 updates 21 k texlive-beamerswitch  noarch 9:svn51341-19.fc31 updates 262 k texlive-beamertheme-cuerna  noarch 9:svn42161-19.fc31 updates 347 k texlive-beamertheme-detlevcm  noarch 9:svn39048-19.fc31 updates 22 k texlive-beamertheme-epyt  noarch 9:svn41404-19.fc31 updates 23 k texlive-beamertheme-focus  noarch 9:svn51489-19.fc31 updates 551 k texlive-beamertheme-light  noarch 9:svn49867-19.fc31 updates 123 k texlive-beamertheme-metropolis  noarch 9:svn43031-19.fc31 updates 22 k texlive-beamertheme-npbt  noarch 9:svn48424-19.fc31 updates 1.8 M texlive-beamertheme-phnompenh  noarch 9:svn39100-19.fc31 updates 14 k texlive-beamertheme-saintpetersburg  noarch 9:svn45877-19.fc31 updates 270 k texlive-beamertheme-upenn-bc  noarch 9:svn29937.1.0-19.fc31 updates 19 k texlive-beamerthemejltree  noarch 9:svn21977.1.1-19.fc31 updates 20 k texlive-beamerthemenirma  noarch 9:svn20765.0.1-19.fc31 updates 20 k texlive-beebe  noarch 9:svn46314-19.fc31 updates 585 k texlive-begriff  noarch 9:svn15878.1.6-19.fc31 updates 20 k texlive-beilstein  noarch 9:svn46503-19.fc31 updates 713 k texlive-belleek  noarch 9:svn18651.0-19.fc31 updates 101 k texlive-bera  noarch 9:svn20031.0-19.fc31 updates 343 k texlive-berenisadf  noarch 9:svn32215.1.004-19.fc31 updates 1.5 M texlive-besjournals  noarch 9:svn45662-19.fc31 updates 22 k texlive-bestpapers  noarch 9:svn38708-19.fc31 updates 22 k texlive-beton  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-beuron  noarch 9:svn46374-19.fc31 updates 289 k texlive-bewerbung  noarch 9:svn37880.1.1-19.fc31 updates 31 k texlive-bez123  noarch 9:svn15878.1.1b-19.fc31 updates 22 k texlive-bgteubner  noarch 9:svn44205-19.fc31 updates 48 k texlive-bguq  noarch 9:svn27401.0.4-19.fc31 updates 39 k texlive-bhcexam  noarch 9:svn39041-19.fc31 updates 21 k texlive-bib2gls  noarch 7:20190410-8.fc31 updates 5.8 M texlive-bibarts  noarch 9:svn50226-19.fc31 updates 46 k texlive-bibexport  noarch 7:20190410-8.fc31 updates 271 k texlive-bibhtml  noarch 9:svn31607.2.0.2-19.fc31 updates 31 k texlive-biblatex  noarch 9:svn49069-19.fc31 updates 244 k texlive-biblatex-abnt  noarch 9:svn49179-19.fc31 updates 39 k texlive-biblatex-anonymous  noarch 9:svn45855-19.fc31 updates 21 k texlive-biblatex-apa  noarch 9:svn47268-19.fc31 updates 40 k texlive-biblatex-archaeology  noarch 9:svn49202-19.fc31 updates 4.2 M texlive-biblatex-arthistory-bonn  noarch 9:svn46637-19.fc31 updates 208 k texlive-biblatex-bath  noarch 9:svn51599-19.fc31 updates 455 k texlive-biblatex-bookinarticle  noarch 9:svn40323-19.fc31 updates 22 k texlive-biblatex-bookinother  noarch 9:svn45856-19.fc31 updates 23 k texlive-biblatex-bwl  noarch 9:svn26556.0.02-19.fc31 updates 21 k texlive-biblatex-caspervector  noarch 9:svn48122-19.fc31 updates 25 k texlive-biblatex-chem  noarch 9:svn46441-19.fc31 updates 26 k texlive-biblatex-chicago  noarch 9:svn46331-19.fc31 updates 87 k texlive-biblatex-claves  noarch 9:svn43723-19.fc31 updates 22 k texlive-biblatex-dw  noarch 9:svn42649-19.fc31 updates 35 k texlive-biblatex-enc  noarch 9:svn44627-19.fc31 updates 30 k texlive-biblatex-ext  noarch 9:svn50759-19.fc31 updates 577 k texlive-biblatex-fiwi  noarch 9:svn45876-19.fc31 updates 37 k texlive-biblatex-gb7714-2015  noarch 9:svn50661-19.fc31 updates 1.4 M texlive-biblatex-gost  noarch 9:svn46709-19.fc31 updates 47 k texlive-biblatex-historian  noarch 9:svn19787.0.4-19.fc31 updates 41 k texlive-biblatex-ieee  noarch 9:svn51402-19.fc31 updates 25 k texlive-biblatex-ijsra  noarch 9:svn41634-19.fc31 updates 21 k texlive-biblatex-iso690  noarch 9:svn44066-19.fc31 updates 33 k texlive-biblatex-juradiss  noarch 9:svn29252.0.1g-19.fc31 updates 23 k texlive-biblatex-lni  noarch 9:svn49935-19.fc31 updates 23 k texlive-biblatex-luh-ipw  noarch 9:svn32180.0.3-19.fc31 updates 27 k texlive-biblatex-manuscripts-philology  noarch 9:svn45912-19.fc31 updates 25 k texlive-biblatex-mla  noarch 9:svn42445-19.fc31 updates 33 k texlive-biblatex-morenames  noarch 9:svn43049-19.fc31 updates 22 k texlive-biblatex-multiple-dm  noarch 9:svn37081.1.0.1-19.fc31 updates 21 k texlive-biblatex-musuos  noarch 9:svn24097.1.0-19.fc31 updates 21 k texlive-biblatex-nature  noarch 9:svn43382-19.fc31 updates 20 k texlive-biblatex-nejm  noarch 9:svn49839-19.fc31 updates 22 k texlive-biblatex-nottsclassic  noarch 9:svn41596-19.fc31 updates 23 k texlive-biblatex-opcit-booktitle  noarch 9:svn43621-19.fc31 updates 22 k texlive-biblatex-oxref  noarch 9:svn50061-19.fc31 updates 1.9 M texlive-biblatex-philosophy  noarch 9:svn47283-19.fc31 updates 34 k texlive-biblatex-phys  noarch 9:svn41922-19.fc31 updates 23 k texlive-biblatex-publist  noarch 9:svn50989-19.fc31 updates 27 k texlive-biblatex-realauthor  noarch 9:svn45865-19.fc31 updates 22 k texlive-biblatex-sbl  noarch 9:svn49426-19.fc31 updates 676 k texlive-biblatex-science  noarch 9:svn42147-19.fc31 updates 20 k texlive-biblatex-shortfields  noarch 9:svn45858-19.fc31 updates 103 k texlive-biblatex-socialscienceshuberlin  noarch 9:svn47839-19.fc31 updates 133 k texlive-biblatex-source-division  noarch 9:svn45379-19.fc31 updates 22 k texlive-biblatex-subseries  noarch 9:svn43330-19.fc31 updates 21 k texlive-biblatex-swiss-legal  noarch 9:svn32750.1.1.2a-19.fc31 updates 40 k texlive-biblatex-trad  noarch 9:svn46668-19.fc31 updates 22 k texlive-biblatex-true-citepages-omit  noarch 9:svn44653-19.fc31 updates 21 k texlive-bibletext  noarch 9:svn45196-19.fc31 updates 15 k texlive-biblist  noarch 9:svn17116.0-19.fc31 updates 26 k texlive-bibtex  x86_64 7:20190410-8.fc31 updates 452 k texlive-bibtexperllibs  noarch 9:svn47520-19.fc31 updates 13 k texlive-bibtopic  noarch 9:svn15878.1.1a-19.fc31 updates 27 k texlive-bibtopicprefix  noarch 9:svn15878.1.10-19.fc31 updates 19 k texlive-bibunits  noarch 9:svn15878.2.2-19.fc31 updates 20 k texlive-bidi  noarch 9:svn51377-19.fc31 updates 156 k texlive-bigfoot  noarch 9:svn38248.2.1-19.fc31 updates 32 k texlive-bigints  noarch 9:svn29803.0-19.fc31 updates 18 k texlive-binarytree  noarch 9:svn41777-19.fc31 updates 461 k texlive-binomexp  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-biochemistry-colors  noarch 9:svn43960-19.fc31 updates 98 k texlive-biocon  noarch 9:svn15878.0-19.fc31 updates 22 k texlive-biolett-bst  noarch 9:svn42217-19.fc31 updates 23 k texlive-bitpattern  noarch 9:svn39073-19.fc31 updates 22 k texlive-bitter  noarch 9:svn51086-19.fc31 updates 243 k texlive-bizcard  noarch 9:svn15878.1.1-19.fc31 updates 20 k texlive-blacklettert1  noarch 9:svn15878.0-19.fc31 updates 29 k texlive-blindtext  noarch 9:svn25039.2.0-19.fc31 updates 27 k texlive-blkarray  noarch 9:svn36406.0.07-19.fc31 updates 36 k texlive-blochsphere  noarch 9:svn38388-19.fc31 updates 23 k texlive-block  noarch 9:svn17209.0-19.fc31 updates 15 k texlive-bloques  noarch 9:svn22490.1.0-19.fc31 updates 21 k texlive-blowup  noarch 9:svn46213-19.fc31 updates 332 k texlive-blox  noarch 9:svn35014.2.5-19.fc31 updates 19 k texlive-bnumexpr  noarch 9:svn49643-19.fc31 updates 23 k texlive-bodegraph  noarch 9:svn20047.1.4-19.fc31 updates 35 k texlive-bohr  noarch 9:svn37657.1.0-19.fc31 updates 23 k texlive-boisik  noarch 9:svn15878.0.5-19.fc31 updates 257 k texlive-boites  noarch 9:svn32235.1.1-19.fc31 updates 23 k texlive-bold-extra  noarch 9:svn17076.0.1-19.fc31 updates 18 k texlive-boldtensors  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-bondgraph  noarch 9:svn21670.1.0-19.fc31 updates 21 k texlive-bondgraphs  noarch 9:svn36605.1.0.1-19.fc31 updates 22 k texlive-bookcover  noarch 9:svn46410-19.fc31 updates 23 k texlive-bookdb  noarch 9:svn37536.0.2-19.fc31 updates 27 k texlive-bookest  noarch 9:svn15878.1.1-19.fc31 updates 21 k texlive-bookhands  noarch 9:svn46480-19.fc31 updates 195 k texlive-booklet  noarch 9:svn15878.0.7b-19.fc31 updates 23 k texlive-bookman  noarch 9:svn31835.0-19.fc31 updates 342 k texlive-booktabs  noarch 9:svn40846-19.fc31 updates 21 k texlive-boolexpr  noarch 9:svn17830.3.14-19.fc31 updates 20 k texlive-boondox  noarch 9:svn43344-19.fc31 updates 226 k texlive-bophook  noarch 9:svn17062.0.02-19.fc31 updates 19 k texlive-bosisio  noarch 9:svn16989.0-19.fc31 updates 25 k texlive-boxedminipage  noarch 9:svn17087.2-19.fc31 updates 15 k texlive-boxedminipage2e  noarch 9:svn36477.1.0-19.fc31 updates 21 k texlive-boxhandler  noarch 9:svn28031.1.30-19.fc31 updates 23 k texlive-bpchem  noarch 9:svn45120-19.fc31 updates 20 k texlive-br-lex  noarch 9:svn44939-19.fc31 updates 22 k texlive-bracketkey  noarch 9:svn17129.1.0-19.fc31 updates 21 k texlive-braids  noarch 9:svn51048-19.fc31 updates 27 k texlive-braille  noarch 9:svn20655.0-19.fc31 updates 19 k texlive-braket  noarch 9:svn17127.0-19.fc31 updates 16 k texlive-brandeis-dissertation  noarch 9:svn32047.2.0-19.fc31 updates 22 k texlive-brandeis-problemset  noarch 9:svn50991-19.fc31 updates 166 k texlive-breakcites  noarch 9:svn21014-19.fc31 updates 15 k texlive-breakurl  noarch 9:svn29901.1.40-19.fc31 updates 20 k texlive-breqn  noarch 9:svn43071-19.fc31 updates 45 k texlive-bropd  noarch 9:svn35383.1.2-19.fc31 updates 21 k texlive-brushscr  noarch 9:svn28363.0-19.fc31 updates 69 k texlive-bullcntr  noarch 9:svn15878.0.04-19.fc31 updates 22 k texlive-bussproofs  noarch 9:svn27488.1.1-19.fc31 updates 28 k texlive-bussproofs-extra  noarch 9:svn51299-19.fc31 updates 241 k texlive-bxcalc  noarch 9:svn46482-19.fc31 updates 313 k texlive-bxdpx-beamer  noarch 9:svn41813-19.fc31 updates 16 k texlive-bxdvidriver  noarch 9:svn43219-19.fc31 updates 17 k texlive-bxeepic  noarch 9:svn30559.0.2-19.fc31 updates 21 k texlive-bxenclose  noarch 9:svn40213-19.fc31 updates 16 k texlive-bxnewfont  noarch 9:svn44173-19.fc31 updates 19 k texlive-bxpapersize  noarch 9:svn45501-19.fc31 updates 19 k texlive-bxpdfver  noarch 9:svn43201-19.fc31 updates 20 k texlive-bxtexlogo  noarch 9:svn47230-19.fc31 updates 435 k texlive-bytefield  noarch 9:svn45339-19.fc31 updates 22 k texlive-cabin  noarch 9:svn50936-19.fc31 updates 2.1 M texlive-cachepic  noarch 7:20190410-8.fc31 updates 177 k texlive-caladea  noarch 9:svn34991.0-19.fc31 updates 380 k texlive-calcage  noarch 9:svn27725.0.90-19.fc31 updates 21 k texlive-calctab  noarch 9:svn15878.v0.6.1-19.fc31 updates 22 k texlive-calculation  noarch 9:svn35973.1.0-19.fc31 updates 23 k texlive-calculator  noarch 9:svn33041.2.0-19.fc31 updates 29 k texlive-calligra  noarch 9:svn15878.0-19.fc31 updates 60 k texlive-calligra-type1  noarch 9:svn24302.001.000-19.fc31 updates 73 k texlive-callouts  noarch 9:svn44899-19.fc31 updates 217 k texlive-calrsfs  noarch 9:svn17125.0-19.fc31 updates 15 k texlive-cals  noarch 9:svn43003-19.fc31 updates 25 k texlive-calxxxx-yyyy  noarch 9:svn49554-19.fc31 updates 26 k texlive-cancel  noarch 9:svn32508.2.2-19.fc31 updates 17 k texlive-canoniclayout  noarch 9:svn24523.0.4-19.fc31 updates 22 k texlive-cantarell  noarch 9:svn51459-19.fc31 updates 1.3 M texlive-capt-of  noarch 9:svn29803.0-19.fc31 updates 18 k texlive-captcont  noarch 9:svn15878.2.0-19.fc31 updates 19 k texlive-captdef  noarch 9:svn17353.0-19.fc31 updates 18 k texlive-caption  noarch 9:svn47968-19.fc31 updates 54 k texlive-carbohydrates  noarch 9:svn39000-19.fc31 updates 25 k texlive-carlisle  noarch 9:svn47876-19.fc31 updates 29 k texlive-carlito  noarch 9:svn35002.0-19.fc31 updates 2.8 M texlive-carolmin-ps  noarch 9:svn15878.0-19.fc31 updates 122 k texlive-cascade  noarch 9:svn48200-19.fc31 updates 91 k texlive-cascadilla  noarch 9:svn25144.1.8.2-19.fc31 updates 27 k texlive-cases  noarch 9:svn17123.2.5-19.fc31 updates 18 k texlive-casyl  noarch 9:svn15878.2.0-19.fc31 updates 21 k texlive-catchfilebetweentags  noarch 9:svn21476.1.1-19.fc31 updates 22 k texlive-catcodes  noarch 9:svn38859-19.fc31 updates 26 k texlive-catechis  noarch 9:svn49061-19.fc31 updates 19 k texlive-catoptions  noarch 9:svn35069.0.2.7h-19.fc31 updates 58 k texlive-cbcoptic  noarch 9:svn16666.0.2-19.fc31 updates 125 k texlive-cbfonts-fd  noarch 9:svn44917-19.fc31 updates 24 k texlive-ccaption  noarch 9:svn23443.3.2c-19.fc31 updates 25 k texlive-ccfonts  noarch 9:svn17122.1.1-19.fc31 updates 19 k texlive-ccicons  noarch 9:svn45646-19.fc31 updates 37 k texlive-cclicenses  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-cd  noarch 9:svn34452.1.4-19.fc31 updates 22 k texlive-cd-cover  noarch 9:svn17121.1.0-19.fc31 updates 22 k texlive-cdpbundl  noarch 9:svn46613-19.fc31 updates 37 k texlive-cell  noarch 9:svn42428-19.fc31 updates 25 k texlive-cellprops  noarch 9:svn48227-19.fc31 updates 576 k texlive-cellspace  noarch 9:svn50374-19.fc31 updates 19 k texlive-celtic  noarch 9:svn39797-19.fc31 updates 23 k texlive-censor  noarch 9:svn49168-19.fc31 updates 22 k texlive-centeredline  noarch 9:svn50971-19.fc31 updates 22 k texlive-cesenaexam  noarch 9:svn44960-19.fc31 updates 523 k texlive-cfr-initials  noarch 9:svn36728.1.01-19.fc31 updates 26 k texlive-cfr-lm  noarch 9:svn36195.1.5-19.fc31 updates 314 k texlive-changebar  noarch 9:svn46919-19.fc31 updates 24 k texlive-changelayout  noarch 9:svn16094.1.0-19.fc31 updates 21 k texlive-changelog  noarch 9:svn51574-19.fc31 updates 81 k texlive-changepage  noarch 9:svn15878.1.0c-19.fc31 updates 23 k texlive-changes  noarch 9:svn51705-19.fc31 updates 28 k texlive-chappg  noarch 9:svn15878.2.1b-19.fc31 updates 19 k texlive-chapterfolder  noarch 9:svn15878.2.0.1-19.fc31 updates 19 k texlive-charter  noarch 9:svn15878.0-19.fc31 updates 201 k texlive-chbibref  noarch 9:svn17120.1.0-19.fc31 updates 18 k texlive-cheatsheet  noarch 9:svn45069-19.fc31 updates 410 k texlive-checkend  noarch 9:svn51475-19.fc31 updates 16 k texlive-chem-journal  noarch 9:svn15878.0-19.fc31 updates 24 k texlive-chemarrow  noarch 9:svn17146.0.9-19.fc31 updates 21 k texlive-chembst  noarch 9:svn15878.0.2.5-19.fc31 updates 24 k texlive-chemcompounds  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-chemcono  noarch 9:svn17119.1.3-19.fc31 updates 25 k texlive-chemexec  noarch 9:svn21632.1.0-19.fc31 updates 27 k texlive-chemfig  noarch 9:svn51176-19.fc31 updates 44 k texlive-chemformula  noarch 9:svn43583-19.fc31 updates 38 k texlive-chemgreek  noarch 9:svn42758-19.fc31 updates 27 k texlive-chemmacros  noarch 9:svn45164-19.fc31 updates 65 k texlive-chemnum  noarch 9:svn40522-19.fc31 updates 31 k texlive-chemschemex  noarch 9:svn46723-19.fc31 updates 25 k texlive-chemsec  noarch 9:svn46972-19.fc31 updates 268 k texlive-chemstyle  noarch 9:svn31096.2.0m-19.fc31 updates 28 k texlive-cherokee  noarch 9:svn21046.0-19.fc31 updates 19 k texlive-chessfss  noarch 9:svn19440.1.2a-19.fc31 updates 33 k texlive-chet  noarch 9:svn45081-19.fc31 updates 25 k texlive-chextras  noarch 9:svn27118.1.01-19.fc31 updates 26 k texlive-chicago  noarch 9:svn15878.0-19.fc31 updates 24 k texlive-chicago-annote  noarch 9:svn15878.0-19.fc31 updates 25 k texlive-childdoc  noarch 9:svn49543-19.fc31 updates 275 k texlive-chivo  noarch 9:svn51689-19.fc31 updates 2.5 M texlive-chkfloat  noarch 9:svn27473.0.1-19.fc31 updates 21 k texlive-chletter  noarch 9:svn20060.2.0-19.fc31 updates 21 k texlive-chngcntr  noarch 9:svn47577-19.fc31 updates 19 k texlive-chronology  noarch 9:svn37934.1.1.1-19.fc31 updates 21 k texlive-chs-physics-report  noarch 9:svn48549-19.fc31 updates 204 k texlive-chscite  noarch 9:svn28552.2.9999-19.fc31 updates 25 k texlive-cinzel  noarch 9:svn51101-19.fc31 updates 577 k texlive-circ  noarch 9:svn15878.1.1-19.fc31 updates 45 k texlive-circuitikz  noarch 9:svn51634-19.fc31 updates 101 k texlive-cite  noarch 9:svn36428.5.5-19.fc31 updates 34 k texlive-citeall  noarch 9:svn45975-19.fc31 updates 22 k texlive-citeref  noarch 9:svn47407-19.fc31 updates 81 k texlive-cje  noarch 9:svn46721-19.fc31 updates 664 k texlive-cjk  noarch 9:svn36951.4.8.4-19.fc31 updates 111 k texlive-classics  noarch 9:svn29018.0.1-19.fc31 updates 21 k texlive-classicthesis  noarch 9:svn48041-19.fc31 updates 29 k texlive-classpack  noarch 9:svn33101.0.77-19.fc31 updates 21 k texlive-cleanthesis  noarch 9:svn51472-19.fc31 updates 27 k texlive-clearsans  noarch 9:svn34405.0-19.fc31 updates 1.4 M texlive-clefval  noarch 9:svn16549.0-19.fc31 updates 18 k texlive-cleveref  noarch 9:svn47525-19.fc31 updates 46 k texlive-clipboard  noarch 9:svn47747-19.fc31 updates 21 k texlive-clock  noarch 9:svn15878.0-19.fc31 updates 17 k texlive-cloze  noarch 9:svn41531-19.fc31 updates 24 k texlive-clrdblpg  noarch 9:svn47511-19.fc31 updates 297 k texlive-clrscode  noarch 9:svn51136-19.fc31 updates 21 k texlive-clrscode3e  noarch 9:svn51137-19.fc31 updates 22 k texlive-clrstrip  noarch 9:svn51307-19.fc31 updates 400 k texlive-cm  noarch 9:svn49028-19.fc31 updates 292 k texlive-cm-lgc  noarch 9:svn28250.0.5-19.fc31 updates 4.8 M texlive-cm-mf-extra-bold  noarch 9:svn45796-19.fc31 updates 26 k texlive-cm-super  noarch 9:svn15878.0-19.fc31 updates 62 M texlive-cm-unicode  noarch 9:svn19445.0.7.0-19.fc31 updates 14 M texlive-cmap  noarch 9:svn42428-19.fc31 updates 25 k texlive-cmbright  noarch 9:svn21107.8.1-19.fc31 updates 157 k texlive-cmdstring  noarch 9:svn15878.1.1-19.fc31 updates 18 k texlive-cmdtrack  noarch 9:svn28910-19.fc31 updates 20 k texlive-cmexb  noarch 9:svn45677-19.fc31 updates 46 k texlive-cmextra  noarch 9:svn42428-19.fc31 updates 44 k texlive-cmll  noarch 9:svn17964.0-19.fc31 updates 353 k texlive-cmpica  noarch 9:svn15878.0-19.fc31 updates 22 k texlive-cmpj  noarch 9:svn51661-19.fc31 updates 70 k texlive-cmsd  noarch 9:svn18787.0-19.fc31 updates 19 k texlive-cmsrb  noarch 9:svn50531-19.fc31 updates 2.7 M texlive-cmtiup  noarch 9:svn39728-19.fc31 updates 83 k texlive-cnltx  noarch 9:svn38138.0.13-19.fc31 updates 57 k texlive-cns  noarch 9:svn45677-19.fc31 updates 3.4 M texlive-cntformats  noarch 9:svn34668.0.7-19.fc31 updates 22 k texlive-cntperchap  noarch 9:svn37572.0.3-19.fc31 updates 24 k texlive-cochineal  noarch 9:svn51460-19.fc31 updates 2.6 M texlive-codeanatomy  noarch 9:svn51627-19.fc31 updates 272 k texlive-codedoc  noarch 9:svn17630.0.3-19.fc31 updates 27 k texlive-codepage  noarch 9:svn51502-19.fc31 updates 26 k texlive-codesection  noarch 9:svn34481.0.1-19.fc31 updates 22 k texlive-coelacanth  noarch 9:svn45270-19.fc31 updates 7.0 M texlive-collcell  noarch 9:svn21539.0.5-19.fc31 updates 22 k texlive-collectbox  noarch 9:svn26557.0.4b-19.fc31 updates 23 k texlive-collection-basic  noarch 9:svn51558-19.fc31 updates 14 k texlive-collection-fontsrecommended  noarch 9:svn35830.0-19.fc31 updates 14 k texlive-collection-latex  noarch 9:svn41614-19.fc31 updates 14 k texlive-collection-latexrecommended  noarch 9:svn51780-19.fc31 updates 15 k texlive-collection-pictures  noarch 9:svn51051-19.fc31 updates 17 k texlive-collref  noarch 9:svn46358-19.fc31 updates 21 k texlive-colophon  noarch 9:svn47913-19.fc31 updates 383 k texlive-colordoc  noarch 9:svn18270.0-19.fc31 updates 19 k texlive-colorinfo  noarch 9:svn15878.0.3c-19.fc31 updates 19 k texlive-coloring  noarch 9:svn41042-19.fc31 updates 21 k texlive-colorprofiles  noarch 9:svn49086-19.fc31 updates 152 k texlive-colorspace  noarch 9:svn50585-19.fc31 updates 26 k texlive-colortab  noarch 9:svn22155.1.0-19.fc31 updates 25 k texlive-colortbl  noarch 9:svn49404-19.fc31 updates 20 k texlive-colorwav  noarch 9:svn15878.1.0-19.fc31 updates 24 k texlive-colorweb  noarch 9:svn31490.1.3-19.fc31 updates 23 k texlive-colourchange  noarch 9:svn21741.1.22-19.fc31 updates 27 k texlive-combelow  noarch 9:svn18462.0.99f-19.fc31 updates 21 k texlive-combine  noarch 9:svn19361.0.7a-19.fc31 updates 30 k texlive-combinedgraphics  noarch 9:svn27198.0.2.2-19.fc31 updates 22 k texlive-comfortaa  noarch 9:svn51461-19.fc31 updates 624 k texlive-comicneue  noarch 9:svn42851-19.fc31 updates 818 k texlive-comma  noarch 9:svn18259.1.2-19.fc31 updates 18 k texlive-commado  noarch 9:svn38875-19.fc31 updates 23 k texlive-commath  noarch 9:svn15878.0.3-19.fc31 updates 19 k texlive-commedit  noarch 9:svn50116-19.fc31 updates 606 k texlive-comment  noarch 9:svn41927-19.fc31 updates 23 k texlive-compactbib  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-competences  noarch 9:svn47573-19.fc31 updates 23 k texlive-complexity  noarch 9:svn45322-19.fc31 updates 23 k texlive-computational-complexity  noarch 9:svn44847-19.fc31 updates 53 k texlive-concepts  noarch 9:svn29020.0.0.5_r1-19.fc31 updates 24 k texlive-concmath  noarch 9:svn17219.0-19.fc31 updates 20 k texlive-concmath-fonts  noarch 9:svn17218.0-19.fc31 updates 42 k texlive-concprog  noarch 9:svn18791.0-19.fc31 updates 22 k texlive-concrete  noarch 9:svn15878.0-19.fc31 updates 28 k texlive-confproc  noarch 9:svn29349.0.8-19.fc31 updates 35 k texlive-constants  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-conteq  noarch 9:svn37868.0.1.1-19.fc31 updates 22 k texlive-continue  noarch 9:svn49449-19.fc31 updates 23 k texlive-contour  noarch 9:svn18950.2.14-19.fc31 updates 20 k texlive-contracard  noarch 9:svn50217-19.fc31 updates 25 k texlive-conv-xkv  noarch 9:svn43558-19.fc31 updates 99 k texlive-cooking  noarch 9:svn15878.0.9b-19.fc31 updates 20 k texlive-cooking-units  noarch 9:svn47943-19.fc31 updates 673 k texlive-cookingsymbols  noarch 9:svn35929.1.1-19.fc31 updates 21 k texlive-cool  noarch 9:svn15878.1.35-19.fc31 updates 33 k texlive-coollist  noarch 9:svn15878.1.4-19.fc31 updates 25 k texlive-coolstr  noarch 9:svn15878.2.2-19.fc31 updates 25 k texlive-coolthms  noarch 9:svn29062.1.2-19.fc31 updates 20 k texlive-cooltooltips  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-coordsys  noarch 9:svn15878.1.4-19.fc31 updates 22 k texlive-copyedit  noarch 9:svn37928.1.6-19.fc31 updates 23 k texlive-copyrightbox  noarch 9:svn24829.0.1-19.fc31 updates 18 k texlive-cormorantgaramond  noarch 9:svn51443-19.fc31 updates 4.5 M texlive-correctmathalign  noarch 9:svn44131-19.fc31 updates 558 k texlive-coseoul  noarch 9:svn23862.1.1-19.fc31 updates 21 k texlive-countriesofeurope  noarch 9:svn49525-19.fc31 updates 241 k texlive-counttexruns  noarch 9:svn27576.1.00a-19.fc31 updates 21 k texlive-courier  noarch 9:svn35058.0-19.fc31 updates 512 k texlive-courier-scaled  noarch 9:svn24940.0-19.fc31 updates 22 k texlive-courseoutline  noarch 9:svn15878.1.0-19.fc31 updates 17 k texlive-coursepaper  noarch 9:svn15878.2.0-19.fc31 updates 18 k texlive-coverpage  noarch 9:svn15878.1.01-19.fc31 updates 22 k texlive-cprotect  noarch 9:svn21209.1.0e-19.fc31 updates 22 k texlive-cquthesis  noarch 9:svn46863-19.fc31 updates 36 k texlive-crbox  noarch 9:svn29803.0.1-19.fc31 updates 18 k texlive-crimson  noarch 9:svn43525-19.fc31 updates 1.4 M texlive-crimsonpro  noarch 9:svn49568-19.fc31 updates 3.3 M texlive-crop  noarch 9:svn15878.1.5-19.fc31 updates 22 k texlive-crossreference  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-crossreftools  noarch 9:svn49589-19.fc31 updates 369 k texlive-crossrefware  noarch 7:20190410-8.fc31 updates 184 k texlive-cryptocode  noarch 9:svn49131-19.fc31 updates 34 k texlive-cryst  noarch 9:svn15878.0-19.fc31 updates 55 k texlive-csquotes  noarch 9:svn51091-19.fc31 updates 38 k texlive-css-colors  noarch 9:svn43961-19.fc31 updates 2.0 M texlive-csvsimple  noarch 9:svn51010-19.fc31 updates 25 k texlive-ctable  noarch 9:svn38672-19.fc31 updates 21 k texlive-ctex  noarch 9:svn51262-19.fc31 updates 72 k texlive-ctib  noarch 9:svn15878.0-19.fc31 updates 151 k texlive-cuisine  noarch 9:svn34453.0.7-19.fc31 updates 20 k texlive-cuprum  noarch 9:svn49909-19.fc31 updates 156 k texlive-currency  noarch 9:svn44489-19.fc31 updates 710 k texlive-currfile  noarch 9:svn40725-19.fc31 updates 24 k texlive-currvita  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-curve  noarch 9:svn20745.1.16-19.fc31 updates 24 k texlive-curve2e  noarch 9:svn50663-19.fc31 updates 28 k texlive-curves  noarch 9:svn45255-19.fc31 updates 22 k texlive-custom-bib  noarch 9:svn24729.4.33-19.fc31 updates 103 k texlive-cutwin  noarch 9:svn29803.0.1-19.fc31 updates 22 k texlive-cv  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-cv4tw  noarch 9:svn34577.0.2-19.fc31 updates 20 k texlive-cweb-latex  noarch 9:svn28878.0-19.fc31 updates 55 k texlive-cyber  noarch 9:svn46776-19.fc31 updates 18 k texlive-cybercic  noarch 9:svn37659.2.1-19.fc31 updates 17 k texlive-cyklop  noarch 9:svn18651.0.915-19.fc31 updates 353 k texlive-dancers  noarch 9:svn13293.0-19.fc31 updates 18 k texlive-dantelogo  noarch 9:svn38599-19.fc31 updates 40 k texlive-dashbox  noarch 9:svn23425.1.14-19.fc31 updates 18 k texlive-dashrule  noarch 9:svn29579.1.3-19.fc31 updates 18 k texlive-dashundergaps  noarch 9:svn49198-19.fc31 updates 20 k texlive-dataref  noarch 9:svn42883-19.fc31 updates 26 k texlive-datatool  noarch 9:svn49344-19.fc31 updates 77 k texlive-dateiliste  noarch 9:svn27974.0.6-19.fc31 updates 21 k texlive-datenumber  noarch 9:svn18951.0.02-19.fc31 updates 21 k texlive-datetime  noarch 9:svn36650.2.60-19.fc31 updates 41 k texlive-datetime2  noarch 9:svn48236-19.fc31 updates 29 k texlive-datetime2-bahasai  noarch 9:svn46287-19.fc31 updates 22 k texlive-datetime2-basque  noarch 9:svn47064-19.fc31 updates 22 k texlive-datetime2-breton  noarch 9:svn47030-19.fc31 updates 23 k texlive-datetime2-bulgarian  noarch 9:svn47031-19.fc31 updates 23 k texlive-datetime2-catalan  noarch 9:svn47032-19.fc31 updates 23 k texlive-datetime2-croatian  noarch 9:svn36682.1.0-19.fc31 updates 23 k texlive-datetime2-czech  noarch 9:svn47033-19.fc31 updates 23 k texlive-datetime2-danish  noarch 9:svn47034-19.fc31 updates 23 k texlive-datetime2-dutch  noarch 9:svn47355-19.fc31 updates 22 k texlive-datetime2-en-fulltext  noarch 9:svn36705.1.0-19.fc31 updates 23 k texlive-datetime2-english  noarch 9:svn39991-19.fc31 updates 28 k texlive-datetime2-esperanto  noarch 9:svn47356-19.fc31 updates 23 k texlive-datetime2-estonian  noarch 9:svn47565-19.fc31 updates 23 k texlive-datetime2-finnish  noarch 9:svn47047-19.fc31 updates 23 k texlive-datetime2-french  noarch 9:svn43742-19.fc31 updates 23 k texlive-datetime2-galician  noarch 9:svn47631-19.fc31 updates 23 k texlive-datetime2-german  noarch 9:svn45800-19.fc31 updates 24 k texlive-datetime2-greek  noarch 9:svn47533-19.fc31 updates 23 k texlive-datetime2-hebrew  noarch 9:svn47534-19.fc31 updates 22 k texlive-datetime2-icelandic  noarch 9:svn47501-19.fc31 updates 23 k texlive-datetime2-irish  noarch 9:svn47632-19.fc31 updates 23 k texlive-datetime2-it-fulltext  noarch 9:svn38093.1.6-19.fc31 updates 24 k texlive-datetime2-italian  noarch 9:svn37146.1.3-19.fc31 updates 23 k texlive-datetime2-latin  noarch 9:svn47748-19.fc31 updates 22 k texlive-datetime2-lsorbian  noarch 9:svn47749-19.fc31 updates 23 k texlive-datetime2-magyar  noarch 9:svn48266-19.fc31 updates 23 k texlive-datetime2-norsk  noarch 9:svn48267-19.fc31 updates 23 k texlive-datetime2-polish  noarch 9:svn36692.1.0-19.fc31 updates 23 k texlive-datetime2-portuges  noarch 9:svn36670.1.0-19.fc31 updates 23 k texlive-datetime2-romanian  noarch 9:svn43743-19.fc31 updates 23 k texlive-datetime2-russian  noarch 9:svn49345-19.fc31 updates 23 k texlive-datetime2-samin  noarch 9:svn49346-19.fc31 updates 23 k texlive-datetime2-scottish  noarch 9:svn36625.1.0-19.fc31 updates 23 k texlive-datetime2-serbian  noarch 9:svn36699.1.0-19.fc31 updates 23 k texlive-datetime2-slovak  noarch 9:svn36700.1.0-19.fc31 updates 23 k texlive-datetime2-slovene  noarch 9:svn36700.1.0-19.fc31 updates 22 k texlive-datetime2-spanish  noarch 9:svn45785-19.fc31 updates 23 k texlive-datetime2-swedish  noarch 9:svn36700.1.0-19.fc31 updates 23 k texlive-datetime2-turkish  noarch 9:svn36700.1.0-19.fc31 updates 23 k texlive-datetime2-ukrainian  noarch 9:svn47552-19.fc31 updates 24 k texlive-datetime2-usorbian  noarch 9:svn36700.1.0-19.fc31 updates 23 k texlive-datetime2-welsh  noarch 9:svn36636.1.0-19.fc31 updates 23 k texlive-dblfloatfix  noarch 9:svn28983.1.0a-19.fc31 updates 22 k texlive-dccpaper  noarch 9:svn50294-19.fc31 updates 46 k texlive-dcpic  noarch 9:svn30206.5.0.0-19.fc31 updates 34 k texlive-decimal  noarch 9:svn23374.0-19.fc31 updates 18 k texlive-decorule  noarch 9:svn23487.0.6-19.fc31 updates 21 k texlive-dehyph  noarch 9:svn48599-19.fc31 updates 69 k texlive-dejavu  noarch 9:svn31771.2.34-19.fc31 updates 11 M texlive-dejavu-otf  noarch 9:svn45991-19.fc31 updates 347 k texlive-delim  noarch 9:svn23974.1.0-19.fc31 updates 19 k texlive-delimseasy  noarch 9:svn39589-19.fc31 updates 25 k texlive-delimset  noarch 9:svn49544-19.fc31 updates 332 k texlive-delimtxt  noarch 9:svn16549.0-19.fc31 updates 19 k texlive-denisbdoc  noarch 9:svn42829-19.fc31 updates 33 k texlive-derivative  noarch 9:svn51696-19.fc31 updates 208 k texlive-dhua  noarch 9:svn24035.0.11-19.fc31 updates 24 k texlive-diagbox  noarch 9:svn42843-19.fc31 updates 22 k texlive-diagmac2  noarch 9:svn15878.2.1-19.fc31 updates 28 k texlive-diagnose  noarch 9:svn19387.0.2-19.fc31 updates 19 k texlive-dialogl  noarch 9:svn28946.0-19.fc31 updates 39 k texlive-dice  noarch 9:svn28501.0-19.fc31 updates 20 k texlive-dichokey  noarch 9:svn17192.0-19.fc31 updates 16 k texlive-dictsym  noarch 9:svn20031.0-19.fc31 updates 51 k texlive-diffcoeff  noarch 9:svn50320-19.fc31 updates 626 k texlive-digiconfigs  noarch 9:svn15878.0.5-19.fc31 updates 19 k texlive-dijkstra  noarch 9:svn45256-19.fc31 updates 391 k texlive-din1505  noarch 9:svn19441.0-19.fc31 updates 30 k texlive-dinbrief  noarch 9:svn15878.0-19.fc31 updates 30 k texlive-dingbat  noarch 9:svn27918.1.0-19.fc31 updates 27 k texlive-directory  noarch 9:svn15878.1.20-19.fc31 updates 30 k texlive-dirtree  noarch 9:svn42428-19.fc31 updates 19 k texlive-dirtytalk  noarch 9:svn20520.1.0-19.fc31 updates 15 k texlive-dithesis  noarch 9:svn34295.0.2-19.fc31 updates 23 k texlive-dk-bib  noarch 9:svn15878.0.6-19.fc31 updates 30 k texlive-dlfltxb  noarch 9:svn17337.0-19.fc31 updates 40 k texlive-dnaseq  noarch 9:svn17194.0.01-19.fc31 updates 19 k texlive-doc-pictex-doc  noarch 9:svn24927.0-19.fc31 updates 15 k texlive-doclicense  noarch 9:svn51332-19.fc31 updates 104 k texlive-docmfp  noarch 9:svn15878.1.2d-19.fc31 updates 19 k texlive-docmute  noarch 9:svn25741.1.4-19.fc31 updates 21 k texlive-doctools  noarch 9:svn34474.0.1-19.fc31 updates 25 k texlive-documentation  noarch 9:svn34521.0.1-19.fc31 updates 20 k texlive-doi  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-doipubmed  noarch 9:svn15878.1.01-19.fc31 updates 19 k texlive-dot2texi  noarch 9:svn26237.3.0-19.fc31 updates 22 k texlive-dotarrow  noarch 9:svn15878.0.01a-19.fc31 updates 18 k texlive-dotlessi  noarch 9:svn51476-19.fc31 updates 16 k texlive-dotseqn  noarch 9:svn17195.1.1-19.fc31 updates 15 k texlive-dottex  noarch 9:svn15878.0.6-19.fc31 updates 21 k texlive-doublestroke  noarch 9:svn15878.1.111-19.fc31 updates 84 k texlive-dowith  noarch 9:svn38860-19.fc31 updates 26 k texlive-download  noarch 9:svn30695.1.1-19.fc31 updates 22 k texlive-dox  noarch 9:svn46011-19.fc31 updates 20 k texlive-dozenal  noarch 9:svn47680-19.fc31 updates 136 k texlive-dpfloat  noarch 9:svn17196.0-19.fc31 updates 19 k texlive-dprogress  noarch 9:svn15878.0.1-19.fc31 updates 18 k texlive-drac  noarch 9:svn15878.1-19.fc31 updates 18 k texlive-draftcopy  noarch 9:svn15878.2.16-19.fc31 updates 21 k texlive-draftfigure  noarch 9:svn44854-19.fc31 updates 181 k texlive-draftwatermark  noarch 9:svn37498.1.2-19.fc31 updates 22 k texlive-dratex  noarch 9:svn15878.0-19.fc31 updates 62 k texlive-drawmatrix  noarch 9:svn44471-19.fc31 updates 17 k texlive-drawstack  noarch 9:svn28582.0-19.fc31 updates 22 k texlive-drm  noarch 9:svn38157.4.4-19.fc31 updates 13 M texlive-droid  noarch 9:svn51468-19.fc31 updates 3.2 M texlive-drs  noarch 9:svn19232.1.1b-19.fc31 updates 24 k texlive-dsserif  noarch 9:svn47570-19.fc31 updates 342 k texlive-dtk  noarch 9:svn50789-19.fc31 updates 39 k texlive-dtxdescribe  noarch 9:svn51652-19.fc31 updates 345 k texlive-dtxgallery-doc  noarch 9:svn49504-19.fc31 updates 360 k texlive-ducksay  noarch 9:svn51364-19.fc31 updates 548 k texlive-duckuments  noarch 9:svn51308-19.fc31 updates 960 k texlive-duerer  noarch 9:svn20741.0-19.fc31 updates 31 k texlive-duerer-latex  noarch 9:svn15878.1.1-19.fc31 updates 20 k texlive-duotenzor  noarch 9:svn18728.1.00-19.fc31 updates 25 k texlive-dutchcal  noarch 9:svn23448.1.0-19.fc31 updates 56 k texlive-dvdcoll  noarch 9:svn15878.v1.1a-19.fc31 updates 37 k texlive-dvipdfmx  x86_64 7:20190410-8.fc31 updates 3.0 M texlive-dvips  x86_64 7:20190410-8.fc31 updates 694 k texlive-dvisvgm  x86_64 7:20190410-8.fc31 updates 1.5 M texlive-dynamicnumber  noarch 9:svn38726-19.fc31 updates 16 k texlive-dynblocks  noarch 9:svn35193.0.2b-19.fc31 updates 22 k texlive-dynkin-diagrams  noarch 9:svn49808-19.fc31 updates 768 k texlive-dyntree  noarch 9:svn15878.1.0-19.fc31 updates 26 k texlive-ean  noarch 9:svn20851.0-19.fc31 updates 22 k texlive-ean13isbn  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-easy  noarch 9:svn19440.0.99-19.fc31 updates 30 k texlive-easy-todo  noarch 9:svn32677.0-19.fc31 updates 19 k texlive-easyfig  noarch 9:svn47193-19.fc31 updates 22 k texlive-easyformat  noarch 9:svn44543-19.fc31 updates 76 k texlive-easylist  noarch 9:svn32661.1.3-19.fc31 updates 23 k texlive-easyreview  noarch 9:svn38352.1.0-19.fc31 updates 18 k texlive-ebezier  noarch 9:svn15878.4-19.fc31 updates 21 k texlive-ebgaramond  noarch 9:svn51134-19.fc31 updates 12 M texlive-ebgaramond-maths  noarch 9:svn35701.1.1-19.fc31 updates 25 k texlive-ebook  noarch 9:svn29466.0-19.fc31 updates 16 k texlive-ebproof  noarch 9:svn44392-19.fc31 updates 26 k texlive-ebsthesis  noarch 9:svn15878.1.0-19.fc31 updates 23 k texlive-ec  noarch 9:svn25033.1.0-19.fc31 updates 491 k texlive-ecc  noarch 9:svn15878.0-19.fc31 updates 46 k texlive-ecclesiastic  noarch 9:svn38172.0.3-19.fc31 updates 22 k texlive-ecgdraw  noarch 9:svn41617-19.fc31 updates 727 k texlive-eco  noarch 9:svn29349.1.3-19.fc31 updates 271 k texlive-ecobiblatex  noarch 9:svn39233-19.fc31 updates 21 k texlive-econometrics  noarch 9:svn39396-19.fc31 updates 22 k texlive-economic  noarch 9:svn32639.0-19.fc31 updates 51 k texlive-ecothesis  noarch 9:svn48007-19.fc31 updates 354 k texlive-ecv  noarch 9:svn24928.0.3-19.fc31 updates 18 k texlive-ed  noarch 9:svn25231.1.8-19.fc31 updates 20 k texlive-edmargin  noarch 9:svn27599.1.2-19.fc31 updates 22 k texlive-eemeir  noarch 9:svn15878.1.1b-19.fc31 updates 19 k texlive-eepic  noarch 9:svn15878.1.1e-19.fc31 updates 26 k texlive-efbox  noarch 9:svn33236.1.0-19.fc31 updates 21 k texlive-egplot  noarch 9:svn20617.1.02a-19.fc31 updates 22 k texlive-ehhline  noarch 9:svn51122-19.fc31 updates 45 k texlive-eiad  noarch 9:svn15878.0-19.fc31 updates 52 k texlive-eiad-ltx  noarch 9:svn15878.1.0-19.fc31 updates 21 k texlive-ejpecp  noarch 9:svn50761-19.fc31 updates 23 k texlive-ekaia  noarch 9:svn49594-19.fc31 updates 21 k texlive-elbioimp  noarch 9:svn21758.1.2-19.fc31 updates 19 k texlive-electrum  noarch 9:svn19705.1.005_b-19.fc31 updates 787 k texlive-elegantbook  noarch 9:svn51246-19.fc31 updates 2.2 M texlive-elegantnote  noarch 9:svn49926-19.fc31 updates 1.3 M texlive-elegantpaper  noarch 9:svn50679-19.fc31 updates 458 k texlive-elements  noarch 9:svn46505-19.fc31 updates 28 k texlive-ellipse  noarch 9:svn39025-19.fc31 updates 22 k texlive-ellipsis  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-elmath  noarch 9:svn15878.v1.2-19.fc31 updates 19 k texlive-elocalloc  noarch 9:svn42712-19.fc31 updates 18 k texlive-elpres  noarch 9:svn46429-19.fc31 updates 19 k texlive-els-cas-templates  noarch 9:svn50820-19.fc31 updates 575 k texlive-elsarticle  noarch 9:svn50786-19.fc31 updates 36 k texlive-elteikthesis  noarch 9:svn22513.1.2-19.fc31 updates 20 k texlive-eltex  noarch 9:svn15878.2.0-19.fc31 updates 31 k texlive-elvish  noarch 9:svn15878.0-19.fc31 updates 25 k texlive-elzcards  noarch 9:svn44785-19.fc31 updates 23 k texlive-emarks  noarch 9:svn24504.1.0-19.fc31 updates 22 k texlive-embedall  noarch 9:svn51177-19.fc31 updates 20 k texlive-embrac  noarch 9:svn44757-19.fc31 updates 23 k texlive-emf  noarch 9:svn42023-19.fc31 updates 332 k texlive-emisa  noarch 9:svn46734-19.fc31 updates 35 k texlive-emptypage  noarch 9:svn18064.1.2-19.fc31 updates 19 k texlive-emulateapj  noarch 9:svn28469.0-19.fc31 updates 34 k texlive-enctex  noarch 9:svn34957.0-19.fc31 updates 49 k texlive-endfloat  noarch 9:svn51003-19.fc31 updates 23 k texlive-endheads  noarch 9:svn43750-19.fc31 updates 19 k texlive-endiagram  noarch 9:svn34486.0.1d-19.fc31 updates 28 k texlive-endnotes  noarch 9:svn17197.0-19.fc31 updates 23 k texlive-endofproofwd  noarch 9:svn45116-19.fc31 updates 22 k texlive-engpron  noarch 9:svn16558.2-19.fc31 updates 21 k texlive-engrec  noarch 9:svn15878.1.1-19.fc31 updates 19 k texlive-engtlc  noarch 9:svn28571.3.2-19.fc31 updates 23 k texlive-enotez  noarch 9:svn44024-19.fc31 updates 27 k texlive-enumitem  noarch 9:svn51423-19.fc31 updates 30 k texlive-enumitem-zref  noarch 9:svn21472.1.8-19.fc31 updates 27 k texlive-envbig  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-environ  noarch 9:svn33821.0.3-19.fc31 updates 19 k texlive-envlab  noarch 9:svn15878.1.2-19.fc31 updates 22 k texlive-epigrafica  noarch 9:svn17210.1.01-19.fc31 updates 367 k texlive-epigraph  noarch 9:svn15878.1.5c-19.fc31 updates 21 k texlive-epiolmec  noarch 9:svn15878.0-19.fc31 updates 124 k texlive-epsdice  noarch 9:svn15878.2.1-19.fc31 updates 23 k texlive-epsf  noarch 9:svn21461.2.7.4-19.fc31 updates 22 k texlive-epspdf  noarch 7:20190410-8.fc31 updates 281 k texlive-epspdfconversion  noarch 9:svn18703.0.61-19.fc31 updates 21 k texlive-eqell  noarch 9:svn22931.0-19.fc31 updates 19 k texlive-eqexpl  noarch 9:svn51524-19.fc31 updates 101 k texlive-eqlist  noarch 9:svn32257.2.1-19.fc31 updates 18 k texlive-eqnalign  noarch 9:svn43278-19.fc31 updates 301 k texlive-eqname  noarch 9:svn20678.0-19.fc31 updates 14 k texlive-eqnarray  noarch 9:svn20641.1.3-19.fc31 updates 27 k texlive-eqnnumwarn  noarch 9:svn45511-19.fc31 updates 113 k texlive-eqparbox  noarch 9:svn45215-19.fc31 updates 23 k texlive-erdc  noarch 9:svn15878.1.1-19.fc31 updates 23 k texlive-erewhon  noarch 9:svn51361-19.fc31 updates 2.3 M texlive-errata  noarch 9:svn42428-19.fc31 updates 19 k texlive-erw-l3  noarch 9:svn48069-19.fc31 updates 537 k texlive-esami  noarch 9:svn47639-19.fc31 updates 39 k texlive-esdiff  noarch 9:svn21385.1.2-19.fc31 updates 19 k texlive-esint  noarch 9:svn51679-19.fc31 updates 20 k texlive-esint-type1  noarch 9:svn15878.0-19.fc31 updates 46 k texlive-esk  noarch 9:svn18115.1.0-19.fc31 updates 22 k texlive-eso-pic  noarch 9:svn47694-19.fc31 updates 22 k texlive-esrelation  noarch 9:svn37236.0-19.fc31 updates 55 k texlive-esstix  noarch 9:svn22426.1.0-19.fc31 updates 224 k texlive-estcpmm  noarch 9:svn17335.0.4-19.fc31 updates 20 k texlive-esvect  noarch 9:svn32098.1.3-19.fc31 updates 89 k texlive-etaremune  noarch 9:svn15878.v1.2-19.fc31 updates 19 k texlive-etex  noarch 9:svn37057.0-19.fc31 updates 31 k texlive-etex-pkg  noarch 9:svn41784-19.fc31 updates 19 k texlive-etextools  noarch 9:svn20694.3.1415926-19.fc31 updates 29 k texlive-etoc  noarch 9:svn50317-19.fc31 updates 30 k texlive-etoolbox  noarch 9:svn46602-19.fc31 updates 28 k texlive-etsvthor  noarch 9:svn48186-19.fc31 updates 22 k texlive-euenc  noarch 9:svn19795.0.1h-19.fc31 updates 25 k texlive-euflag  noarch 9:svn49970-19.fc31 updates 119 k texlive-eukdate  noarch 9:svn15878.1.04-19.fc31 updates 18 k texlive-euler  noarch 9:svn42428-19.fc31 updates 20 k texlive-eulerpx  noarch 9:svn43735-19.fc31 updates 23 k texlive-eulervm  noarch 9:svn15878.4.0-19.fc31 updates 38 k texlive-euro  noarch 9:svn22191.1.1-19.fc31 updates 19 k texlive-euro-ce  noarch 9:svn25714-19.fc31 updates 29 k texlive-europasscv  noarch 9:svn49703-19.fc31 updates 52 k texlive-europecv  noarch 9:svn50470-19.fc31 updates 62 k texlive-eurosym  noarch 9:svn17265.1.4_subrfix-19.fc31 updates 155 k texlive-euxm  noarch 9:svn45696-19.fc31 updates 42 k texlive-everyhook  noarch 9:svn35675.1.2-19.fc31 updates 22 k texlive-everypage  noarch 9:svn15878.1.1-19.fc31 updates 18 k texlive-exam  noarch 9:svn46084-19.fc31 updates 69 k texlive-exam-n  noarch 9:svn42755-19.fc31 updates 29 k texlive-exam-randomizechoices  noarch 9:svn49662-19.fc31 updates 295 k texlive-examdesign  noarch 9:svn15878.1.02-19.fc31 updates 29 k texlive-example  noarch 9:svn33398.0-19.fc31 updates 23 k texlive-examplep  noarch 9:svn16916.0.04-19.fc31 updates 53 k texlive-exceltex  noarch 7:20190410-8.fc31 updates 26 k texlive-excludeonly  noarch 9:svn17262.1.0-19.fc31 updates 16 k texlive-exercise  noarch 9:svn35417.1.6-19.fc31 updates 27 k texlive-exercisebank  noarch 9:svn50448-19.fc31 updates 332 k texlive-exercisepoints  noarch 9:svn49590-19.fc31 updates 244 k texlive-exercises  noarch 9:svn42428-19.fc31 updates 20 k texlive-exframe  noarch 9:svn51388-19.fc31 updates 401 k texlive-exp-testopt  noarch 9:svn15878.0.3-19.fc31 updates 19 k texlive-expdlist  noarch 9:svn15878.2.4-19.fc31 updates 19 k texlive-export  noarch 9:svn27206.1.8-19.fc31 updates 23 k texlive-exsheets  noarch 9:svn43188-19.fc31 updates 44 k texlive-exsol  noarch 9:svn41377-19.fc31 updates 23 k texlive-extarrows  noarch 9:svn15878.1.0b-19.fc31 updates 23 k texlive-extpfeil  noarch 9:svn16243.0.4-19.fc31 updates 21 k texlive-extract  noarch 9:svn15878.1.8-19.fc31 updates 21 k texlive-extsizes  noarch 9:svn17263.1.4a-19.fc31 updates 30 k texlive-facsimile  noarch 9:svn21328.1.0-19.fc31 updates 22 k texlive-factura  noarch 9:svn48333-19.fc31 updates 46 k texlive-facture-belge-simple-sans-tva  noarch 9:svn49004-19.fc31 updates 291 k texlive-faktor  noarch 9:svn15878.0.1b-19.fc31 updates 18 k texlive-fancybox  noarch 9:svn18304.1.4-19.fc31 updates 26 k texlive-fancyhandout  noarch 9:svn46411-19.fc31 updates 92 k texlive-fancyhdr  noarch 9:svn49886-19.fc31 updates 21 k texlive-fancylabel  noarch 9:svn46736-19.fc31 updates 23 k texlive-fancynum  noarch 9:svn15878.0.92-19.fc31 updates 15 k texlive-fancypar  noarch 9:svn49801-19.fc31 updates 23 k texlive-fancyref  noarch 9:svn15878.0.9c-19.fc31 updates 22 k texlive-fancyslides  noarch 9:svn36263.1.0-19.fc31 updates 22 k texlive-fancytabs  noarch 9:svn27684.1.8-19.fc31 updates 21 k texlive-fancytooltips  noarch 9:svn27129.1.8-19.fc31 updates 27 k texlive-fancyvrb  noarch 9:svn49717-19.fc31 updates 29 k texlive-fascicules  noarch 9:svn49457-19.fc31 updates 2.0 M texlive-fast-diagram  noarch 9:svn29264.1.1-19.fc31 updates 24 k texlive-fbb  noarch 9:svn45277-19.fc31 updates 1.3 M texlive-fbithesis  noarch 9:svn21340.1.2m-19.fc31 updates 20 k texlive-fbox  noarch 9:svn50305-19.fc31 updates 56 k texlive-fbs  noarch 9:svn15878.0-19.fc31 updates 22 k texlive-fcavtex  noarch 9:svn38074.1.1-19.fc31 updates 36 k texlive-fcltxdoc  noarch 9:svn24500.1.0-19.fc31 updates 31 k texlive-fcolumn  noarch 9:svn50937-19.fc31 updates 22 k texlive-fdsymbol  noarch 9:svn26722.0.8-19.fc31 updates 954 k texlive-fei  noarch 9:svn51287-19.fc31 updates 27 k texlive-fetamont  noarch 9:svn43812-19.fc31 updates 3.6 M texlive-fetchcls  noarch 9:svn45245-19.fc31 updates 142 k texlive-feyn  noarch 9:svn45679-19.fc31 updates 37 k texlive-feynmf  noarch 9:svn17259.1.08-19.fc31 updates 38 k texlive-ffslides  noarch 9:svn38895-19.fc31 updates 25 k texlive-fge  noarch 9:svn37628.1.25-19.fc31 updates 58 k texlive-fgruler  noarch 9:svn42966-19.fc31 updates 268 k texlive-fibeamer  noarch 9:svn44239-19.fc31 updates 440 k texlive-fifo-stack  noarch 9:svn33288.1.0-19.fc31 updates 19 k texlive-fig4latex  noarch 7:20190410-8.fc31 updates 79 k texlive-figbib  noarch 9:svn19388.0-19.fc31 updates 21 k texlive-figsize  noarch 9:svn18784.0.1-19.fc31 updates 19 k texlive-filecontents  noarch 9:svn47890-19.fc31 updates 19 k texlive-filecontentsdef  noarch 9:svn50942-19.fc31 updates 111 k texlive-filedate  noarch 9:svn29529.0-19.fc31 updates 24 k texlive-filehook  noarch 9:svn24280.0.5d-19.fc31 updates 24 k texlive-fileinfo  noarch 9:svn28421.0.81a-19.fc31 updates 26 k texlive-filemod  noarch 9:svn24042.1.2-19.fc31 updates 24 k texlive-fink  noarch 9:svn24329.2.2.1-19.fc31 updates 19 k texlive-finstrut  noarch 9:svn21719.0.5-19.fc31 updates 23 k texlive-fira  noarch 9:svn51328-19.fc31 updates 16 M texlive-firamath  noarch 9:svn51333-19.fc31 updates 665 k texlive-firamath-otf  noarch 9:svn50732-19.fc31 updates 143 k texlive-fitbox  noarch 9:svn50088-19.fc31 updates 22 k texlive-fithesis  noarch 9:svn47409-19.fc31 updates 675 k texlive-fix2col  noarch 9:svn38770-19.fc31 updates 19 k texlive-fixcmex  noarch 9:svn38816-19.fc31 updates 21 k texlive-fixfoot  noarch 9:svn17131.0.3a-19.fc31 updates 20 k texlive-fixltxhyph  noarch 9:svn25832.0.4-19.fc31 updates 21 k texlive-fixme  noarch 9:svn49591-19.fc31 updates 29 k texlive-fixmetodonotes  noarch 9:svn30168.0.2.2-19.fc31 updates 16 k texlive-fjodor  noarch 9:svn20220.0-19.fc31 updates 20 k texlive-flabels  noarch 9:svn17272.1.0-19.fc31 updates 19 k texlive-flacards  noarch 9:svn19440.0.1.1b-19.fc31 updates 20 k texlive-flagderiv  noarch 9:svn15878.0.10-19.fc31 updates 20 k texlive-flashcards  noarch 9:svn19667.1.0.1-19.fc31 updates 22 k texlive-flashmovie  noarch 9:svn25768.0.4-19.fc31 updates 39 k texlive-flipbook  noarch 9:svn25584.0.2-19.fc31 updates 19 k texlive-flippdf  noarch 9:svn15878.1.0-19.fc31 updates 18 k texlive-float  noarch 9:svn15878.1.3d-19.fc31 updates 20 k texlive-floatflt  noarch 9:svn25540.1.31-19.fc31 updates 16 k texlive-floatrow  noarch 9:svn15878.0.3b-19.fc31 updates 34 k texlive-flowchart  noarch 9:svn36572.3.3-19.fc31 updates 22 k texlive-flowfram  noarch 9:svn35291.1.17-19.fc31 updates 44 k texlive-fltpoint  noarch 9:svn15878.1.1b-19.fc31 updates 17 k texlive-fmp  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-fmtcount  noarch 9:svn46159-19.fc31 updates 50 k texlive-fn2end  noarch 9:svn15878.1.1-19.fc31 updates 15 k texlive-fnbreak  noarch 9:svn25003.1.30-19.fc31 updates 19 k texlive-fncychap  noarch 9:svn20710.v1.34-19.fc31 updates 25 k texlive-fncylab  noarch 9:svn17382.1.0-19.fc31 updates 18 k texlive-fnpara  noarch 9:svn25607.0-19.fc31 updates 22 k texlive-fnpct  noarch 9:svn50046-19.fc31 updates 28 k texlive-fnspe  noarch 9:svn45360-19.fc31 updates 189 k texlive-fnumprint  noarch 9:svn29173.1.1a-19.fc31 updates 21 k texlive-foekfont  noarch 9:svn15878.0-19.fc31 updates 29 k texlive-foilhtml  noarch 9:svn21855.1.2-19.fc31 updates 21 k texlive-fonetika  noarch 9:svn21326.0-19.fc31 updates 83 k texlive-fontawesome  noarch 9:svn48145-19.fc31 updates 304 k texlive-fontawesome5  noarch 9:svn51339-19.fc31 updates 1.5 M texlive-fontaxes  noarch 9:svn33276.1.0d-19.fc31 updates 23 k texlive-fontmfizz  noarch 9:svn43546-19.fc31 updates 57 k texlive-fonts-churchslavonic  noarch 9:svn43121-19.fc31 updates 1.6 M texlive-fonts-tlwg  noarch 9:svn49085-19.fc31 updates 4.9 M texlive-fontspec  noarch 9:svn50387-19.fc31 updates 48 k texlive-fonttable  noarch 9:svn44799-19.fc31 updates 25 k texlive-footbib  noarch 9:svn17115.2.0.7-19.fc31 updates 24 k texlive-footmisc  noarch 9:svn23330.5.5b-19.fc31 updates 26 k texlive-footmisx  noarch 9:svn42621-19.fc31 updates 26 k texlive-footnotebackref  noarch 9:svn27034.1.0-19.fc31 updates 21 k texlive-footnoterange  noarch 9:svn25430.1.0a-19.fc31 updates 22 k texlive-footnpag  noarch 9:svn15878.0-19.fc31 updates 26 k texlive-forarray  noarch 9:svn15878.1.01-19.fc31 updates 22 k texlive-foreign  noarch 9:svn27819.2.7-19.fc31 updates 21 k texlive-forest  noarch 9:svn44797-19.fc31 updates 76 k texlive-forloop  noarch 9:svn15878.3.0-19.fc31 updates 24 k texlive-formlett  noarch 9:svn21480.2.3-19.fc31 updates 29 k texlive-forms16be  noarch 9:svn51305-19.fc31 updates 1.4 M texlive-formular  noarch 9:svn15878.1.0a-19.fc31 updates 20 k texlive-forum  noarch 9:svn51403-19.fc31 updates 547 k texlive-fouridx  noarch 9:svn32214.2.00-19.fc31 updates 18 k texlive-fourier  noarch 9:svn15878.1.3-19.fc31 updates 299 k texlive-fouriernc  noarch 9:svn29646.0-19.fc31 updates 35 k texlive-fp  noarch 9:svn49719-19.fc31 updates 39 k texlive-fpl  noarch 9:svn49603-19.fc31 updates 306 k texlive-fragments  noarch 9:svn15878.0-19.fc31 updates 16 k texlive-frame  noarch 9:svn18312.1.0-19.fc31 updates 18 k texlive-framed  noarch 9:svn26789.0.96-19.fc31 updates 21 k texlive-francais-bst  noarch 9:svn38922-19.fc31 updates 28 k texlive-frankenstein  noarch 9:svn15878.0-19.fc31 updates 155 k texlive-frcursive  noarch 9:svn24559.0-19.fc31 updates 1.7 M texlive-frederika2016  noarch 9:svn42157-19.fc31 updates 385 k texlive-frege  noarch 9:svn27417.1.3-19.fc31 updates 22 k texlive-ftc-notebook  noarch 9:svn50043-19.fc31 updates 3.5 M texlive-ftcap  noarch 9:svn17275.1.4-19.fc31 updates 20 k texlive-ftnxtra  noarch 9:svn29652.0.1-19.fc31 updates 19 k texlive-fullblck  noarch 9:svn25434.1.03-19.fc31 updates 18 k texlive-fullminipage  noarch 9:svn34545.0.1.1-19.fc31 updates 27 k texlive-fullwidth  noarch 9:svn24684.0.1-19.fc31 updates 21 k texlive-functan  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-fundus-calligra  noarch 9:svn26018.1.2-19.fc31 updates 16 k texlive-fundus-cyr  noarch 9:svn26019.0-19.fc31 updates 21 k texlive-fundus-sueterlin  noarch 9:svn26030.1.2-19.fc31 updates 21 k texlive-fvextra  noarch 9:svn49947-19.fc31 updates 825 k texlive-fwlw  noarch 9:svn29803.0-19.fc31 updates 16 k texlive-g-brief  noarch 9:svn50415-19.fc31 updates 22 k texlive-gaceta  noarch 9:svn15878.1.06-19.fc31 updates 35 k texlive-galois  noarch 9:svn15878.1.5-19.fc31 updates 19 k texlive-gammas  noarch 9:svn50012-19.fc31 updates 32 k texlive-garamond-libre  noarch 9:svn51703-19.fc31 updates 820 k texlive-garamond-math  noarch 9:svn49933-19.fc31 updates 807 k texlive-garuda-c90  noarch 9:svn37677.0-19.fc31 updates 18 k texlive-gastex  noarch 9:svn15878.2.8-19.fc31 updates 34 k texlive-gatech-thesis  noarch 9:svn19886.1.8-19.fc31 updates 52 k texlive-gatherenum  noarch 9:svn48051-19.fc31 updates 428 k texlive-gauss  noarch 9:svn32934.0-19.fc31 updates 33 k texlive-gb4e  noarch 9:svn19216.0-19.fc31 updates 25 k texlive-gbt7714  noarch 9:svn50504-19.fc31 updates 342 k texlive-gcard  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-gcite  noarch 9:svn15878.1.0.1-19.fc31 updates 22 k texlive-gender  noarch 9:svn36464.1.0-19.fc31 updates 21 k texlive-gene-logic  noarch 9:svn15878.1.4-19.fc31 updates 15 k texlive-genealogy  noarch 9:svn25112.0-19.fc31 updates 20 k texlive-genealogytree  noarch 9:svn50872-19.fc31 updates 51 k texlive-genmisc  noarch 9:svn45851-19.fc31 updates 76 k texlive-genmpage  noarch 9:svn15878.0.3.1-19.fc31 updates 19 k texlive-gentium-tug  noarch 9:svn51613-19.fc31 updates 3.9 M texlive-geometry  noarch 9:svn47638-19.fc31 updates 26 k texlive-geschichtsfrkl  noarch 9:svn42121-19.fc31 updates 22 k texlive-getfiledate  noarch 9:svn16189.1.2-19.fc31 updates 19 k texlive-getitems  noarch 9:svn39365-19.fc31 updates 21 k texlive-getmap  noarch 7:20190410-8.fc31 updates 1.9 M texlive-gfsartemisia  noarch 9:svn19469.1.0-19.fc31 updates 1.0 M texlive-gfsbodoni  noarch 9:svn28484.1.01-19.fc31 updates 949 k texlive-gfscomplutum  noarch 9:svn19469.1.0-19.fc31 updates 142 k texlive-gfsdidot  noarch 9:svn46310-19.fc31 updates 1.3 M texlive-gfsneohellenic  noarch 9:svn31979.0-19.fc31 updates 1.0 M texlive-gfsneohellenicmath  noarch 9:svn46869-19.fc31 updates 461 k texlive-gfssolomos  noarch 9:svn18651.1.0-19.fc31 updates 122 k texlive-ghsystem  noarch 9:svn41714-19.fc31 updates 1.9 M texlive-gillcm  noarch 9:svn19878.1.1-19.fc31 updates 34 k texlive-gillius  noarch 9:svn32068.0-19.fc31 updates 1.0 M texlive-gincltex  noarch 9:svn23835.0.3-19.fc31 updates 22 k texlive-ginpenc  noarch 9:svn24980.1.0-19.fc31 updates 21 k texlive-gitfile-info  noarch 9:svn50885-19.fc31 updates 578 k texlive-gitinfo  noarch 9:svn34049.1.0-19.fc31 updates 22 k texlive-gitinfo2  noarch 9:svn38913-19.fc31 updates 23 k texlive-gitlog  noarch 9:svn38932-19.fc31 updates 22 k texlive-gitver  noarch 9:svn49980-19.fc31 updates 146 k texlive-globalvals  noarch 9:svn49962-19.fc31 updates 168 k texlive-glosmathtools  noarch 9:svn51809-19.fc31 updates 388 k texlive-gloss  noarch 9:svn15878.1.5.2-19.fc31 updates 23 k texlive-glossaries  noarch 7:20190410-8.fc31 updates 5.2 M texlive-glossaries-danish  noarch 9:svn35665.1.0-19.fc31 updates 21 k texlive-glossaries-dutch  noarch 9:svn35685.1.1-19.fc31 updates 21 k texlive-glossaries-english  noarch 9:svn35665.1.0-19.fc31 updates 21 k texlive-glossaries-estonian  noarch 9:svn49928-19.fc31 updates 43 k texlive-glossaries-extra  noarch 9:svn51006-19.fc31 updates 83 k texlive-glossaries-finnish  noarch 9:svn45604-19.fc31 updates 157 k texlive-glossaries-french  noarch 9:svn42873-19.fc31 updates 21 k texlive-glossaries-german  noarch 9:svn35665.1.0-19.fc31 updates 21 k texlive-glossaries-irish  noarch 9:svn35665.1.0-19.fc31 updates 22 k texlive-glossaries-italian  noarch 9:svn35665.1.0-19.fc31 updates 21 k texlive-glossaries-magyar  noarch 9:svn35665.1.0-19.fc31 updates 22 k texlive-glossaries-polish  noarch 9:svn35665.1.0-19.fc31 updates 22 k texlive-glossaries-portuges  noarch 9:svn36064.1.1-19.fc31 updates 22 k texlive-glossaries-serbian  noarch 9:svn35665.1.0-19.fc31 updates 22 k texlive-glossaries-slovene  noarch 9:svn51211-19.fc31 updates 142 k texlive-glossaries-spanish  noarch 9:svn35665.1.0-19.fc31 updates 22 k texlive-glyphlist  noarch 7:20190410-8.fc31 updates 41 k texlive-gmdoc  noarch 9:svn21292.0.993-19.fc31 updates 64 k texlive-gmdoc-enhance  noarch 9:svn15878.v0.2-19.fc31 updates 20 k texlive-gmiflink  noarch 9:svn15878.v0.97-19.fc31 updates 20 k texlive-gmp  noarch 9:svn21691.1.0-19.fc31 updates 23 k texlive-gmutils  noarch 9:svn24287.v0.996-19.fc31 updates 84 k texlive-gmverb  noarch 9:svn24288.v0.98-19.fc31 updates 33 k texlive-gnu-freefont  noarch 9:svn29349.0-19.fc31 updates 7.5 M texlive-gnuplottex  noarch 9:svn41904-19.fc31 updates 23 k texlive-gofonts  noarch 9:svn51314-19.fc31 updates 1.7 M texlive-gothic  noarch 9:svn49869-19.fc31 updates 157 k texlive-gotoh  noarch 9:svn44764-19.fc31 updates 299 k texlive-grabbox  noarch 9:svn51052-19.fc31 updates 364 k texlive-gradientframe  noarch 9:svn21387.0.2-19.fc31 updates 21 k texlive-gradstudentresume  noarch 9:svn38832-19.fc31 updates 21 k texlive-grafcet  noarch 9:svn22509.1.3.5-19.fc31 updates 21 k texlive-grant  noarch 9:svn41905-19.fc31 updates 127 k texlive-graph35  noarch 9:svn47522-19.fc31 updates 2.1 M texlive-graphbox  noarch 9:svn46360-19.fc31 updates 22 k texlive-graphics  noarch 9:svn51733-19.fc31 updates 37 k texlive-graphics-cfg  noarch 9:svn40269-19.fc31 updates 15 k texlive-graphics-def  noarch 9:svn46267-19.fc31 updates 30 k texlive-graphicx-psmin  noarch 9:svn15878.1.1-19.fc31 updates 20 k texlive-graphicxbox  noarch 9:svn32630.1.0-19.fc31 updates 18 k texlive-graphicxpsd  noarch 9:svn46477-19.fc31 updates 437 k texlive-graphviz  noarch 9:svn31517.0.94-19.fc31 updates 21 k texlive-grayhints  noarch 9:svn49052-19.fc31 updates 506 k texlive-greek-fontenc  noarch 9:svn51616-19.fc31 updates 48 k texlive-greenpoint  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-grfpaste  noarch 9:svn17354.0.2-19.fc31 updates 20 k texlive-grid  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-grid-system  noarch 9:svn32981.0.3.0-19.fc31 updates 19 k texlive-gridset  noarch 9:svn15878.0.1-19.fc31 updates 23 k texlive-gridslides  noarch 9:svn45933-19.fc31 updates 585 k texlive-grotesq  noarch 9:svn35859.0-19.fc31 updates 73 k texlive-grundgesetze  noarch 9:svn34439.1.02-19.fc31 updates 23 k texlive-gsemthesis  noarch 9:svn36244.0.9.4-19.fc31 updates 23 k texlive-gsftopk  x86_64 7:20190410-8.fc31 updates 38 k texlive-gtl  noarch 9:svn49527-19.fc31 updates 21 k texlive-gtrlib-largetrees  noarch 9:svn49062-19.fc31 updates 325 k texlive-gu  noarch 9:svn15878.0-19.fc31 updates 23 k texlive-guitlogo  noarch 9:svn51582-19.fc31 updates 21 k texlive-gzt  noarch 9:svn47381-19.fc31 updates 55 k texlive-h2020proposal  noarch 9:svn38428-19.fc31 updates 33 k texlive-hackthefootline  noarch 9:svn46494-19.fc31 updates 202 k texlive-hacm  noarch 9:svn27671.0.1-19.fc31 updates 248 k texlive-hagenberg-thesis  noarch 9:svn51150-19.fc31 updates 3.9 M texlive-halloweenmath  noarch 9:svn44043-19.fc31 updates 891 k texlive-handin  noarch 9:svn48255-19.fc31 updates 451 k texlive-handout  noarch 9:svn43962-19.fc31 updates 22 k texlive-hands  noarch 9:svn13293.0-19.fc31 updates 17 k texlive-hang  noarch 9:svn43280-19.fc31 updates 21 k texlive-hanging  noarch 9:svn15878.1.2b-19.fc31 updates 22 k texlive-har2nat  noarch 9:svn17356.1.0-19.fc31 updates 18 k texlive-hardwrap  noarch 9:svn21396.0.2-19.fc31 updates 22 k texlive-harnon-cv  noarch 9:svn26543.1.0-19.fc31 updates 16 k texlive-harpoon  noarch 9:svn21327.1.0-19.fc31 updates 15 k texlive-harvard  noarch 9:svn15878.2.0.5-19.fc31 updates 35 k texlive-harveyballs  noarch 9:svn32003.1.1-19.fc31 updates 26 k texlive-harvmac  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-hc  noarch 9:svn15878.0-19.fc31 updates 27 k texlive-he-she  noarch 9:svn41359-19.fc31 updates 23 k texlive-hecthese  noarch 9:svn50590-19.fc31 updates 893 k texlive-helvetic  noarch 9:svn31835.0-19.fc31 updates 620 k texlive-hep  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-hepnames  noarch 9:svn35722.2.0-19.fc31 updates 24 k texlive-hepparticles  noarch 9:svn35723.2.0-19.fc31 updates 22 k texlive-hepthesis  noarch 9:svn46054-19.fc31 updates 25 k texlive-hepunits  noarch 9:svn15878.1.1.1-19.fc31 updates 19 k texlive-here  noarch 9:svn16135.0-19.fc31 updates 15 k texlive-heuristica  noarch 9:svn51362-19.fc31 updates 1.1 M texlive-hf-tikz  noarch 9:svn34733.0.3a-19.fc31 updates 23 k texlive-hfbright  noarch 9:svn29349.0-19.fc31 updates 834 k texlive-hfoldsty  noarch 9:svn29349.1.15-19.fc31 updates 329 k texlive-hhtensor  noarch 9:svn24981.0.61-19.fc31 updates 18 k texlive-histogr  noarch 9:svn15878.1.01-19.fc31 updates 21 k texlive-historische-zeitschrift  noarch 9:svn42635-19.fc31 updates 23 k texlive-hitec  noarch 9:svn15878.0.0_beta_-19.fc31 updates 23 k texlive-hithesis  noarch 9:svn50062-19.fc31 updates 1.0 M texlive-hletter  noarch 9:svn30002.4.2-19.fc31 updates 28 k texlive-hobby  noarch 9:svn44474-19.fc31 updates 30 k texlive-hobete  noarch 9:svn27036.0-19.fc31 updates 24 k texlive-hpsdiss  noarch 9:svn15878.1.0-19.fc31 updates 24 k texlive-hrefhide  noarch 9:svn22255.1.0f-19.fc31 updates 22 k texlive-hu-berlin-bundle  noarch 9:svn51477-19.fc31 updates 452 k texlive-hustthesis  noarch 9:svn42547-19.fc31 updates 794 k texlive-hvfloat  noarch 9:svn51123-19.fc31 updates 24 k texlive-hvindex  noarch 9:svn46051-19.fc31 updates 19 k texlive-hypdvips  noarch 9:svn51798-19.fc31 updates 44 k texlive-hyper  noarch 9:svn17357.4.2d-19.fc31 updates 36 k texlive-hyperbar  noarch 9:svn48147-19.fc31 updates 453 k texlive-hypernat  noarch 9:svn17358.1.0b-19.fc31 updates 20 k texlive-hyperref  noarch 9:svn51742-19.fc31 updates 134 k texlive-hyperxmp  noarch 9:svn50812-19.fc31 updates 30 k texlive-hyph-utf8  noarch 9:svn51186-19.fc31 updates 32 k texlive-hyphen-base  noarch 9:svn51200-19.fc31 updates 36 k texlive-hyphen-polish  noarch 9:svn51186-19.fc31 updates 30 k texlive-hyphenat  noarch 9:svn15878.2.3c-19.fc31 updates 21 k texlive-hyphenex  noarch 9:svn37354.0-19.fc31 updates 21 k texlive-icite  noarch 9:svn50429-19.fc31 updates 307 k texlive-icsv  noarch 9:svn15878.0.2-19.fc31 updates 21 k texlive-identkey  noarch 9:svn49018-19.fc31 updates 26 k texlive-idxcmds  noarch 9:svn38115.0.2c-19.fc31 updates 22 k texlive-idxlayout  noarch 9:svn25821.0.4d-19.fc31 updates 20 k texlive-ieeepes  noarch 9:svn17359.4.0-19.fc31 updates 27 k texlive-ietfbibs-doc  noarch 9:svn41332-19.fc31 updates 19 k texlive-ifetex  noarch 9:svn47231-19.fc31 updates 21 k texlive-iffont  noarch 9:svn38823-19.fc31 updates 21 k texlive-ifluatex  noarch 9:svn51770-19.fc31 updates 22 k texlive-ifmslide  noarch 9:svn20727.0.47-19.fc31 updates 291 k texlive-ifmtarg  noarch 9:svn47544-19.fc31 updates 17 k texlive-ifnextok  noarch 9:svn23379.0.3-19.fc31 updates 30 k texlive-ifoddpage  noarch 9:svn40726-19.fc31 updates 21 k texlive-ifplatform  noarch 9:svn45533-19.fc31 updates 19 k texlive-ifsym  noarch 9:svn24868.0-19.fc31 updates 29 k texlive-iftex  noarch 9:svn29654.0.2-19.fc31 updates 21 k texlive-ifthenx  noarch 9:svn25819.0.1a-19.fc31 updates 21 k texlive-ifxetex  noarch 9:svn19685.0.5-19.fc31 updates 18 k texlive-iitem  noarch 9:svn29613.1.0-19.fc31 updates 18 k texlive-ijmart  noarch 9:svn30958.1.7-19.fc31 updates 28 k texlive-ijqc  noarch 9:svn15878.1.2-19.fc31 updates 23 k texlive-ijsra  noarch 9:svn44886-19.fc31 updates 709 k texlive-imac  noarch 9:svn17347.0-19.fc31 updates 25 k texlive-image-gallery  noarch 9:svn15878.v1.0j-19.fc31 updates 19 k texlive-imakeidx  noarch 9:svn42287-19.fc31 updates 24 k texlive-imfellenglish  noarch 9:svn38547-19.fc31 updates 2.9 M texlive-import  noarch 9:svn17361.5.1-19.fc31 updates 17 k texlive-imtekda  noarch 9:svn17667.1.7-19.fc31 updates 21 k texlive-incgraph  noarch 9:svn36500.1.12-19.fc31 updates 24 k texlive-includernw  noarch 9:svn47557-19.fc31 updates 176 k texlive-inconsolata  noarch 9:svn51433-19.fc31 updates 341 k texlive-index  noarch 9:svn24099.4.1beta-19.fc31 updates 26 k texlive-indextools  noarch 9:svn38931-19.fc31 updates 24 k texlive-initials  noarch 9:svn15878.0-19.fc31 updates 3.0 M texlive-inkpaper  noarch 9:svn51447-19.fc31 updates 300 k texlive-inline-images  noarch 9:svn48415-19.fc31 updates 147 k texlive-inlinebib  noarch 9:svn22018.0-19.fc31 updates 24 k texlive-inlinedef  noarch 9:svn15878.1.0-19.fc31 updates 21 k texlive-inputtrc  noarch 9:svn28019.0.3-19.fc31 updates 22 k texlive-inriafonts  noarch 9:svn49826-19.fc31 updates 2.5 M texlive-interactiveworkbook  noarch 9:svn15878.0-19.fc31 updates 22 k texlive-interfaces  noarch 9:svn21474.3.1-19.fc31 updates 51 k texlive-interval  noarch 9:svn50265-19.fc31 updates 21 k texlive-intopdf  noarch 9:svn51247-19.fc31 updates 409 k texlive-inversepath  noarch 9:svn15878.0.2-19.fc31 updates 21 k texlive-invoice  noarch 9:svn48359-19.fc31 updates 27 k texlive-invoice-class  noarch 9:svn49749-19.fc31 updates 124 k texlive-invoice2  noarch 9:svn46364-19.fc31 updates 628 k texlive-iodhbwm  noarch 9:svn51175-19.fc31 updates 3.0 M texlive-ionumbers  noarch 9:svn33457.0.3.3-19.fc31 updates 24 k texlive-iopart-num  noarch 9:svn15878.2.1-19.fc31 updates 24 k texlive-ipaex-type1  noarch 9:svn47700-19.fc31 updates 13 M texlive-iscram  noarch 9:svn45801-19.fc31 updates 286 k texlive-iso  noarch 9:svn15878.2.4-19.fc31 updates 33 k texlive-iso10303  noarch 9:svn15878.1.5-19.fc31 updates 67 k texlive-isodate  noarch 9:svn16613.2.28-19.fc31 updates 25 k texlive-isodoc  noarch 9:svn47868-19.fc31 updates 30 k texlive-isomath  noarch 9:svn27654.0.6.1-19.fc31 updates 22 k texlive-isonums  noarch 9:svn17362.1.0-19.fc31 updates 19 k texlive-isopt  noarch 9:svn45509-19.fc31 updates 81 k texlive-isorot  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-isotope  noarch 9:svn23711.v0.3-19.fc31 updates 18 k texlive-issuulinks  noarch 9:svn25742.1.1-19.fc31 updates 22 k texlive-istgame  noarch 9:svn49848-19.fc31 updates 765 k texlive-itnumpar  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-iwhdp  noarch 9:svn37552.0.50-19.fc31 updates 30 k texlive-iwona  noarch 9:svn19611.0.995b-19.fc31 updates 4.0 M texlive-jablantile  noarch 9:svn16364.0-19.fc31 updates 18 k texlive-jacow  noarch 9:svn50870-19.fc31 updates 26 k texlive-jamtimes  noarch 9:svn20408.1.12-19.fc31 updates 83 k texlive-jkmath  noarch 9:svn47109-19.fc31 updates 146 k texlive-jknapltx  noarch 9:svn19440.0-19.fc31 updates 30 k texlive-jlabels  noarch 9:svn24858.0-19.fc31 updates 15 k texlive-jmlr  noarch 9:svn44935-19.fc31 updates 44 k texlive-jneurosci  noarch 9:svn17346.1.00-19.fc31 updates 24 k texlive-jnuexam  noarch 9:svn49212-19.fc31 updates 641 k texlive-jpsj  noarch 9:svn15878.1.2.2-19.fc31 updates 24 k texlive-jslectureplanner  noarch 9:svn49963-19.fc31 updates 32 k texlive-jumplines  noarch 9:svn37553.0.2-19.fc31 updates 24 k texlive-junicode  noarch 9:svn28286.0.7.7-19.fc31 updates 915 k texlive-jurabib  noarch 9:svn15878.0.6-19.fc31 updates 73 k texlive-jvlisting  noarch 9:svn24638.0.7-19.fc31 updates 19 k texlive-kalendarium  noarch 9:svn48744-19.fc31 updates 379 k texlive-kantlipsum  noarch 9:svn51727-19.fc31 updates 44 k texlive-karnaugh  noarch 9:svn21338.0-19.fc31 updates 21 k texlive-karnaugh-map  noarch 9:svn44131-19.fc31 updates 251 k texlive-karnaughmap  noarch 9:svn36989.2.0-19.fc31 updates 22 k texlive-kastrup  noarch 9:svn15878.0-19.fc31 updates 15 k texlive-kdgdocs  noarch 9:svn24498.1.0-19.fc31 updates 24 k texlive-kerntest  noarch 9:svn15878.1.32-19.fc31 updates 30 k texlive-keycommand  noarch 9:svn18042.3.1415-19.fc31 updates 22 k texlive-keyfloat  noarch 9:svn50534-19.fc31 updates 512 k texlive-keyreader  noarch 9:svn28195.0.5b-19.fc31 updates 29 k texlive-keystroke  noarch 9:svn17992.v1.6-19.fc31 updates 26 k texlive-keyval2e  noarch 9:svn23698.0.0.2-19.fc31 updates 23 k texlive-keyvaltable  noarch 9:svn51288-19.fc31 updates 25 k texlive-kix  noarch 9:svn21606.0-19.fc31 updates 18 k texlive-kixfont  noarch 9:svn18488.0-19.fc31 updates 16 k texlive-kluwer  noarch 9:svn45756-19.fc31 updates 70 k texlive-knitting  noarch 9:svn50782-19.fc31 updates 594 k texlive-knittingpattern  noarch 9:svn17205.0-19.fc31 updates 21 k texlive-knowledge  noarch 9:svn50031-19.fc31 updates 733 k texlive-knuth-lib  noarch 9:svn35820.0-19.fc31 updates 49 k texlive-knuth-local  noarch 9:svn38627-19.fc31 updates 42 k texlive-koma-moderncvclassic  noarch 9:svn25025.v0.5-19.fc31 updates 24 k texlive-koma-script  noarch 9:svn49929-19.fc31 updates 6.7 M texlive-koma-script-sfs  noarch 9:svn26137.1.0-19.fc31 updates 20 k texlive-komacv  noarch 9:svn43902-19.fc31 updates 27 k texlive-komacv-rg  noarch 9:svn49064-19.fc31 updates 852 k texlive-kpathsea  x86_64 7:20190410-8.fc31 updates 1.0 M texlive-kpfonts  noarch 9:svn29803.3.31-19.fc31 updates 2.4 M texlive-ksfh_nat  noarch 9:svn24825.1.1-19.fc31 updates 23 k texlive-ksp-thesis  noarch 9:svn39080-19.fc31 updates 24 k texlive-ktv-texdata  noarch 9:svn27369.05.34-19.fc31 updates 21 k texlive-ku-template  noarch 9:svn45935-19.fc31 updates 237 k texlive-kurier  noarch 9:svn19612.0.995b-19.fc31 updates 3.9 M texlive-kvmap  noarch 9:svn48708-19.fc31 updates 128 k texlive-l3backend  noarch 9:svn52343-19.fc31 updates 736 k texlive-l3build  noarch 7:20190410-8.fc31 updates 726 k texlive-l3experimental  noarch 9:svn51546-19.fc31 updates 48 k texlive-l3kernel  noarch 9:svn51745-19.fc31 updates 170 k texlive-l3packages  noarch 9:svn51546-19.fc31 updates 41 k texlive-labbook  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-labels  noarch 9:svn15878.13-19.fc31 updates 22 k texlive-labels4easylist  noarch 9:svn51124-19.fc31 updates 39 k texlive-labelschanged  noarch 9:svn46040-19.fc31 updates 204 k texlive-ladder  noarch 9:svn44394-19.fc31 updates 223 k texlive-lambda-lists  noarch 9:svn31402.0-19.fc31 updates 18 k texlive-langcode  noarch 9:svn27764.0.2-19.fc31 updates 23 k texlive-langsci  noarch 9:svn50706-19.fc31 updates 116 k texlive-lapdf  noarch 9:svn23806.1.1-19.fc31 updates 31 k texlive-lastpackage  noarch 9:svn34481.0.1-19.fc31 updates 21 k texlive-lastpage  noarch 9:svn36680.1.2m-19.fc31 updates 23 k texlive-latex  noarch 7:20190410-8.fc31 updates 13 M texlive-latex-base-dev  noarch 9:svn51730-19.fc31 updates 13 M texlive-latex-bin-dev  noarch 9:svn51839-19.fc31 updates 27 k texlive-latex-fonts  noarch 9:svn28888.0-19.fc31 updates 44 k texlive-latex-graphics-dev  noarch 9:svn51730-19.fc31 updates 1.9 M texlive-latex-make  noarch 9:svn47869-19.fc31 updates 29 k texlive-latex-tds-doc  noarch 9:svn40613-19.fc31 updates 104 k texlive-latex-uni8  noarch 9:svn49729-19.fc31 updates 28 k texlive-latexbug  noarch 9:svn49573-19.fc31 updates 206 k texlive-latexcolors  noarch 9:svn49888-19.fc31 updates 392 k texlive-latexconfig  noarch 9:svn45777-19.fc31 updates 20 k texlive-latexdemo  noarch 9:svn34481.0.1-19.fc31 updates 22 k texlive-latexgit  noarch 9:svn41920-19.fc31 updates 443 k texlive-lato  noarch 9:svn51462-19.fc31 updates 13 M texlive-layouts  noarch 9:svn42428-19.fc31 updates 33 k texlive-lazylist  noarch 9:svn17691.1.0a-19.fc31 updates 20 k texlive-lccaps  noarch 9:svn46432-19.fc31 updates 167 k texlive-lcd  noarch 9:svn16549.0.3-19.fc31 updates 20 k texlive-lcg  noarch 9:svn31474.1.3-19.fc31 updates 19 k texlive-leading  noarch 9:svn15878.0.3-19.fc31 updates 18 k texlive-leaflet  noarch 9:svn43523-19.fc31 updates 22 k texlive-lectures  noarch 9:svn49863-19.fc31 updates 21 k texlive-leftidx  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-leipzig  noarch 9:svn51357-19.fc31 updates 26 k texlive-lengthconvert  noarch 9:svn30867.1.0a-19.fc31 updates 22 k texlive-lettre  noarch 9:svn44950-19.fc31 updates 34 k texlive-lettrine  noarch 9:svn50847-19.fc31 updates 28 k texlive-lewis  noarch 9:svn15878.0.1-19.fc31 updates 15 k texlive-lfb  noarch 9:svn15878.1.0-19.fc31 updates 44 k texlive-lhelp  noarch 9:svn23638.2.0-19.fc31 updates 24 k texlive-lib  x86_64 7:20190410-8.fc31 updates 478 k texlive-libertine  noarch 9:svn51174-19.fc31 updates 14 M texlive-libertinegc  noarch 9:svn44616-19.fc31 updates 83 k texlive-libertinus  noarch 9:svn47488-19.fc31 updates 18 k texlive-libertinus-fonts  noarch 9:svn51614-19.fc31 updates 5.4 M texlive-libertinus-otf  noarch 9:svn51277-19.fc31 updates 2.0 M texlive-libertinus-type1  noarch 9:svn51261-19.fc31 updates 9.7 M texlive-libertinust1math  noarch 9:svn48077-19.fc31 updates 1.0 M texlive-libgreek  noarch 9:svn27789.1.0-19.fc31 updates 22 k texlive-librebaskerville  noarch 9:svn31741.0-19.fc31 updates 630 k texlive-librebodoni  noarch 9:svn39375-19.fc31 updates 596 k texlive-librecaslon  noarch 9:svn51456-19.fc31 updates 856 k texlive-librefranklin  noarch 9:svn51100-19.fc31 updates 3.1 M texlive-libris  noarch 9:svn19409.1.007-19.fc31 updates 273 k texlive-limap  noarch 9:svn44863-19.fc31 updates 22 k texlive-limecv  noarch 9:svn45906-19.fc31 updates 538 k texlive-lineara  noarch 9:svn15878.0-19.fc31 updates 168 k texlive-linegoal  noarch 9:svn21523.2.9-19.fc31 updates 22 k texlive-lineno  noarch 9:svn21442.4.41-19.fc31 updates 79 k texlive-linguex  noarch 9:svn30815.4.3-19.fc31 updates 24 k texlive-linguisticspro  noarch 9:svn51457-19.fc31 updates 1.5 M texlive-linop  noarch 9:svn41304-19.fc31 updates 21 k texlive-lion-msc  noarch 9:svn51143-19.fc31 updates 1.4 M texlive-lipsum  noarch 9:svn49610-19.fc31 updates 93 k texlive-lisp-on-tex  noarch 9:svn38722-19.fc31 updates 29 k texlive-listbib  noarch 7:20190410-8.fc31 updates 361 k texlive-listing  noarch 9:svn17373.1.2-19.fc31 updates 19 k texlive-listings  noarch 9:svn50175-19.fc31 updates 170 k texlive-listlbls  noarch 9:svn34893.1.03-19.fc31 updates 22 k texlive-listliketab  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-listofsymbols  noarch 9:svn16134.0.2-19.fc31 updates 20 k texlive-lkproof  noarch 9:svn20021.3.1-19.fc31 updates 21 k texlive-llncsconf  noarch 9:svn46707-19.fc31 updates 144 k texlive-lm  noarch 9:svn48145-19.fc31 updates 12 M texlive-lm-math  noarch 9:svn36915.1.959-19.fc31 updates 457 k texlive-lmake  noarch 9:svn25552.1.0-19.fc31 updates 20 k texlive-lni  noarch 9:svn50754-19.fc31 updates 385 k texlive-lobster2  noarch 9:svn32617.0-19.fc31 updates 863 k texlive-locality  noarch 9:svn20422.0.2-19.fc31 updates 22 k texlive-localloc  noarch 9:svn21934.0-19.fc31 updates 16 k texlive-logbox  noarch 9:svn24499.1.0-19.fc31 updates 21 k texlive-logical-markup-utils  noarch 9:svn15878.0-19.fc31 updates 27 k texlive-logicproof  noarch 9:svn33254.0-19.fc31 updates 22 k texlive-logpap  noarch 9:svn15878.0.6-19.fc31 updates 23 k texlive-logreq  noarch 9:svn19640.1.0-19.fc31 updates 23 k texlive-longdivision  noarch 9:svn50586-19.fc31 updates 149 k texlive-longfbox  noarch 9:svn39028-19.fc31 updates 37 k texlive-longfigure  noarch 9:svn34302.1.0-19.fc31 updates 24 k texlive-longnamefilelist  noarch 9:svn27889.0.2-19.fc31 updates 23 k texlive-loops  noarch 9:svn30704.1.3-19.fc31 updates 31 k texlive-lpform  noarch 9:svn36918.0-19.fc31 updates 19 k texlive-lpic  noarch 9:svn20843.0.8-19.fc31 updates 25 k texlive-lplfitch  noarch 9:svn31077.0.9-19.fc31 updates 22 k texlive-lps  noarch 9:svn21322.0.7-19.fc31 updates 19 k texlive-lroundrect  noarch 9:svn39804-19.fc31 updates 22 k texlive-lsc  noarch 9:svn15878.0-19.fc31 updates 31 k texlive-lstaddons  noarch 9:svn26196.0.1-19.fc31 updates 22 k texlive-lstbayes  noarch 9:svn48160-19.fc31 updates 22 k texlive-lstfiracode  noarch 9:svn49503-19.fc31 updates 77 k texlive-lt3graph  noarch 9:svn45913-19.fc31 updates 31 k texlive-ltablex  noarch 9:svn34923.1.1-19.fc31 updates 19 k texlive-ltabptch  noarch 9:svn17533.1.74d-19.fc31 updates 21 k texlive-ltb2bib  noarch 9:svn43746-19.fc31 updates 64 k texlive-ltxdockit  noarch 9:svn21869.1.2d-19.fc31 updates 24 k texlive-ltxguidex  noarch 9:svn50992-19.fc31 updates 90 k texlive-ltxindex  noarch 9:svn15878.0.1c-19.fc31 updates 21 k texlive-ltxkeys  noarch 9:svn28332.0.0.3c-19.fc31 updates 42 k texlive-ltxmisc  noarch 9:svn21927.0-19.fc31 updates 31 k texlive-ltxnew  noarch 9:svn21586.1.3-19.fc31 updates 23 k texlive-ltxtools  noarch 9:svn24897.0.0.1a-19.fc31 updates 72 k texlive-lua-alt-getopt  noarch 9:svn29349.0.7.0-19.fc31 updates 15 k texlive-lua-check-hyphen  noarch 9:svn47527-19.fc31 updates 18 k texlive-luabibentry  noarch 9:svn31783.0.1a-19.fc31 updates 22 k texlive-luabidi  noarch 9:svn30790.0.2-19.fc31 updates 22 k texlive-luacode  noarch 9:svn25193.1.2a-19.fc31 updates 22 k texlive-luainputenc  noarch 9:svn20491.0.973-19.fc31 updates 20 k texlive-lualatex-math  noarch 9:svn49904-19.fc31 updates 23 k texlive-lualibs  noarch 9:svn51642-19.fc31 updates 143 k texlive-luamesh  noarch 9:svn43814-19.fc31 updates 249 k texlive-luaotfload  noarch 7:20190410-8.fc31 updates 997 k texlive-luasseq  noarch 9:svn37877.0-19.fc31 updates 27 k texlive-luatex  x86_64 7:20190410-8.fc31 updates 4.0 M texlive-luatexbase  noarch 9:svn38550-19.fc31 updates 19 k texlive-luatextra  noarch 9:svn20747.1.0.1-19.fc31 updates 15 k texlive-luatodonotes  noarch 9:svn45454-19.fc31 updates 45 k texlive-lwarp  noarch 7:20190410-8.fc31 updates 2.4 M texlive-lxfonts  noarch 9:svn32354.2.0b-19.fc31 updates 936 k texlive-ly1  noarch 9:svn47848-19.fc31 updates 93 k texlive-macroswap  noarch 9:svn31498.1.1-19.fc31 updates 19 k texlive-magaz  noarch 9:svn24694.0.4-19.fc31 updates 18 k texlive-mailing  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-mailmerge  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-make4ht  noarch 7:20190410-8.fc31 updates 179 k texlive-makebarcode  noarch 9:svn15878.1.0-19.fc31 updates 22 k texlive-makebase  noarch 9:svn41012-19.fc31 updates 21 k texlive-makebox  noarch 9:svn15878.0.1-19.fc31 updates 18 k texlive-makecell  noarch 9:svn15878.0.1e-19.fc31 updates 21 k texlive-makecirc  noarch 9:svn15878.0-19.fc31 updates 29 k texlive-makecmds  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-makecookbook  noarch 9:svn49311-19.fc31 updates 481 k texlive-makedtx  noarch 7:20190410-8.fc31 updates 286 k texlive-makeglos  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-makeindex  x86_64 7:20190410-8.fc31 updates 436 k texlive-maker  noarch 9:svn44823-19.fc31 updates 25 k texlive-makeshape  noarch 9:svn28973.2.1-19.fc31 updates 21 k texlive-mandi  noarch 9:svn49720-19.fc31 updates 36 k texlive-manfnt  noarch 9:svn42428-19.fc31 updates 18 k texlive-manfnt-font  noarch 9:svn45777-19.fc31 updates 40 k texlive-manuscript  noarch 9:svn36110.1.7-19.fc31 updates 19 k texlive-manyind  noarch 9:svn49874-19.fc31 updates 176 k texlive-marcellus  noarch 9:svn52367-19.fc31 updates 234 k texlive-margbib  noarch 9:svn15878.1.0c-19.fc31 updates 20 k texlive-marginfit  noarch 9:svn48281-19.fc31 updates 230 k texlive-marginfix  noarch 9:svn31598.1.1-19.fc31 updates 21 k texlive-marginnote  noarch 9:svn48383-19.fc31 updates 20 k texlive-markdown  noarch 9:svn50906-19.fc31 updates 54 k texlive-marvosym  noarch 9:svn29349.2.2a-19.fc31 updates 152 k texlive-matc3  noarch 9:svn29845.1.0.1-19.fc31 updates 21 k texlive-matc3mem  noarch 9:svn35773.1.1-19.fc31 updates 22 k texlive-mathabx  noarch 9:svn15878.0-19.fc31 updates 137 k texlive-mathabx-type1  noarch 9:svn21129.0-19.fc31 updates 1.8 M texlive-mathalpha  noarch 9:svn51179-19.fc31 updates 24 k texlive-mathastext  noarch 9:svn42447-19.fc31 updates 35 k texlive-mathcommand  noarch 9:svn51542-19.fc31 updates 457 k texlive-mathcomp  noarch 9:svn15878.0.1f-19.fc31 updates 18 k texlive-mathdesign  noarch 9:svn31639.2.31-19.fc31 updates 2.4 M texlive-mathexam  noarch 9:svn15878.1.00-19.fc31 updates 19 k texlive-mathfam256  noarch 9:svn46412-19.fc31 updates 101 k texlive-mathfixs  noarch 9:svn49547-19.fc31 updates 307 k texlive-mathfont  noarch 9:svn51017-19.fc31 updates 405 k texlive-mathpartir  noarch 9:svn39864-19.fc31 updates 25 k texlive-mathpazo  noarch 9:svn15878.1.003-19.fc31 updates 88 k texlive-mathpunctspace  noarch 9:svn46754-19.fc31 updates 201 k texlive-mathspec  noarch 9:svn42773-19.fc31 updates 27 k texlive-mathspic  noarch 7:20190410-8.fc31 updates 2.4 M texlive-mathtools  noarch 9:svn51788-19.fc31 updates 39 k texlive-matlab-prettifier  noarch 9:svn34323.0.3-19.fc31 updates 24 k texlive-mattens  noarch 9:svn17582.1.3-19.fc31 updates 20 k texlive-maybemath  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-mcaption  noarch 9:svn15878.3.0-19.fc31 updates 19 k texlive-mceinleger  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-mcexam  noarch 9:svn46155-19.fc31 updates 265 k texlive-mcite  noarch 9:svn18173.1.6-19.fc31 updates 21 k texlive-mciteplus  noarch 9:svn31648.1.2-19.fc31 updates 51 k texlive-mcmthesis  noarch 9:svn49825-19.fc31 updates 24 k texlive-mdframed  noarch 9:svn31075.1.9b-19.fc31 updates 39 k texlive-mdputu  noarch 9:svn20298.1.2-19.fc31 updates 36 k texlive-mdsymbol  noarch 9:svn28399.0.5-19.fc31 updates 939 k texlive-mdwtools  noarch 9:svn15878.1.05.4-19.fc31 updates 39 k texlive-media9  noarch 9:svn51619-19.fc31 updates 1.8 M texlive-medstarbeamer  noarch 9:svn38828-19.fc31 updates 22 k texlive-meetingmins  noarch 9:svn31878.1.6-19.fc31 updates 22 k texlive-memexsupp  noarch 9:svn15878.0.1-19.fc31 updates 19 k texlive-memoir  noarch 9:svn49394-19.fc31 updates 96 k texlive-memory  noarch 9:svn30452.1.2-19.fc31 updates 21 k texlive-memorygraphs  noarch 9:svn49631-19.fc31 updates 207 k texlive-mensa-tex  noarch 9:svn45997-19.fc31 updates 188 k texlive-mentis  noarch 9:svn15878.1.5-19.fc31 updates 21 k texlive-menu  noarch 9:svn15878.0.994-19.fc31 updates 16 k texlive-menukeys  noarch 9:svn41823-19.fc31 updates 24 k texlive-merriweather  noarch 9:svn51304-19.fc31 updates 2.3 M texlive-metafont  x86_64 7:20190410-8.fc31 updates 259 k texlive-metalogo  noarch 9:svn18611.0.12-19.fc31 updates 19 k texlive-metalogox  noarch 9:svn49774-19.fc31 updates 439 k texlive-method  noarch 9:svn17485.2.0b-19.fc31 updates 20 k texlive-metre  noarch 9:svn18489.1.0-19.fc31 updates 28 k texlive-mfirstuc  noarch 9:svn45803-19.fc31 updates 24 k texlive-mflogo  noarch 9:svn42428-19.fc31 updates 19 k texlive-mflogo-font  noarch 9:svn36898.1.002-19.fc31 updates 35 k texlive-mfnfss  noarch 9:svn46036-19.fc31 updates 20 k texlive-mftinc  noarch 9:svn15878.1.0a-19.fc31 updates 20 k texlive-mfware  x86_64 7:20190410-8.fc31 updates 107 k texlive-mgltex  noarch 9:svn41676-19.fc31 updates 1.7 M texlive-mhchem  noarch 9:svn48088-19.fc31 updates 73 k texlive-mhequ  noarch 9:svn38224.1.7-19.fc31 updates 18 k texlive-mi-solns  noarch 9:svn49651-19.fc31 updates 471 k texlive-miama  noarch 9:svn51395-19.fc31 updates 559 k texlive-microtype  noarch 9:svn50187-19.fc31 updates 67 k texlive-midpage  noarch 9:svn17484.1.1a-19.fc31 updates 18 k texlive-miller  noarch 9:svn18789.1.2-19.fc31 updates 18 k texlive-milsymb  noarch 9:svn51566-19.fc31 updates 1.5 M texlive-minibox  noarch 9:svn30914.0.2a-19.fc31 updates 18 k texlive-minidocument  noarch 9:svn43752-19.fc31 updates 204 k texlive-minifp  noarch 9:svn32559.0.96-19.fc31 updates 30 k texlive-minipage-marginpar  noarch 9:svn15878.v0.2-19.fc31 updates 19 k texlive-miniplot  noarch 9:svn17483.0-19.fc31 updates 23 k texlive-minitoc  noarch 9:svn48196-19.fc31 updates 89 k texlive-minorrevision  noarch 9:svn32165.1.1-19.fc31 updates 20 k texlive-minted  noarch 9:svn44855-19.fc31 updates 29 k texlive-mintspirit  noarch 9:svn32069.0-19.fc31 updates 1.2 M texlive-minutes  noarch 9:svn42186-19.fc31 updates 23 k texlive-mismath  noarch 9:svn51436-19.fc31 updates 537 k texlive-missaali  noarch 9:svn42810-19.fc31 updates 7.6 M texlive-mkpic  noarch 7:20190410-8.fc31 updates 219 k texlive-mla-paper  noarch 9:svn20885.0-19.fc31 updates 19 k texlive-mlacls  noarch 9:svn51157-19.fc31 updates 378 k texlive-mlist  noarch 9:svn15878.0.6a-19.fc31 updates 20 k texlive-mmap  noarch 9:svn15878.1.03-19.fc31 updates 44 k texlive-mnotes  noarch 9:svn35521.0.8-19.fc31 updates 22 k texlive-mnras  noarch 9:svn37579.3.0-19.fc31 updates 45 k texlive-mnsymbol  noarch 9:svn18651.1.4-19.fc31 updates 4.4 M texlive-moderncv  noarch 9:svn37992.2.0.0-19.fc31 updates 47 k texlive-modernposter  noarch 9:svn47269-19.fc31 updates 396 k texlive-moderntimeline  noarch 9:svn50228-19.fc31 updates 22 k texlive-modiagram  noarch 9:svn38448-19.fc31 updates 29 k texlive-modref  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-modroman  noarch 9:svn29803.1-19.fc31 updates 19 k texlive-modular  noarch 9:svn44142-19.fc31 updates 163 k texlive-monofill  noarch 9:svn28140.0.2-19.fc31 updates 24 k texlive-montserrat  noarch 9:svn43347-19.fc31 updates 11 M texlive-moodle  noarch 9:svn39367-19.fc31 updates 36 k texlive-moreenum  noarch 9:svn24479.1.03-19.fc31 updates 23 k texlive-morefloats  noarch 9:svn37927.1.0h-19.fc31 updates 25 k texlive-morehype  noarch 9:svn38815-19.fc31 updates 83 k texlive-moresize  noarch 9:svn17513.1.9-19.fc31 updates 18 k texlive-moreverb  noarch 9:svn22126.2.3a-19.fc31 updates 19 k texlive-morewrites  noarch 9:svn49531-19.fc31 updates 25 k texlive-mparhack  noarch 9:svn15878.1.4-19.fc31 updates 21 k texlive-mpostinl  noarch 9:svn49559-19.fc31 updates 346 k texlive-mptopdf  noarch 7:20190410-8.fc31 updates 55 k texlive-ms  noarch 9:svn51784-19.fc31 updates 22 k texlive-msc  noarch 9:svn15878.1.16-19.fc31 updates 35 k texlive-msg  noarch 9:svn49578-19.fc31 updates 25 k texlive-mslapa  noarch 9:svn17514.0-19.fc31 updates 23 k texlive-msu-thesis  noarch 9:svn46106-19.fc31 updates 28 k texlive-mtgreek  noarch 9:svn17967.1.1+-19.fc31 updates 19 k texlive-mucproc  noarch 9:svn43445-19.fc31 updates 350 k texlive-mugsthesis  noarch 9:svn34878.0-19.fc31 updates 22 k texlive-multenum  noarch 9:svn21775.0-19.fc31 updates 19 k texlive-multiaudience  noarch 9:svn38035.1.03-19.fc31 updates 21 k texlive-multibbl  noarch 9:svn15878.v1.1-19.fc31 updates 19 k texlive-multibib  noarch 9:svn15878.1.4-19.fc31 updates 34 k texlive-multibibliography  noarch 7:20190410-8.fc31 updates 470 k texlive-multicap  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-multicolrule  noarch 9:svn49579-19.fc31 updates 606 k texlive-multidef  noarch 9:svn40637-19.fc31 updates 22 k texlive-multido  noarch 9:svn18302.1.42-19.fc31 updates 21 k texlive-multienv  noarch 9:svn26544.1.0-19.fc31 updates 21 k texlive-multiexpand  noarch 9:svn45943-19.fc31 updates 21 k texlive-multilang  noarch 9:svn49065-19.fc31 updates 423 k texlive-multiobjective  noarch 9:svn15878.1.0-19.fc31 updates 18 k texlive-multirow  noarch 9:svn51278-19.fc31 updates 20 k texlive-munich  noarch 9:svn15878.0-19.fc31 updates 24 k texlive-musuos  noarch 9:svn24857.1.1d-19.fc31 updates 21 k texlive-muthesis  noarch 9:svn23861.0-19.fc31 updates 25 k texlive-mversion  noarch 9:svn29370.1.0.1-19.fc31 updates 19 k texlive-mwe  noarch 9:svn47194-19.fc31 updates 834 k texlive-mweights  noarch 9:svn43647-19.fc31 updates 18 k texlive-mychemistry  noarch 9:svn28611.1.99b-19.fc31 updates 29 k texlive-mycv  noarch 9:svn26807.1.5.6-19.fc31 updates 29 k texlive-mylatexformat  noarch 9:svn21392.3.4-19.fc31 updates 24 k texlive-mynsfc  noarch 9:svn41996-19.fc31 updates 23 k texlive-nag  noarch 9:svn24741.0.7-19.fc31 updates 25 k texlive-nameauth  noarch 9:svn43586-19.fc31 updates 26 k texlive-namespc  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-nar  noarch 9:svn38100.3.19-19.fc31 updates 20 k texlive-natbib  noarch 9:svn20668.8.31b-19.fc31 updates 34 k texlive-natded  noarch 9:svn32693.0.1-19.fc31 updates 23 k texlive-nath  noarch 9:svn15878.0-19.fc31 updates 40 k texlive-nature  noarch 9:svn21819.1.0-19.fc31 updates 23 k texlive-navydocs  noarch 9:svn41643-19.fc31 updates 1.0 M texlive-ncclatex  noarch 9:svn15878.1.5-19.fc31 updates 39 k texlive-ncctools  noarch 9:svn51810-19.fc31 updates 45 k texlive-ncntrsbk  noarch 9:svn31835.0-19.fc31 updates 348 k texlive-nddiss  noarch 9:svn45107-19.fc31 updates 29 k texlive-ndsu-thesis  noarch 9:svn46639-19.fc31 updates 23 k texlive-needspace  noarch 9:svn29601.1.3d-19.fc31 updates 18 k texlive-nestquot  noarch 9:svn27323.0-19.fc31 updates 16 k texlive-neuralnetwork  noarch 9:svn31500.1.0-19.fc31 updates 22 k texlive-newcommand-doc  noarch 9:svn18704.2.0-19.fc31 updates 413 k texlive-newenviron  noarch 9:svn29331.1.0-19.fc31 updates 22 k texlive-newfile  noarch 9:svn15878.1.0c-19.fc31 updates 19 k texlive-newlfm  noarch 9:svn15878.9.4-19.fc31 updates 40 k texlive-newpx  noarch 9:svn49438-19.fc31 updates 1.5 M texlive-newspaper  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-newtx  noarch 9:svn53549-19.fc31 updates 4.5 M texlive-newtxsf  noarch 9:svn47958-19.fc31 updates 125 k texlive-newtxtt  noarch 9:svn44510-19.fc31 updates 162 k texlive-newunicodechar  noarch 9:svn47382-19.fc31 updates 22 k texlive-newvbtm  noarch 9:svn23996.1.1-19.fc31 updates 20 k texlive-newverbs  noarch 9:svn26258.1.3a-19.fc31 updates 22 k texlive-nextpage  noarch 9:svn15878.1.1a-19.fc31 updates 18 k texlive-nfssext-cfr  noarch 9:svn43640-19.fc31 updates 25 k texlive-nicefilelist  noarch 9:svn28527.0.7a-19.fc31 updates 26 k texlive-niceframe  noarch 9:svn36086.1.1c-19.fc31 updates 56 k texlive-niceframe-type1  noarch 9:svn44671-19.fc31 updates 291 k texlive-nicematrix  noarch 9:svn51680-19.fc31 updates 838 k texlive-nicetext  noarch 9:svn38914-19.fc31 updates 74 k texlive-nidanfloat  noarch 9:svn48295-19.fc31 updates 238 k texlive-nih  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-nihbiosketch  noarch 9:svn39460-19.fc31 updates 22 k texlive-nimbus15  noarch 9:svn39343-19.fc31 updates 3.8 M texlive-nkarta  noarch 9:svn16437.0.2-19.fc31 updates 44 k texlive-nlctdoc  noarch 9:svn44353-19.fc31 updates 22 k texlive-nmbib  noarch 9:svn37984.1.04-19.fc31 updates 29 k texlive-noconflict  noarch 9:svn30140.1.0-19.fc31 updates 21 k texlive-noindentafter  noarch 9:svn35709.0.2.2-19.fc31 updates 22 k texlive-noitcrul  noarch 9:svn15878.0.2-19.fc31 updates 18 k texlive-nolbreaks  noarch 9:svn26786.1.2-19.fc31 updates 17 k texlive-nomencl  noarch 9:svn51025-19.fc31 updates 21 k texlive-nomentbl  noarch 9:svn16549.0.4-19.fc31 updates 20 k texlive-nonfloat  noarch 9:svn17598.1.0-19.fc31 updates 15 k texlive-nonumonpart  noarch 9:svn22114.1-19.fc31 updates 19 k texlive-nopageno  noarch 9:svn18128.0-19.fc31 updates 18 k texlive-norasi-c90  noarch 9:svn37675.0-19.fc31 updates 25 k texlive-normalcolor  noarch 9:svn40125-19.fc31 updates 21 k texlive-nostarch  noarch 9:svn15878.1.3-19.fc31 updates 26 k texlive-notes  noarch 9:svn42428-19.fc31 updates 25 k texlive-notes2bib  noarch 9:svn31162.2.0k-19.fc31 updates 21 k texlive-notespages  noarch 9:svn41906-19.fc31 updates 891 k texlive-notestex  noarch 9:svn45396-19.fc31 updates 549 k texlive-notex-bst  noarch 9:svn42361-19.fc31 updates 18 k texlive-noto  noarch 9:svn53105-19.fc31 updates 66 M texlive-notoccite  noarch 9:svn18129.0-19.fc31 updates 16 k texlive-novel  noarch 9:svn47492-19.fc31 updates 4.6 M texlive-nowidow  noarch 9:svn24066.1.0-19.fc31 updates 21 k texlive-nox  noarch 9:svn30991.1.0-19.fc31 updates 21 k texlive-nrc  noarch 9:svn29027.2.01a-19.fc31 updates 32 k texlive-ntgclass  noarch 9:svn15878.2.1a-19.fc31 updates 38 k texlive-ntheorem  noarch 9:svn27609.1.33-19.fc31 updates 26 k texlive-nuc  noarch 9:svn22256.0.1-19.fc31 updates 19 k texlive-nucleardata  noarch 9:svn47307-19.fc31 updates 156 k texlive-numberedblock  noarch 9:svn33109.1.10-19.fc31 updates 22 k texlive-numericplots  noarch 9:svn31729.2.0.2-19.fc31 updates 35 k texlive-numname  noarch 9:svn18130.0-19.fc31 updates 20 k texlive-numprint  noarch 9:svn27498.1.39-19.fc31 updates 28 k texlive-numspell  noarch 9:svn45441-19.fc31 updates 248 k texlive-nwejm  noarch 9:svn50447-19.fc31 updates 3.9 M texlive-oberdiek  noarch 7:20190410-8.fc31 updates 14 M texlive-objectz  noarch 9:svn19389.0-19.fc31 updates 27 k texlive-obnov  noarch 9:svn33355.0.11-19.fc31 updates 82 k texlive-ocg-p  noarch 9:svn28803.0.4-19.fc31 updates 21 k texlive-ocgx  noarch 9:svn28492.0.5-19.fc31 updates 19 k texlive-ocgx2  noarch 9:svn51552-19.fc31 updates 33 k texlive-ocherokee  noarch 9:svn25689.0-19.fc31 updates 92 k texlive-ocr-b  noarch 9:svn20852.0-19.fc31 updates 27 k texlive-ocr-b-outline  noarch 9:svn20969.0-19.fc31 updates 252 k texlive-ocr-latex  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-octavo  noarch 9:svn15878.1.2-19.fc31 updates 24 k texlive-ogham  noarch 9:svn24876.0-19.fc31 updates 16 k texlive-oinuit  noarch 9:svn28668.0-19.fc31 updates 166 k texlive-old-arrows  noarch 9:svn42872-19.fc31 updates 59 k texlive-oldlatin  noarch 9:svn17932.1.00-19.fc31 updates 66 k texlive-oldstandard  noarch 9:svn51741-19.fc31 updates 1.6 M texlive-oldstyle  noarch 9:svn15878.0.2-19.fc31 updates 19 k texlive-onlyamsmath  noarch 9:svn42927-19.fc31 updates 18 k texlive-onrannual  noarch 9:svn17474.1.1-19.fc31 updates 23 k texlive-opcit  noarch 9:svn15878.1.1-19.fc31 updates 24 k texlive-opensans  noarch 9:svn51458-19.fc31 updates 2.3 M texlive-oplotsymbl  noarch 9:svn44951-19.fc31 updates 248 k texlive-opteng  noarch 9:svn27331.1.0-19.fc31 updates 23 k texlive-optidef  noarch 9:svn50941-19.fc31 updates 25 k texlive-optional  noarch 9:svn18131.2.2b-19.fc31 updates 20 k texlive-options  noarch 9:svn39030-19.fc31 updates 30 k texlive-orkhun  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-oscola  noarch 9:svn49772-19.fc31 updates 43 k texlive-ot-tableau  noarch 9:svn44889-19.fc31 updates 19 k texlive-oubraces  noarch 9:svn21833.0-19.fc31 updates 15 k texlive-outline  noarch 9:svn18360.0-19.fc31 updates 18 k texlive-outliner  noarch 9:svn21095.0.94-19.fc31 updates 21 k texlive-outlines  noarch 9:svn25192.1.1-19.fc31 updates 19 k texlive-outlining  noarch 9:svn45601-19.fc31 updates 156 k texlive-overlays  noarch 9:svn46122-19.fc31 updates 119 k texlive-overlock  noarch 9:svn51294-19.fc31 updates 564 k texlive-overpic  noarch 9:svn45500-19.fc31 updates 19 k texlive-pacioli  noarch 9:svn24947.0-19.fc31 updates 34 k texlive-padcount  noarch 9:svn47621-19.fc31 updates 159 k texlive-pagecolor  noarch 9:svn44487-19.fc31 updates 23 k texlive-pagecont  noarch 9:svn15878.1.0-19.fc31 updates 18 k texlive-pagenote  noarch 9:svn15878.1.1a-19.fc31 updates 22 k texlive-pagerange  noarch 9:svn16915.0.5-19.fc31 updates 21 k texlive-pageslts  noarch 9:svn39164-19.fc31 updates 29 k texlive-palatino  noarch 9:svn31835.0-19.fc31 updates 397 k texlive-paper  noarch 9:svn34521.1.0l-19.fc31 updates 27 k texlive-papercdcase  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-papermas  noarch 9:svn23667.1.0h-19.fc31 updates 23 k texlive-papertex  noarch 9:svn19230.1.2b-19.fc31 updates 23 k texlive-paracol  noarch 9:svn49560-19.fc31 updates 36 k texlive-parades  noarch 9:svn40042-19.fc31 updates 21 k texlive-paralist  noarch 9:svn43021-19.fc31 updates 20 k texlive-parallel  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-paratype  noarch 9:svn32859.0-19.fc31 updates 4.6 M texlive-paresse  noarch 9:svn29803.4.1-19.fc31 updates 20 k texlive-parnotes  noarch 9:svn51720-19.fc31 updates 23 k texlive-parselines  noarch 9:svn21475.1.4-19.fc31 updates 21 k texlive-parskip  noarch 9:svn49730-19.fc31 updates 20 k texlive-pas-cours  noarch 9:svn42036-19.fc31 updates 19 k texlive-pas-cv  noarch 9:svn32263.2.01-19.fc31 updates 28 k texlive-pas-tableur  noarch 9:svn39542-19.fc31 updates 20 k texlive-patchcmd  noarch 9:svn41379-19.fc31 updates 16 k texlive-path  noarch 9:svn22045.3.05-19.fc31 updates 19 k texlive-pauldoc  noarch 9:svn16005.0.5-19.fc31 updates 19 k texlive-pawpict  noarch 9:svn21629.1.0-19.fc31 updates 19 k texlive-pax  noarch 7:20190410-8.fc31 updates 37 k texlive-pb-diagram  noarch 9:svn15878.5.0-19.fc31 updates 30 k texlive-pbox  noarch 9:svn24807.1.2-19.fc31 updates 21 k texlive-pbsheet  noarch 9:svn24830.0.1-19.fc31 updates 22 k texlive-pdf14  noarch 9:svn17583.0.1-19.fc31 updates 21 k texlive-pdfcomment  noarch 9:svn49047-19.fc31 updates 31 k texlive-pdfcprot  noarch 9:svn18735.1.7a-19.fc31 updates 24 k texlive-pdfmarginpar  noarch 9:svn23492.0.92-19.fc31 updates 21 k texlive-pdfoverlay  noarch 9:svn47657-19.fc31 updates 552 k texlive-pdfpagediff  noarch 9:svn37946.1.4-19.fc31 updates 20 k texlive-pdfpages  noarch 9:svn45659-19.fc31 updates 34 k texlive-pdfpc-movie  noarch 9:svn48245-19.fc31 updates 95 k texlive-pdfprivacy  noarch 9:svn45985-19.fc31 updates 273 k texlive-pdfreview  noarch 9:svn50100-19.fc31 updates 588 k texlive-pdfscreen  noarch 9:svn42428-19.fc31 updates 103 k texlive-pdfslide  noarch 9:svn15878.0-19.fc31 updates 62 k texlive-pdfsync  noarch 9:svn20373.0-19.fc31 updates 21 k texlive-pdftex  x86_64 7:20190410-8.fc31 updates 1.8 M texlive-pdfwin  noarch 9:svn45797-19.fc31 updates 30 k texlive-pdfx  noarch 9:svn50338-19.fc31 updates 119 k texlive-pecha  noarch 9:svn15878.0.1-19.fc31 updates 29 k texlive-penrose  noarch 9:svn48202-19.fc31 updates 893 k texlive-perception  noarch 9:svn42683-19.fc31 updates 23 k texlive-perfectcut  noarch 9:svn51744-19.fc31 updates 24 k texlive-perltex  noarch 7:20190410-8.fc31 updates 289 k texlive-permute  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-petiteannonce  noarch 9:svn25915.1.0001-19.fc31 updates 20 k texlive-petri-nets  noarch 7:20190410-8.fc31 updates 212 k texlive-pgf  noarch 9:svn51817-19.fc31 updates 803 k texlive-pgf-blur  noarch 9:svn31693.1.01-19.fc31 updates 19 k texlive-pgf-cmykshadings  noarch 9:svn48982-19.fc31 updates 483 k texlive-pgf-soroban  noarch 9:svn32269.1.1-19.fc31 updates 19 k texlive-pgf-spectra  noarch 9:svn42986-19.fc31 updates 102 k texlive-pgf-umlcd  noarch 9:svn33307.0.2.1.1-19.fc31 updates 21 k texlive-pgf-umlsd  noarch 9:svn33045.0.7-19.fc31 updates 22 k texlive-pgfgantt  noarch 9:svn46280-19.fc31 updates 27 k texlive-pgfkeyx  noarch 9:svn26093.0.0.1-19.fc31 updates 27 k texlive-pgfmolbio  noarch 9:svn35152.0.21-19.fc31 updates 35 k texlive-pgfmorepages  noarch 9:svn51051-19.fc31 updates 87 k texlive-pgfopts  noarch 9:svn34573.2.1a-19.fc31 updates 22 k texlive-pgfornament  noarch 9:svn39988-19.fc31 updates 595 k texlive-pgfplots  noarch 9:svn47373-19.fc31 updates 571 k texlive-phaistos  noarch 9:svn18651.1.0-19.fc31 updates 201 k texlive-phffullpagefigure  noarch 9:svn41857-19.fc31 updates 259 k texlive-phfnote  noarch 9:svn41858-19.fc31 updates 656 k texlive-phfparen  noarch 9:svn41859-19.fc31 updates 320 k texlive-phfqit  noarch 9:svn45084-19.fc31 updates 408 k texlive-phfquotetext  noarch 9:svn41869-19.fc31 updates 215 k texlive-phfsvnwatermark  noarch 9:svn41870-19.fc31 updates 221 k texlive-phfthm  noarch 9:svn41871-19.fc31 updates 391 k texlive-philex  noarch 9:svn36396.1.3-19.fc31 updates 22 k texlive-philosophersimprint  noarch 9:svn41788-19.fc31 updates 22 k texlive-phonenumbers  noarch 9:svn48355-19.fc31 updates 1.2 M texlive-phonetic  noarch 9:svn21871.0-19.fc31 updates 45 k texlive-photo  noarch 9:svn18739.0-19.fc31 updates 20 k texlive-physics  noarch 9:svn28590.1.3-19.fc31 updates 23 k texlive-picinpar  noarch 9:svn20374.1.2a-19.fc31 updates 23 k texlive-pict2e  noarch 9:svn39591-19.fc31 updates 26 k texlive-pictex  noarch 9:svn21943.1.1-19.fc31 updates 60 k texlive-pictex2  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-piff  noarch 9:svn21894.0-19.fc31 updates 17 k texlive-pigpen  noarch 9:svn15878.0.2-19.fc31 updates 26 k texlive-pinlabel  noarch 9:svn24769.1.2-19.fc31 updates 28 k texlive-pittetd  noarch 9:svn15878.1.618-19.fc31 updates 26 k texlive-pixelart  noarch 9:svn46740-19.fc31 updates 165 k texlive-pkgloader  noarch 9:svn47486-19.fc31 updates 31 k texlive-pkuthss  noarch 9:svn48124-19.fc31 updates 32 k texlive-pl  noarch 9:svn36012.1.09-19.fc31 updates 1.9 M texlive-placeins  noarch 9:svn19848.2.2-19.fc31 updates 16 k texlive-plain  noarch 9:svn43076-19.fc31 updates 118 k texlive-plainpkg  noarch 9:svn27765.0.4a-19.fc31 updates 23 k texlive-plantslabels  noarch 9:svn29803.1.0-19.fc31 updates 18 k texlive-plates  noarch 9:svn15878.0.1-19.fc31 updates 23 k texlive-playfair  noarch 9:svn34236.0-19.fc31 updates 2.0 M texlive-plex  noarch 9:svn51295-19.fc31 updates 11 M texlive-plex-otf  noarch 9:svn47562-19.fc31 updates 556 k texlive-plweb  noarch 9:svn15878.3.0-19.fc31 updates 18 k texlive-pm-isomath  noarch 9:svn46402-19.fc31 updates 600 k texlive-pmgraph  noarch 9:svn15878.1.0-19.fc31 updates 28 k texlive-pnas2009  noarch 9:svn16287.1.0-19.fc31 updates 17 k texlive-poiretone  noarch 9:svn51396-19.fc31 updates 217 k texlive-polexpr  noarch 9:svn50013-19.fc31 updates 84 k texlive-polski  noarch 9:svn44213-19.fc31 updates 29 k texlive-poltawski  noarch 9:svn20075.1.101-19.fc31 updates 6.9 M texlive-polyglossia  noarch 9:svn50787-19.fc31 updates 117 k texlive-polynom  noarch 9:svn44832-19.fc31 updates 28 k texlive-polynomial  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-polytable  noarch 9:svn31235.0.8.2-19.fc31 updates 23 k texlive-postage  noarch 9:svn47893-19.fc31 updates 322 k texlive-postcards  noarch 9:svn21641.0-19.fc31 updates 19 k texlive-poster-mac  noarch 9:svn18305.1.1-19.fc31 updates 20 k texlive-powerdot  noarch 9:svn45165-19.fc31 updates 49 k texlive-powerdot-FUBerlin  noarch 9:svn15878.0.01-19.fc31 updates 20 k texlive-powerdot-tuliplab  noarch 9:svn47963-19.fc31 updates 1.3 M texlive-ppr-prv  noarch 9:svn15878.0.13c-19.fc31 updates 23 k texlive-pracjourn  noarch 9:svn15878.0.4n-19.fc31 updates 23 k texlive-preprint  noarch 9:svn30447.2011-19.fc31 updates 21 k texlive-prerex  noarch 9:svn45940-19.fc31 updates 27 k texlive-pressrelease  noarch 9:svn35147.1.0-19.fc31 updates 24 k texlive-prettyref  noarch 9:svn15878.3.0-19.fc31 updates 15 k texlive-prftree  noarch 9:svn51404-19.fc31 updates 30 k texlive-printlen  noarch 9:svn19847.1.1a-19.fc31 updates 19 k texlive-proba  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-probsoln  noarch 9:svn44783-19.fc31 updates 24 k texlive-procIAGssymp  noarch 9:svn51771-19.fc31 updates 19 k texlive-prodint  noarch 9:svn21893.0-19.fc31 updates 19 k texlive-productbox  noarch 9:svn20886.1.1-19.fc31 updates 19 k texlive-program  noarch 9:svn44214-19.fc31 updates 36 k texlive-progress  noarch 9:svn19519.1.10-19.fc31 updates 21 k texlive-progressbar  noarch 9:svn33822.v1.0b_4-19.fc31 updates 19 k texlive-proof-at-the-end  noarch 9:svn51194-19.fc31 updates 386 k texlive-proofread  noarch 9:svn50938-19.fc31 updates 22 k texlive-prooftrees  noarch 9:svn43184-19.fc31 updates 29 k texlive-properties  noarch 9:svn15878.0.2-19.fc31 updates 18 k texlive-proposal  noarch 9:svn40538-19.fc31 updates 36 k texlive-prosper  noarch 9:svn33033.1.0h-19.fc31 updates 126 k texlive-protex  noarch 9:svn41633-19.fc31 updates 31 k texlive-protocol  noarch 9:svn25562.1.13-19.fc31 updates 22 k texlive-prtec  noarch 9:svn50915-19.fc31 updates 376 k texlive-pseudo  noarch 9:svn51641-19.fc31 updates 595 k texlive-pseudocode  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-psfrag  noarch 9:svn15878.3.04-19.fc31 updates 18 k texlive-psfragx  noarch 9:svn26243.1.1-19.fc31 updates 21 k texlive-pslatex  noarch 9:svn16416.0-19.fc31 updates 25 k texlive-psnfss  noarch 9:svn33946.9.2a-19.fc31 updates 45 k texlive-pspicture  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-pst-3d  noarch 9:svn17257.1.10-19.fc31 updates 21 k texlive-pst-blur  noarch 9:svn15878.2.0-19.fc31 updates 20 k texlive-pst-coil  noarch 9:svn37377.1.07-19.fc31 updates 21 k texlive-pst-eps  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-pst-fill  noarch 9:svn15878.1.01-19.fc31 updates 21 k texlive-pst-grad  noarch 9:svn15878.1.06-19.fc31 updates 21 k texlive-pst-math  noarch 9:svn49425-19.fc31 updates 23 k texlive-pst-node  noarch 9:svn50215-19.fc31 updates 43 k texlive-pst-ovl  noarch 9:svn45506-19.fc31 updates 19 k texlive-pst-pdf  noarch 7:20190410-8.fc31 updates 956 k texlive-pst-plot  noarch 9:svn51650-19.fc31 updates 40 k texlive-pst-slpe  noarch 9:svn24391.1.31-19.fc31 updates 21 k texlive-pst-text  noarch 9:svn49542-19.fc31 updates 22 k texlive-pst-tools  noarch 9:svn45978-19.fc31 updates 22 k texlive-pst-tree  noarch 9:svn43272-19.fc31 updates 24 k texlive-pstool  noarch 9:svn46393-19.fc31 updates 24 k texlive-pstricks  noarch 9:svn51102-19.fc31 updates 103 k texlive-pstricks-add  noarch 9:svn49680-19.fc31 updates 39 k texlive-pstring  noarch 9:svn42857-19.fc31 updates 142 k texlive-ptolemaicastronomy  noarch 9:svn50810-19.fc31 updates 260 k texlive-ptptex  noarch 9:svn19440.0.91-19.fc31 updates 27 k texlive-punk  noarch 9:svn27388.0-19.fc31 updates 23 k texlive-punk-latex  noarch 9:svn27389.1.1-19.fc31 updates 19 k texlive-punknova  noarch 9:svn24649.1.003-19.fc31 updates 915 k texlive-pxfonts  noarch 9:svn15878.0-19.fc31 updates 499 k texlive-pxgreeks  noarch 9:svn21838.1.0-19.fc31 updates 22 k texlive-pxpgfmark  noarch 9:svn30212.0.2-19.fc31 updates 15 k texlive-pxtxalfa  noarch 9:svn23682.1-19.fc31 updates 31 k texlive-pygmentex  noarch 7:20190410-8.fc31 updates 609 k texlive-python  noarch 9:svn27064.0.21-19.fc31 updates 20 k texlive-pythonhighlight  noarch 9:svn43191-19.fc31 updates 18 k texlive-qcircuit  noarch 9:svn48400-19.fc31 updates 24 k texlive-qcm  noarch 9:svn15878.2.1-19.fc31 updates 21 k texlive-qrcode  noarch 9:svn36065.1.51-19.fc31 updates 39 k texlive-qsharp  noarch 9:svn49722-19.fc31 updates 22 k texlive-qstest  noarch 9:svn15878.0-19.fc31 updates 22 k texlive-qsymbols  noarch 9:svn15878.0-19.fc31 updates 26 k texlive-qtree  noarch 9:svn15878.3.1b-19.fc31 updates 25 k texlive-quantikz  noarch 9:svn50934-19.fc31 updates 471 k texlive-quattrocento  noarch 9:svn50729-19.fc31 updates 1.6 M texlive-quicktype  noarch 9:svn42183-19.fc31 updates 198 k texlive-quotchap  noarch 9:svn51591-19.fc31 updates 21 k texlive-quoting  noarch 9:svn32818.v0.1c-19.fc31 updates 22 k texlive-quotmark  noarch 9:svn15878.1.0-19.fc31 updates 28 k texlive-ragged2e  noarch 9:svn51780-19.fc31 updates 641 k texlive-raleway  noarch 9:svn42629-19.fc31 updates 2.5 M texlive-ran_toks  noarch 9:svn44429-19.fc31 updates 21 k texlive-randbild  noarch 9:svn15878.0.2-19.fc31 updates 18 k texlive-randomwalk  noarch 9:svn49513-19.fc31 updates 19 k texlive-randtext  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-rank-2-roots  noarch 9:svn48515-19.fc31 updates 414 k texlive-rccol  noarch 9:svn15878.1.2c-19.fc31 updates 16 k texlive-rcs  noarch 9:svn15878.0-19.fc31 updates 31 k texlive-rcs-multi  noarch 9:svn21939.0.1a-19.fc31 updates 20 k texlive-rcsinfo  noarch 9:svn15878.1.11-19.fc31 updates 20 k texlive-readarray  noarch 9:svn42467-19.fc31 updates 24 k texlive-realboxes  noarch 9:svn23581.0.2-19.fc31 updates 23 k texlive-realhats  noarch 9:svn51004-19.fc31 updates 14 M texlive-realscripts  noarch 9:svn39706-19.fc31 updates 22 k texlive-rec-thy  noarch 9:svn50047-19.fc31 updates 25 k texlive-recipe  noarch 9:svn15878.0.9-19.fc31 updates 16 k texlive-recipebook  noarch 9:svn37026.0-19.fc31 updates 24 k texlive-recipecard  noarch 9:svn15878.2.0-19.fc31 updates 19 k texlive-rectopma  noarch 9:svn19980.0-19.fc31 updates 18 k texlive-recycle  noarch 9:svn15878.0-19.fc31 updates 29 k texlive-refcheck  noarch 9:svn29128.1.9.1-19.fc31 updates 22 k texlive-refenums  noarch 9:svn44131-19.fc31 updates 22 k texlive-reflectgraphics  noarch 9:svn40612-19.fc31 updates 22 k texlive-refman  noarch 9:svn15878.2.0e-19.fc31 updates 26 k texlive-refstyle  noarch 9:svn20318.0.5-19.fc31 updates 23 k texlive-regcount  noarch 9:svn19979.1.0-19.fc31 updates 19 k texlive-regexpatch  noarch 9:svn47601-19.fc31 updates 23 k texlive-register  noarch 9:svn49581-19.fc31 updates 21 k texlive-regstats  noarch 9:svn25050.1.0h-19.fc31 updates 23 k texlive-relenc  noarch 9:svn22050.0-19.fc31 updates 31 k texlive-relsize  noarch 9:svn30707.4.1-19.fc31 updates 20 k texlive-reotex  noarch 9:svn34924.1.1-19.fc31 updates 21 k texlive-repeatindex  noarch 9:svn24305.0.01-19.fc31 updates 19 k texlive-repltext  noarch 9:svn33442.1.0-19.fc31 updates 21 k texlive-resphilosophica  noarch 9:svn50935-19.fc31 updates 32 k texlive-resumecls  noarch 9:svn38427-19.fc31 updates 21 k texlive-revquantum  noarch 9:svn43505-19.fc31 updates 269 k texlive-revtex  noarch 9:svn49751-19.fc31 updates 99 k texlive-revtex4  noarch 9:svn45873-19.fc31 updates 62 k texlive-ribbonproofs  noarch 9:svn31137.1.0-19.fc31 updates 31 k texlive-rjlparshap  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-rlepsf  noarch 9:svn19082.0-19.fc31 updates 20 k texlive-rmathbr  noarch 9:svn40415-19.fc31 updates 27 k texlive-rmpage  noarch 9:svn20002.0.92-19.fc31 updates 81 k texlive-roboto  noarch 9:svn51315-19.fc31 updates 11 M texlive-robustcommand  noarch 9:svn15878.0.1-19.fc31 updates 18 k texlive-robustindex  noarch 9:svn49877-19.fc31 updates 22 k texlive-romanbar  noarch 9:svn25005.1.0f-19.fc31 updates 22 k texlive-romanbarpagenumber  noarch 9:svn36236.1.0-19.fc31 updates 21 k texlive-romande  noarch 9:svn19537.1.008_v7_sc-19.fc31 updates 507 k texlive-romanneg  noarch 9:svn20087.0-19.fc31 updates 15 k texlive-romannum  noarch 9:svn15878.1.0b-19.fc31 updates 19 k texlive-rosario  noarch 9:svn51688-19.fc31 updates 1.5 M texlive-rotfloat  noarch 9:svn18292.1.2-19.fc31 updates 19 k texlive-rotpages  noarch 9:svn18740.3.0-19.fc31 updates 19 k texlive-roundbox  noarch 9:svn29675.0.2-19.fc31 updates 21 k texlive-rsc  noarch 9:svn41923-19.fc31 updates 26 k texlive-rsfs  noarch 9:svn15878.0-19.fc31 updates 73 k texlive-rsfso  noarch 9:svn37965.1.02-19.fc31 updates 23 k texlive-rterface  noarch 9:svn30084.0-19.fc31 updates 20 k texlive-rtkinenc  noarch 9:svn20003.1.0-19.fc31 updates 20 k texlive-rulerbox  noarch 9:svn50984-19.fc31 updates 79 k texlive-rulercompass  noarch 9:svn32392.1-19.fc31 updates 23 k texlive-rutitlepage  noarch 9:svn51073-19.fc31 updates 2.7 M texlive-rviewport  noarch 9:svn23739.v1.0-19.fc31 updates 19 k texlive-rvwrite  noarch 9:svn19614.1.2-19.fc31 updates 19 k texlive-ryersonsgsthesis  noarch 9:svn50119-19.fc31 updates 85 k texlive-ryethesis  noarch 9:svn33945.1.36-19.fc31 updates 24 k texlive-sa-tikz  noarch 9:svn32815.0.7a-19.fc31 updates 25 k texlive-sageep  noarch 9:svn15878.1.0-19.fc31 updates 25 k texlive-sanitize-umlaut  noarch 9:svn41365-19.fc31 updates 21 k texlive-sansmath  noarch 9:svn17997.1.1-19.fc31 updates 17 k texlive-sansmathaccent  noarch 9:svn30187.0-19.fc31 updates 36 k texlive-sansmathfonts  noarch 9:svn51356-19.fc31 updates 4.1 M texlive-sapthesis  noarch 9:svn48365-19.fc31 updates 67 k texlive-sasnrdisplay  noarch 9:svn45963-19.fc31 updates 27 k texlive-sauerj  noarch 9:svn15878.0-19.fc31 updates 23 k texlive-sauter  noarch 9:svn13293.2.4-19.fc31 updates 36 k texlive-sauterfonts  noarch 9:svn15878.0-19.fc31 updates 26 k texlive-savefnmark  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-savesym  noarch 9:svn31565.1.2-19.fc31 updates 18 k texlive-savetrees  noarch 9:svn40525-19.fc31 updates 29 k texlive-scale  noarch 9:svn15878.1.1.2-19.fc31 updates 20 k texlive-scalebar  noarch 9:svn15878.1.0-19.fc31 updates 18 k texlive-scalerel  noarch 9:svn42809-19.fc31 updates 23 k texlive-scanpages  noarch 9:svn42633-19.fc31 updates 26 k texlive-schedule  noarch 9:svn51805-19.fc31 updates 261 k texlive-schemabloc  noarch 9:svn15878.1.5-19.fc31 updates 19 k texlive-schule  noarch 9:svn37277.0.6-19.fc31 updates 2.2 M texlive-schulschriften  noarch 9:svn35730.4-19.fc31 updates 90 k texlive-scientific-thesis-cover  noarch 9:svn47923-19.fc31 updates 153 k texlive-sciposter  noarch 9:svn15878.1.18-19.fc31 updates 25 k texlive-sclang-prettifier  noarch 9:svn35087.0.1-19.fc31 updates 22 k texlive-scontents  noarch 9:svn51779-19.fc31 updates 185 k texlive-scratch  noarch 9:svn50073-19.fc31 updates 522 k texlive-scratch3  noarch 9:svn51537-19.fc31 updates 525 k texlive-scratchx  noarch 9:svn44906-19.fc31 updates 444 k texlive-scrjrnl  noarch 9:svn27810.0.1-19.fc31 updates 22 k texlive-scrlttr2copy  noarch 9:svn39734-19.fc31 updates 21 k texlive-scsnowman  noarch 9:svn47953-19.fc31 updates 493 k texlive-sdrt  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-sduthesis  noarch 9:svn41401-19.fc31 updates 1.2 M texlive-secdot  noarch 9:svn20208.1.0-19.fc31 updates 18 k texlive-section  noarch 9:svn20180.0-19.fc31 updates 26 k texlive-sectionbox  noarch 9:svn37749.1.01-19.fc31 updates 19 k texlive-sectionbreak  noarch 9:svn50339-19.fc31 updates 71 k texlive-sectsty  noarch 9:svn15878.2.0.2-19.fc31 updates 22 k texlive-seealso  noarch 9:svn43595-19.fc31 updates 22 k texlive-selectp  noarch 9:svn20185.1.0-19.fc31 updates 18 k texlive-semantic  noarch 9:svn15878.2.0-19.fc31 updates 24 k texlive-semantic-markup  noarch 9:svn47837-19.fc31 updates 292 k texlive-semaphor  noarch 9:svn18651.0-19.fc31 updates 281 k texlive-seminar  noarch 9:svn34011.1.62-19.fc31 updates 50 k texlive-semioneside  noarch 9:svn15878.v0.41-19.fc31 updates 18 k texlive-semproc  noarch 9:svn37568.0.1-19.fc31 updates 22 k texlive-sepfootnotes  noarch 9:svn41732-19.fc31 updates 22 k texlive-sepnum  noarch 9:svn20186.2.0-19.fc31 updates 20 k texlive-seqsplit  noarch 9:svn15878.0.1-19.fc31 updates 18 k texlive-sesamanuel  noarch 9:svn36613.0.6-19.fc31 updates 50 k texlive-sesstime  noarch 9:svn49750-19.fc31 updates 328 k texlive-setdeck  noarch 9:svn40613-19.fc31 updates 27 k texlive-setspace  noarch 9:svn24881.6.7a-19.fc31 updates 21 k texlive-seuthesis  noarch 9:svn33042.2.1.2-19.fc31 updates 35 k texlive-seuthesix  noarch 9:svn40088-19.fc31 updates 35 k texlive-sf298  noarch 9:svn41653-19.fc31 updates 24 k texlive-sffms  noarch 9:svn15878.2.0-19.fc31 updates 20 k texlive-sfg  noarch 9:svn20209.0.91-19.fc31 updates 23 k texlive-sfmath  noarch 9:svn15878.0.8-19.fc31 updates 21 k texlive-shadethm  noarch 9:svn20319.0-19.fc31 updates 24 k texlive-shadow  noarch 9:svn20312.0-19.fc31 updates 19 k texlive-shadowtext  noarch 9:svn26522.0.3-19.fc31 updates 18 k texlive-shapepar  noarch 9:svn30708.2.2-19.fc31 updates 31 k texlive-shdoc  noarch 9:svn41991-19.fc31 updates 23 k texlive-shipunov  noarch 9:svn29349.1.1-19.fc31 updates 40 k texlive-shobhika  noarch 9:svn50555-19.fc31 updates 499 k texlive-shorttoc  noarch 9:svn15878.1.3-19.fc31 updates 18 k texlive-show2e  noarch 9:svn15878.1.0-19.fc31 updates 18 k texlive-showcharinbox  noarch 9:svn29803.0.1-19.fc31 updates 22 k texlive-showdim  noarch 9:svn28918.1.2-19.fc31 updates 18 k texlive-showexpl  noarch 9:svn42677-19.fc31 updates 21 k texlive-showhyphens  noarch 9:svn39787-19.fc31 updates 15 k texlive-showlabels  noarch 9:svn41322-19.fc31 updates 22 k texlive-showtags  noarch 9:svn20336.1.05-19.fc31 updates 16 k texlive-shuffle  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-sidecap  noarch 9:svn15878.1.6f-19.fc31 updates 20 k texlive-sidenotes  noarch 9:svn40658-19.fc31 updates 25 k texlive-signchart  noarch 9:svn39707-19.fc31 updates 21 k texlive-silence  noarch 9:svn27028.1.5b-19.fc31 updates 21 k texlive-simplecd  noarch 9:svn29260.1.4-19.fc31 updates 25 k texlive-simplecv  noarch 9:svn35537.1.6a-19.fc31 updates 19 k texlive-simpleinvoice  noarch 9:svn45673-19.fc31 updates 236 k texlive-simplekv  noarch 9:svn44987-19.fc31 updates 351 k texlive-simpler-wick  noarch 9:svn39074-19.fc31 updates 22 k texlive-simplewick  noarch 9:svn15878.1.2a-19.fc31 updates 20 k texlive-sitem  noarch 9:svn22136.1.0-19.fc31 updates 20 k texlive-siunitx  noarch 9:svn47746-19.fc31 updates 55 k texlive-skak  noarch 9:svn46259-19.fc31 updates 41 k texlive-skb  noarch 9:svn22781.0.52-19.fc31 updates 28 k texlive-skdoc  noarch 9:svn47526-19.fc31 updates 30 k texlive-skeycommand  noarch 9:svn24652.0.4-19.fc31 updates 24 k texlive-skeyval  noarch 9:svn30560.1.3-19.fc31 updates 119 k texlive-skmath  noarch 9:svn49550-19.fc31 updates 23 k texlive-skrapport  noarch 9:svn49540-19.fc31 updates 34 k texlive-skull  noarch 9:svn25608.0.1-19.fc31 updates 20 k texlive-slantsc  noarch 9:svn25007.2.11-19.fc31 updates 18 k texlive-smalltableof  noarch 9:svn20333.0-19.fc31 updates 18 k texlive-smartdiagram  noarch 9:svn42781-19.fc31 updates 27 k texlive-smartref  noarch 9:svn20311.1.9-19.fc31 updates 20 k texlive-smartunits  noarch 9:svn39592-19.fc31 updates 23 k texlive-snapshot  noarch 9:svn15878.1.14-19.fc31 updates 21 k texlive-snotez  noarch 9:svn30355.0.3-19.fc31 updates 22 k texlive-sort-by-letters  noarch 9:svn27128.0-19.fc31 updates 26 k texlive-soton  noarch 9:svn16215.0.1-19.fc31 updates 18 k texlive-soul  noarch 9:svn15878.2.4-19.fc31 updates 23 k texlive-soulpos  noarch 9:svn51479-19.fc31 updates 175 k texlive-sourcecodepro  noarch 9:svn51163-19.fc31 updates 3.7 M texlive-sourcesanspro  noarch 9:svn42852-19.fc31 updates 3.7 M texlive-sourceserifpro  noarch 9:svn49120-19.fc31 updates 3.9 M texlive-spacingtricks  noarch 9:svn51495-19.fc31 updates 117 k texlive-spalign  noarch 9:svn42225-19.fc31 updates 140 k texlive-spark-otf  noarch 9:svn51005-19.fc31 updates 124 k texlive-sparklines  noarch 9:svn42821-19.fc31 updates 20 k texlive-spath3  noarch 9:svn50018-19.fc31 updates 32 k texlive-spectralsequences  noarch 9:svn50072-19.fc31 updates 2.6 M texlive-sphack  noarch 9:svn20842.0-19.fc31 updates 16 k texlive-sphdthesis  noarch 9:svn34374.1.0-19.fc31 updates 21 k texlive-spie  noarch 9:svn15878.3.25-19.fc31 updates 27 k texlive-splitbib  noarch 9:svn15878.1.17-19.fc31 updates 22 k texlive-splitindex  noarch 7:20190410-8.fc31 updates 438 k texlive-spot  noarch 9:svn22408.1.1-19.fc31 updates 22 k texlive-spotcolor  noarch 9:svn15878.1.2-19.fc31 updates 28 k texlive-spreadtab  noarch 9:svn50147-19.fc31 updates 41 k texlive-spverbatim  noarch 9:svn15878.v1.0-19.fc31 updates 18 k texlive-sr-vorl  noarch 9:svn39529-19.fc31 updates 23 k texlive-srbook-mem  noarch 9:svn45818-19.fc31 updates 14 k texlive-srcltx  noarch 9:svn15878.1.6-19.fc31 updates 16 k texlive-srdp-mathematik  noarch 9:svn51600-19.fc31 updates 155 k texlive-sseq  noarch 9:svn31585.2.01-19.fc31 updates 25 k texlive-sslides  noarch 9:svn32293.0-19.fc31 updates 21 k texlive-stack  noarch 9:svn15878.1.00-19.fc31 updates 19 k texlive-stackengine  noarch 9:svn43221-19.fc31 updates 25 k texlive-standalone  noarch 9:svn47136-19.fc31 updates 32 k texlive-stanli  noarch 9:svn42765-19.fc31 updates 481 k texlive-starfont  noarch 9:svn19982.1.2-19.fc31 updates 191 k texlive-statex  noarch 9:svn20306.1.6-19.fc31 updates 22 k texlive-statex2  noarch 9:svn23961.2.1-19.fc31 updates 22 k texlive-statistics  noarch 9:svn48252-19.fc31 updates 442 k texlive-statistik  noarch 9:svn20334.0.03-19.fc31 updates 20 k texlive-statmath  noarch 9:svn46925-19.fc31 updates 221 k texlive-staves  noarch 9:svn15878.0-19.fc31 updates 136 k texlive-stdclsdv  noarch 9:svn15878.1.1a-19.fc31 updates 18 k texlive-stdpage  noarch 9:svn15878.0.6-19.fc31 updates 20 k texlive-stealcaps  noarch 9:svn46434-19.fc31 updates 2.9 M texlive-steinmetz  noarch 9:svn15878.1.0-19.fc31 updates 18 k texlive-stellenbosch  noarch 9:svn36696.11a-19.fc31 updates 745 k texlive-stex  noarch 9:svn50489-19.fc31 updates 65 k texlive-stickstoo  noarch 9:svn47858-19.fc31 updates 3.3 M texlive-stix  noarch 9:svn47652-19.fc31 updates 2.6 M texlive-stix2-otf  noarch 9:svn50948-19.fc31 updates 2.5 M texlive-stix2-type1  noarch 9:svn50940-19.fc31 updates 4.3 M texlive-stmaryrd  noarch 9:svn22027.0-19.fc31 updates 186 k texlive-storebox  noarch 9:svn24895.1.3a-19.fc31 updates 23 k texlive-storecmd  noarch 9:svn24431.0.0.2-19.fc31 updates 23 k texlive-stringstrings  noarch 9:svn36203.1.23-19.fc31 updates 29 k texlive-structmech  noarch 9:svn47859-19.fc31 updates 173 k texlive-struktex  noarch 9:svn47931-19.fc31 updates 29 k texlive-sttools  noarch 9:svn43684-19.fc31 updates 34 k texlive-stubs  noarch 9:svn19440.0.1.1-19.fc31 updates 20 k texlive-studenthandouts  noarch 9:svn43516-19.fc31 updates 336 k texlive-subdepth  noarch 9:svn15878.0.1-19.fc31 updates 18 k texlive-subdocs  noarch 9:svn51480-19.fc31 updates 17 k texlive-subeqn  noarch 9:svn15878.2.0b-19.fc31 updates 18 k texlive-subeqnarray  noarch 9:svn15878.2.1c-19.fc31 updates 19 k texlive-subfig  noarch 9:svn15878.1.3-19.fc31 updates 24 k texlive-subfigmat  noarch 9:svn20308.1.0-19.fc31 updates 19 k texlive-subfigure  noarch 9:svn15878.2.1.5-19.fc31 updates 22 k texlive-subfiles  noarch 9:svn48323-19.fc31 updates 21 k texlive-subfloat  noarch 9:svn29349.2.14-19.fc31 updates 19 k texlive-substances  noarch 9:svn40989-19.fc31 updates 24 k texlive-substitutefont  noarch 9:svn32066.0.1.4-19.fc31 updates 21 k texlive-substr  noarch 9:svn16117.1.2-19.fc31 updates 19 k texlive-subsupscripts  noarch 9:svn16080.1.0-19.fc31 updates 14 k texlive-subtext  noarch 9:svn51273-19.fc31 updates 58 k texlive-suftesi  noarch 9:svn49138-19.fc31 updates 33 k texlive-sugconf  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-superiors  noarch 9:svn36422.1.05-19.fc31 updates 28 k texlive-supertabular  noarch 9:svn15878.4.1a-19.fc31 updates 23 k texlive-susy  noarch 9:svn19440.0-19.fc31 updates 18 k texlive-svg  noarch 9:svn49148-19.fc31 updates 33 k texlive-svgcolor  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-svn  noarch 9:svn15878.43-19.fc31 updates 21 k texlive-svn-multi  noarch 7:20190410-8.fc31 updates 420 k texlive-svn-prov  noarch 9:svn18017.3.1862-19.fc31 updates 19 k texlive-svninfo  noarch 9:svn17554.0.7.4-19.fc31 updates 21 k texlive-svrsymbols  noarch 9:svn50019-19.fc31 updates 90 k texlive-swimgraf  noarch 9:svn25446.0-19.fc31 updates 29 k texlive-syllogism  noarch 9:svn15878.1.2-19.fc31 updates 20 k texlive-symbol  noarch 9:svn31835.0-19.fc31 updates 58 k texlive-sympytexpackage  noarch 9:svn45818-19.fc31 updates 17 k texlive-synproof  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-syntax  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-syntrace  noarch 9:svn15878.1.1-19.fc31 updates 18 k texlive-synttree  noarch 9:svn16252.1.4.2-19.fc31 updates 20 k texlive-t-angles  noarch 9:svn15878.0-19.fc31 updates 24 k texlive-tabfigures  noarch 9:svn25202.1.1-19.fc31 updates 22 k texlive-table-fct  noarch 9:svn41849-19.fc31 updates 23 k texlive-tableaux  noarch 9:svn42413-19.fc31 updates 20 k texlive-tablefootnote  noarch 9:svn32804.1.1c-19.fc31 updates 24 k texlive-tableof  noarch 9:svn36489.1.4a-19.fc31 updates 22 k texlive-tablestyles  noarch 9:svn34495.0-19.fc31 updates 15 k texlive-tablists  noarch 9:svn15878.0.0e-19.fc31 updates 20 k texlive-tablor  noarch 9:svn31855.4.07_g-19.fc31 updates 30 k texlive-tabls  noarch 9:svn17255.3.5-19.fc31 updates 18 k texlive-tabriz-thesis  noarch 9:svn51729-19.fc31 updates 24 k texlive-tabstackengine  noarch 9:svn46848-19.fc31 updates 22 k texlive-tabto-ltx  noarch 9:svn50188-19.fc31 updates 20 k texlive-tabu  noarch 9:svn49707-19.fc31 updates 44 k texlive-tabularborder  noarch 9:svn17885.1.0a-19.fc31 updates 20 k texlive-tabularcalc  noarch 9:svn15878.0.2-19.fc31 updates 22 k texlive-tabularew  noarch 9:svn15878.0.1-19.fc31 updates 19 k texlive-tabulary  noarch 9:svn34368.0.10-19.fc31 updates 21 k texlive-tagging  noarch 9:svn23761.0-19.fc31 updates 21 k texlive-tagpair  noarch 9:svn42138-19.fc31 updates 21 k texlive-tagpdf  noarch 9:svn51535-19.fc31 updates 637 k texlive-talk  noarch 9:svn42428-19.fc31 updates 22 k texlive-tamefloats  noarch 9:svn27345.v0.42-19.fc31 updates 24 k texlive-tapir  noarch 9:svn20484.0.2-19.fc31 updates 71 k texlive-tasks  noarch 9:svn41851-19.fc31 updates 26 k texlive-tcldoc  noarch 9:svn22018.2.40-19.fc31 updates 24 k texlive-tcolorbox  noarch 9:svn50206-19.fc31 updates 247 k texlive-tdclock  noarch 9:svn33043.v2.5-19.fc31 updates 24 k texlive-technics  noarch 9:svn29349.1.0-19.fc31 updates 19 k texlive-technion-thesis-template  noarch 9:svn49889-19.fc31 updates 139 k texlive-ted  noarch 9:svn15878.1.06-19.fc31 updates 20 k texlive-templatetools  noarch 9:svn34495.0-19.fc31 updates 22 k texlive-tempora  noarch 9:svn39596-19.fc31 updates 1.3 M texlive-tengwarscript  noarch 9:svn34594.1.3.1-19.fc31 updates 64 k texlive-tensind  noarch 9:svn51481-19.fc31 updates 228 k texlive-tensor  noarch 9:svn15878.2.1-19.fc31 updates 19 k texlive-termcal  noarch 9:svn22514.1.8-19.fc31 updates 20 k texlive-termlist  noarch 9:svn18923.1.1-19.fc31 updates 18 k texlive-testhyphens  noarch 9:svn38928-19.fc31 updates 22 k texlive-testidx  noarch 9:svn45021-19.fc31 updates 3.2 M texlive-tetex  noarch 7:20190410-8.fc31 updates 392 k texlive-tex  x86_64 7:20190410-8.fc31 updates 195 k texlive-tex-ewd  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-tex-gyre  noarch 9:svn48058-19.fc31 updates 7.9 M texlive-tex-gyre-math  noarch 9:svn41264-19.fc31 updates 1.4 M texlive-tex-ini-files  noarch 9:svn40533-19.fc31 updates 18 k texlive-tex-label  noarch 9:svn16372.0-19.fc31 updates 20 k texlive-tex-locale  noarch 9:svn48500-19.fc31 updates 947 k texlive-tex4ht  x86_64 7:20190410-8.fc31 updates 1.8 M texlive-texconfig  noarch 7:20190410-8.fc31 updates 32 k texlive-texdraw  noarch 9:svn51030-19.fc31 updates 57 k texlive-texilikechaps  noarch 9:svn28553.1.0a-19.fc31 updates 19 k texlive-texilikecover  noarch 9:svn15878.0.1-19.fc31 updates 18 k texlive-texlive-common-doc  noarch 9:svn50466-19.fc31 updates 108 k texlive-texlive-docindex  noarch 9:svn51813-19.fc31 updates 123 k texlive-texlive-en  noarch 7:20190410-8.fc31 updates 1.8 M texlive-texlive-msg-translations  noarch 9:svn51750-19.fc31 updates 153 k texlive-texlive-scripts  noarch 7:20190410-8.fc31 updates 34 k texlive-texlive.infra  noarch 7:20190410-8.fc31 updates 270 k texlive-texlogos  noarch 9:svn19083.1.3.1-19.fc31 updates 19 k texlive-texmate  noarch 9:svn15878.2-19.fc31 updates 23 k texlive-texments  noarch 9:svn15878.0.2.0-19.fc31 updates 18 k texlive-texpower  noarch 9:svn29349.0.2-19.fc31 updates 60 k texlive-texshade  noarch 9:svn46559-19.fc31 updates 83 k texlive-textcase  noarch 9:svn15878.0-19.fc31 updates 18 k texlive-textfit  noarch 9:svn20591.5-19.fc31 updates 22 k texlive-textgreek  noarch 9:svn44192-19.fc31 updates 19 k texlive-textmerg  noarch 9:svn20677.2.01-19.fc31 updates 16 k texlive-textopo  noarch 9:svn23796.1.5-19.fc31 updates 51 k texlive-textpos  noarch 9:svn50988-19.fc31 updates 22 k texlive-textualicomma  noarch 9:svn48474-19.fc31 updates 136 k texlive-texvc  noarch 9:svn46844-19.fc31 updates 21 k texlive-tfrupee  noarch 9:svn20770.1.02-19.fc31 updates 619 k texlive-theoremref  noarch 9:svn30640.0-19.fc31 updates 21 k texlive-thesis-ekf  noarch 9:svn51026-19.fc31 updates 80 k texlive-thesis-gwu  noarch 9:svn48324-19.fc31 updates 420 k texlive-thesis-qom  noarch 9:svn49124-19.fc31 updates 1.2 M texlive-thesis-titlepage-fhac  noarch 9:svn15878.0.1-19.fc31 updates 21 k texlive-thinsp  noarch 9:svn39669-19.fc31 updates 19 k texlive-thmbox  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-thmtools  noarch 9:svn51790-19.fc31 updates 34 k texlive-threadcol  noarch 9:svn28754.1.0-19.fc31 updates 22 k texlive-threeparttable  noarch 9:svn17383.0-19.fc31 updates 19 k texlive-threeparttablex  noarch 9:svn34206.0.3-19.fc31 updates 19 k texlive-thuaslogos  noarch 9:svn51347-19.fc31 updates 792 k texlive-thucoursework  noarch 9:svn47781-19.fc31 updates 662 k texlive-thumb  noarch 9:svn16549.1.0-19.fc31 updates 22 k texlive-thumbpdf  noarch 7:20190410-8.fc31 updates 44 k texlive-thumbs  noarch 9:svn33134.1.0q-19.fc31 updates 31 k texlive-thumby  noarch 9:svn16736.0.1-19.fc31 updates 28 k texlive-thuthesis  noarch 9:svn50932-19.fc31 updates 40 k texlive-ticket  noarch 9:svn42280-19.fc31 updates 23 k texlive-ticollege  noarch 9:svn36306.1.0-19.fc31 updates 22 k texlive-tikz-3dplot  noarch 9:svn25087.0-19.fc31 updates 28 k texlive-tikz-bayesnet  noarch 9:svn38295.0.1-19.fc31 updates 21 k texlive-tikz-cd  noarch 9:svn49201-19.fc31 updates 32 k texlive-tikz-dependency  noarch 9:svn42454-19.fc31 updates 30 k texlive-tikz-dimline  noarch 9:svn35805.1.0-19.fc31 updates 16 k texlive-tikz-feynhand  noarch 9:svn46502-19.fc31 updates 337 k texlive-tikz-feynman  noarch 9:svn39582-19.fc31 updates 35 k texlive-tikz-imagelabels  noarch 9:svn51490-19.fc31 updates 969 k texlive-tikz-inet  noarch 9:svn15878.0.1-19.fc31 updates 20 k texlive-tikz-kalender  noarch 9:svn51329-19.fc31 updates 134 k texlive-tikz-karnaugh  noarch 9:svn47026-19.fc31 updates 291 k texlive-tikz-ladder  noarch 9:svn46555-19.fc31 updates 260 k texlive-tikz-layers  noarch 9:svn46660-19.fc31 updates 21 k texlive-tikz-nef  noarch 9:svn48240-19.fc31 updates 110 k texlive-tikz-network  noarch 9:svn48314-19.fc31 updates 803 k texlive-tikz-opm  noarch 9:svn32769.0.1.1-19.fc31 updates 22 k texlive-tikz-optics  noarch 9:svn43466-19.fc31 updates 414 k texlive-tikz-page  noarch 9:svn42039-19.fc31 updates 573 k texlive-tikz-palattice  noarch 9:svn43442-19.fc31 updates 25 k texlive-tikz-qtree  noarch 9:svn26108.1.2-19.fc31 updates 26 k texlive-tikz-relay  noarch 9:svn51355-19.fc31 updates 502 k texlive-tikz-sfc  noarch 9:svn49424-19.fc31 updates 353 k texlive-tikz-timing  noarch 9:svn46111-19.fc31 updates 38 k texlive-tikz-truchet  noarch 9:svn50020-19.fc31 updates 132 k texlive-tikzcodeblocks  noarch 9:svn47265-19.fc31 updates 494 k texlive-tikzducks  noarch 9:svn50840-19.fc31 updates 588 k texlive-tikzinclude  noarch 9:svn28715.1.0-19.fc31 updates 21 k texlive-tikzlings  noarch 9:svn50841-19.fc31 updates 494 k texlive-tikzmark  noarch 9:svn51050-19.fc31 updates 25 k texlive-tikzmarmots  noarch 9:svn49114-19.fc31 updates 241 k texlive-tikzorbital  noarch 9:svn36439.0-19.fc31 updates 20 k texlive-tikzpagenodes  noarch 9:svn27723.1.1-19.fc31 updates 18 k texlive-tikzpeople  noarch 9:svn43978-19.fc31 updates 517 k texlive-tikzpfeile  noarch 9:svn25777.1.0-19.fc31 updates 18 k texlive-tikzposter  noarch 9:svn32732.2.0-19.fc31 updates 31 k texlive-tikzscale  noarch 9:svn30637.0.2.6-19.fc31 updates 24 k texlive-tikzsymbols  noarch 9:svn49975-19.fc31 updates 34 k texlive-timbreicmc  noarch 9:svn49740-19.fc31 updates 729 k texlive-times  noarch 9:svn35058.0-19.fc31 updates 348 k texlive-timing-diagrams  noarch 9:svn31491.0-19.fc31 updates 22 k texlive-tinos  noarch 9:svn42882-19.fc31 updates 3.2 M texlive-tipa  noarch 9:svn29349.1.3-19.fc31 updates 2.8 M texlive-tipfr-doc  noarch 9:svn38646-19.fc31 updates 388 k texlive-titlecaps  noarch 9:svn36170.1.2-19.fc31 updates 24 k texlive-titlefoot  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-titlepic  noarch 9:svn43497-19.fc31 updates 16 k texlive-titleref  noarch 9:svn18729.3.1-19.fc31 updates 17 k texlive-titlesec  noarch 9:svn51664-19.fc31 updates 37 k texlive-titling  noarch 9:svn15878.2.1d-19.fc31 updates 19 k texlive-tkz-base  noarch 9:svn22961.1.16-19.fc31 updates 38 k texlive-tkz-berge  noarch 9:svn22891.1.00c-19.fc31 updates 25 k texlive-tkz-doc  noarch 9:svn22959.1.1c-19.fc31 updates 26 k texlive-tkz-euclide  noarch 9:svn22830.1.16c-19.fc31 updates 36 k texlive-tkz-fct  noarch 9:svn22831.1.16c-19.fc31 updates 21 k texlive-tkz-graph  noarch 9:svn22832.1.00-19.fc31 updates 23 k texlive-tkz-kiviat  noarch 9:svn22857.0.1-19.fc31 updates 19 k texlive-tkz-linknodes  noarch 9:svn22833.1.0c-19.fc31 updates 20 k texlive-tkz-orm  noarch 9:svn39408-19.fc31 updates 26 k texlive-tkz-tab  noarch 9:svn49775-19.fc31 updates 23 k texlive-tlc-article  noarch 9:svn51431-19.fc31 updates 447 k texlive-tocbibind  noarch 9:svn20085.1.5k-19.fc31 updates 20 k texlive-tocdata  noarch 9:svn51654-19.fc31 updates 912 k texlive-tocloft  noarch 9:svn45188-19.fc31 updates 26 k texlive-tocvsec2  noarch 9:svn33146.1.3a-19.fc31 updates 21 k texlive-todo  noarch 9:svn17746.2.142-19.fc31 updates 19 k texlive-todonotes  noarch 9:svn49820-19.fc31 updates 23 k texlive-tokenizer  noarch 9:svn15878.1.1.0-19.fc31 updates 19 k texlive-toolbox  noarch 9:svn32260.5.1-19.fc31 updates 20 k texlive-tools  noarch 9:svn49619-19.fc31 updates 65 k texlive-topfloat  noarch 9:svn19084.0-19.fc31 updates 20 k texlive-topiclongtable  noarch 9:svn51601-19.fc31 updates 387 k texlive-topletter  noarch 9:svn48182-19.fc31 updates 369 k texlive-toptesi  noarch 9:svn51743-19.fc31 updates 41 k texlive-totcount  noarch 9:svn21178.1.2-19.fc31 updates 19 k texlive-totpages  noarch 9:svn15878.2.00-19.fc31 updates 20 k texlive-tpslifonts  noarch 9:svn42428-19.fc31 updates 24 k texlive-tqft  noarch 9:svn44455-19.fc31 updates 27 k texlive-tracklang  noarch 9:svn47704-19.fc31 updates 39 k texlive-trajan  noarch 9:svn15878.1.1-19.fc31 updates 65 k texlive-translations  noarch 9:svn45189-19.fc31 updates 33 k texlive-translator  noarch 9:svn51279-19.fc31 updates 269 k texlive-tree-dvips  noarch 9:svn21751.91-19.fc31 updates 25 k texlive-trfsigns  noarch 9:svn15878.1.01-19.fc31 updates 20 k texlive-trimspaces  noarch 9:svn15878.1.1-19.fc31 updates 18 k texlive-trivfloat  noarch 9:svn15878.1.3b-19.fc31 updates 19 k texlive-trsym  noarch 9:svn18732.1.0-19.fc31 updates 21 k texlive-truncate  noarch 9:svn18921.3.6-19.fc31 updates 17 k texlive-tsemlines  noarch 9:svn23440.1.0-19.fc31 updates 15 k texlive-ttfutils  x86_64 7:20190410-8.fc31 updates 312 k texlive-tucv  noarch 9:svn20680.1.0-19.fc31 updates 16 k texlive-tuda-ci  noarch 9:svn51822-19.fc31 updates 968 k texlive-tudscr  noarch 9:svn51675-19.fc31 updates 189 k texlive-tufte-latex  noarch 9:svn37649.3.5.2-19.fc31 updates 39 k texlive-tugboat  noarch 9:svn49415-19.fc31 updates 46 k texlive-tugboat-plain  noarch 9:svn51373-19.fc31 updates 46 k texlive-tui  noarch 9:svn27253.1.9-19.fc31 updates 21 k texlive-turabian  noarch 9:svn36298.0.1.0-19.fc31 updates 19 k texlive-turabian-formatting  noarch 9:svn48330-19.fc31 updates 28 k texlive-turnstile  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-turnthepage  noarch 9:svn29803.1.3a-19.fc31 updates 19 k texlive-twoinone  noarch 9:svn17024.0-19.fc31 updates 15 k texlive-twoup  noarch 9:svn15878.1.3-19.fc31 updates 19 k texlive-txfonts  noarch 9:svn15878.0-19.fc31 updates 769 k texlive-txfontsb  noarch 9:svn21578.1.1-19.fc31 updates 2.4 M texlive-txgreeks  noarch 9:svn21839.1.0-19.fc31 updates 22 k texlive-txuprcal  noarch 9:svn43327-19.fc31 updates 161 k texlive-type1cm  noarch 9:svn21820.0-19.fc31 updates 19 k texlive-typed-checklist  noarch 9:svn49731-19.fc31 updates 24 k texlive-typeface  noarch 9:svn27046.0.1-19.fc31 updates 64 k texlive-typehtml  noarch 9:svn17134.0-19.fc31 updates 24 k texlive-typicons  noarch 9:svn37623.2.0.7-19.fc31 updates 83 k texlive-typoaid  noarch 9:svn44238-19.fc31 updates 350 k texlive-typogrid  noarch 9:svn24994.0.21-19.fc31 updates 19 k texlive-uaclasses  noarch 9:svn15878.0-19.fc31 updates 20 k texlive-uafthesis  noarch 9:svn29349.12.12-19.fc31 updates 24 k texlive-uantwerpendocs  noarch 9:svn51007-19.fc31 updates 1.4 M texlive-uassign  noarch 9:svn38459-19.fc31 updates 22 k texlive-ucalgmthesis  noarch 9:svn50705-19.fc31 updates 138 k texlive-ucbthesis  noarch 9:svn51690-19.fc31 updates 27 k texlive-ucdavisthesis  noarch 9:svn40772-19.fc31 updates 28 k texlive-ucharcat  noarch 9:svn38907-19.fc31 updates 18 k texlive-ucs  noarch 9:svn35853.2.2-19.fc31 updates 356 k texlive-ucsmonograph  noarch 9:svn49389-19.fc31 updates 425 k texlive-ucthesis  noarch 9:svn15878.3.2-19.fc31 updates 39 k texlive-uebungsblatt  noarch 9:svn15878.1.5.0-19.fc31 updates 21 k texlive-uestcthesis  noarch 9:svn36371.1.1.0-19.fc31 updates 38 k texlive-uhc  noarch 9:svn16791.0-19.fc31 updates 3.9 M texlive-uhhassignment  noarch 9:svn44026-19.fc31 updates 392 k texlive-uiucredborder  noarch 9:svn29974.1.00-19.fc31 updates 20 k texlive-uiucthesis  noarch 9:svn15878.2.25-19.fc31 updates 22 k texlive-ulem  noarch 9:svn26785.0-19.fc31 updates 23 k texlive-ulqda  noarch 7:20190410-8.fc31 updates 269 k texlive-ulthese  noarch 9:svn51038-19.fc31 updates 82 k texlive-umbclegislation  noarch 9:svn41348-19.fc31 updates 27 k texlive-umich-thesis  noarch 9:svn15878.1.20-19.fc31 updates 22 k texlive-umoline  noarch 9:svn19085.0-19.fc31 updates 20 k texlive-umthesis  noarch 9:svn15878.0.2-19.fc31 updates 23 k texlive-umtypewriter  noarch 9:svn18651.001.002-19.fc31 updates 382 k texlive-unam-thesis  noarch 9:svn51207-19.fc31 updates 29 k texlive-unamth-template-doc  noarch 9:svn33625.2.0-19.fc31 updates 4.8 M texlive-unamthesis  noarch 9:svn43639-19.fc31 updates 30 k texlive-underlin  noarch 9:svn15878.1.01-19.fc31 updates 18 k texlive-underoverlap  noarch 9:svn29019.0.0.1_r1-19.fc31 updates 23 k texlive-underscore  noarch 9:svn18261.0-19.fc31 updates 22 k texlive-undolabl  noarch 9:svn36681.1.0l-19.fc31 updates 22 k texlive-uni-wtal-ger  noarch 9:svn31541.0.2-19.fc31 updates 22 k texlive-uni-wtal-lin  noarch 9:svn31409.0.2-19.fc31 updates 23 k texlive-unicode-data  noarch 9:svn50303-19.fc31 updates 294 k texlive-unicode-math  noarch 9:svn50245-19.fc31 updates 67 k texlive-unitn-bimrep  noarch 9:svn45581-19.fc31 updates 820 k texlive-units  noarch 9:svn42428-19.fc31 updates 20 k texlive-unitsdef  noarch 9:svn15878.0.2-19.fc31 updates 23 k texlive-universa  noarch 9:svn15878.2.0-19.fc31 updates 49 k texlive-universalis  noarch 9:svn33860.0-19.fc31 updates 591 k texlive-univie-ling  noarch 9:svn49785-19.fc31 updates 2.0 M texlive-unravel  noarch 9:svn50557-19.fc31 updates 46 k texlive-unswcover  noarch 9:svn29476.1.0-19.fc31 updates 22 k texlive-uothesis  noarch 9:svn25355.2.5.6-19.fc31 updates 29 k texlive-uowthesis  noarch 9:svn19700.1.0a-19.fc31 updates 63 k texlive-uowthesistitlepage  noarch 9:svn45022-19.fc31 updates 25 k texlive-updmap-map  noarch 9:svn52454-19.fc31 updates 328 k texlive-upmethodology  noarch 9:svn51818-19.fc31 updates 53 k texlive-uppunctlm  noarch 9:svn42334-19.fc31 updates 192 k texlive-upquote  noarch 9:svn26059.v1.3-19.fc31 updates 20 k texlive-urcls  noarch 9:svn49903-19.fc31 updates 31 k texlive-uri  noarch 9:svn21608.1.0a-19.fc31 updates 23 k texlive-url  noarch 9:svn32528.3.4-19.fc31 updates 22 k texlive-urlbst  noarch 7:20190410-8.fc31 updates 236 k texlive-urwchancal  noarch 9:svn21701.1-19.fc31 updates 20 k texlive-usebib  noarch 9:svn25969.1.0a-19.fc31 updates 21 k texlive-ushort  noarch 9:svn32261.2.2-19.fc31 updates 19 k texlive-uspace  noarch 9:svn42456-19.fc31 updates 277 k texlive-uspatent  noarch 9:svn27744.1.0-19.fc31 updates 24 k texlive-ut-thesis  noarch 9:svn38269.2.1-19.fc31 updates 25 k texlive-utopia  noarch 9:svn15878.0-19.fc31 updates 234 k texlive-uwthesis  noarch 9:svn15878.6.13-19.fc31 updates 25 k texlive-vak  noarch 9:svn23431.0-19.fc31 updates 23 k texlive-vancouver  noarch 9:svn34470.0-19.fc31 updates 28 k texlive-variablelm  noarch 9:svn46611-19.fc31 updates 155 k texlive-varindex  noarch 9:svn32262.2.3-19.fc31 updates 23 k texlive-varsfromjobname  noarch 9:svn44154-19.fc31 updates 18 k texlive-varwidth  noarch 9:svn24104.0.92-19.fc31 updates 21 k texlive-vdmlisting  noarch 9:svn29944.1.0-19.fc31 updates 19 k texlive-venn  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-venndiagram  noarch 9:svn47952-19.fc31 updates 21 k texlive-venturisadf  noarch 9:svn19444.1.005-19.fc31 updates 3.9 M texlive-verbasef  noarch 9:svn21922.1.1-19.fc31 updates 23 k texlive-verbatimbox  noarch 9:svn33197.3.13-19.fc31 updates 20 k texlive-verbatimcopy  noarch 9:svn15878.0.06-19.fc31 updates 19 k texlive-verbdef  noarch 9:svn17177.0.2-19.fc31 updates 19 k texlive-verbments  noarch 9:svn23670.1.2-19.fc31 updates 20 k texlive-verse  noarch 9:svn34017.2.4b-19.fc31 updates 20 k texlive-version  noarch 9:svn21920.2.0-19.fc31 updates 16 k texlive-versions  noarch 9:svn21921.0.55-19.fc31 updates 25 k texlive-versonotes  noarch 9:svn51568-19.fc31 updates 22 k texlive-vertbars  noarch 9:svn49429-19.fc31 updates 21 k texlive-vgrid  noarch 9:svn32457.0.1-19.fc31 updates 21 k texlive-vhistory  noarch 9:svn30080.1.6.1-19.fc31 updates 26 k texlive-visualpstricks-doc  noarch 9:svn39799-19.fc31 updates 13 M texlive-vmargin  noarch 9:svn15878.2.5-19.fc31 updates 20 k texlive-volumes  noarch 9:svn15878.1.0-19.fc31 updates 20 k texlive-vpe  noarch 7:20190410-8.fc31 updates 30 k texlive-vruler  noarch 9:svn21598.2.3-19.fc31 updates 23 k texlive-vtable  noarch 9:svn51126-19.fc31 updates 75 k texlive-vwcol  noarch 9:svn36254.0.2-19.fc31 updates 23 k texlive-wadalab  noarch 9:svn42428-19.fc31 updates 17 M texlive-wallcalendar  noarch 9:svn45568-19.fc31 updates 2.6 M texlive-wallpaper  noarch 9:svn15878.1.10-19.fc31 updates 19 k texlive-warning  noarch 9:svn22028.0.01-19.fc31 updates 18 k texlive-warpcol  noarch 9:svn15878.1.0c-19.fc31 updates 18 k texlive-was  noarch 9:svn21439.0-19.fc31 updates 17 k texlive-wasy  noarch 9:svn35831.0-19.fc31 updates 40 k texlive-wasy2-ps  noarch 9:svn35830.0-19.fc31 updates 227 k texlive-wasysym  noarch 9:svn15878.2.0-19.fc31 updates 21 k texlive-webquiz  noarch 7:20190410-8.fc31 updates 6.6 M texlive-widetable  noarch 9:svn51501-19.fc31 updates 21 k texlive-widows-and-orphans  noarch 9:svn49194-19.fc31 updates 752 k texlive-williams  noarch 9:svn15878.0-19.fc31 updates 19 k texlive-windycity  noarch 9:svn51668-19.fc31 updates 469 k texlive-withargs  noarch 9:svn42756-19.fc31 updates 26 k texlive-witharrows  noarch 9:svn51793-19.fc31 updates 833 k texlive-wordcount  noarch 7:20190410-8.fc31 updates 21 k texlive-wordlike  noarch 9:svn15878.1.2b-19.fc31 updates 19 k texlive-worksheet  noarch 9:svn48423-19.fc31 updates 117 k texlive-wrapfig  noarch 9:svn22048.3.6-19.fc31 updates 26 k texlive-wsemclassic  noarch 9:svn31532.1.0.1-19.fc31 updates 19 k texlive-wsuipa  noarch 9:svn25469.0-19.fc31 updates 58 k texlive-wtref  noarch 9:svn42981-19.fc31 updates 351 k texlive-xargs  noarch 9:svn15878.1.1-19.fc31 updates 20 k texlive-xassoccnt  noarch 9:svn49516-19.fc31 updates 39 k texlive-xbmks  noarch 9:svn48138-19.fc31 updates 328 k texlive-xcharter  noarch 9:svn51816-19.fc31 updates 1.6 M texlive-xcite  noarch 9:svn23783.1.0-19.fc31 updates 21 k texlive-xcjk2uni  noarch 9:svn50848-19.fc31 updates 333 k texlive-xcntperchap  noarch 9:svn46236-19.fc31 updates 23 k texlive-xcolor  noarch 9:svn41044-19.fc31 updates 35 k texlive-xcolor-material  noarch 9:svn42289-19.fc31 updates 586 k texlive-xcolor-solarized  noarch 9:svn41809-19.fc31 updates 21 k texlive-xcomment  noarch 9:svn20031.1.3-19.fc31 updates 20 k texlive-xcookybooky  noarch 9:svn36435.1.5-19.fc31 updates 26 k texlive-xcpdftips  noarch 9:svn50449-19.fc31 updates 166 k texlive-xdoc  noarch 9:svn15878.prot2.5-19.fc31 updates 30 k texlive-xduthesis  noarch 9:svn39694-19.fc31 updates 131 k texlive-xdvi  x86_64 7:20190410-8.fc31 updates 363 k texlive-xellipsis  noarch 9:svn47546-19.fc31 updates 21 k texlive-xetex  x86_64 7:20190410-8.fc31 updates 1.2 M texlive-xetexconfig  noarch 9:svn45845-19.fc31 updates 14 k texlive-xfakebold  noarch 9:svn48460-19.fc31 updates 99 k texlive-xfor  noarch 9:svn15878.1.05-19.fc31 updates 19 k texlive-xhfill  noarch 9:svn22575.1.01-19.fc31 updates 18 k texlive-xifthen  noarch 9:svn38929-19.fc31 updates 19 k texlive-xint  noarch 9:svn50844-19.fc31 updates 89 k texlive-xits  noarch 9:svn32763.1.108-19.fc31 updates 596 k texlive-xkeyval  noarch 9:svn35741.2.7a-19.fc31 updates 35 k texlive-xltabular  noarch 9:svn49939-19.fc31 updates 94 k texlive-xltxtra  noarch 9:svn49555-19.fc31 updates 20 k texlive-xmpincl  noarch 9:svn15878.2.2-19.fc31 updates 20 k texlive-xnewcommand  noarch 9:svn15878.1.2-19.fc31 updates 18 k texlive-xoptarg  noarch 9:svn15878.1.0-19.fc31 updates 19 k texlive-xpatch  noarch 9:svn27897.0.2-19.fc31 updates 21 k texlive-xpeek  noarch 9:svn27442.0.2-19.fc31 updates 21 k texlive-xpicture  noarch 9:svn28770.1.2a-19.fc31 updates 29 k texlive-xprintlen  noarch 9:svn35928.1.0-19.fc31 updates 21 k texlive-xpunctuate  noarch 9:svn26641.1.0-19.fc31 updates 20 k texlive-xsavebox  noarch 9:svn51448-19.fc31 updates 24 k texlive-xsim  noarch 9:svn46634-19.fc31 updates 2.4 M texlive-xstring  noarch 9:svn49946-19.fc31 updates 28 k texlive-xtab  noarch 9:svn23347.2.3f-19.fc31 updates 21 k texlive-xunicode  noarch 9:svn30466.0.981-19.fc31 updates 47 k texlive-xurl  noarch 9:svn49488-19.fc31 updates 65 k texlive-xwatermark  noarch 9:svn28090.1.5.2d-19.fc31 updates 36 k texlive-xyling  noarch 9:svn15878.1.1-19.fc31 updates 27 k texlive-xymtex  noarch 9:svn32182.5.06-19.fc31 updates 113 k texlive-xypic  noarch 9:svn31859.3.8.9-19.fc31 updates 742 k texlive-xytree  noarch 9:svn15878.1.5-19.fc31 updates 20 k texlive-yafoot  noarch 9:svn19086.0-19.fc31 updates 21 k texlive-yagusylo  noarch 9:svn29803.1.2-19.fc31 updates 23 k texlive-yaletter  noarch 9:svn42830-19.fc31 updates 498 k texlive-yathesis  noarch 9:svn50630-19.fc31 updates 43 k texlive-ycbook  noarch 9:svn46201-19.fc31 updates 24 k texlive-ydoc  noarch 9:svn26202.0.6alpha-19.fc31 updates 30 k texlive-yfonts  noarch 9:svn50755-19.fc31 updates 19 k texlive-yfonts-t1  noarch 9:svn36013-19.fc31 updates 192 k texlive-yhmath  noarch 9:svn50127-19.fc31 updates 58 k texlive-yinit-otf  noarch 9:svn40207-19.fc31 updates 274 k texlive-york-thesis  noarch 9:svn23348.3.6-19.fc31 updates 24 k texlive-youngtab  noarch 9:svn17635.1.1-19.fc31 updates 19 k texlive-yplan  noarch 7:20190410-8.fc31 updates 26 k texlive-ytableau  noarch 9:svn27430.1.3-19.fc31 updates 21 k texlive-zapfchan  noarch 9:svn31835.0-19.fc31 updates 109 k texlive-zapfding  noarch 9:svn31835.0-19.fc31 updates 68 k texlive-zebra-goodies  noarch 9:svn51554-19.fc31 updates 131 k texlive-zed-csp  noarch 9:svn17258.0-19.fc31 updates 21 k texlive-zhnumber  noarch 9:svn50850-19.fc31 updates 28 k texlive-ziffer  noarch 9:svn32279.2.1-19.fc31 updates 19 k texlive-zlmtt  noarch 9:svn51368-19.fc31 updates 25 k texlive-zootaxa-bst  noarch 9:svn50619-19.fc31 updates 154 k texlive-zwgetfdate  noarch 9:svn15878.0-19.fc31 updates 21 k texlive-zwpagelayout  noarch 9:svn28846.1.4d-19.fc31 updates 26 k tk  x86_64 1:8.6.8-2.fc31 updates 1.6 M tre  x86_64 0.8.0-26.20140228gitc2f5d13.fc31 fedora 42 k tre-common  noarch 0.8.0-26.20140228gitc2f5d13.fc31 fedora 31 k urw-base35-bookman-fonts  noarch 20170801-13.fc31 fedora 864 k urw-base35-c059-fonts  noarch 20170801-13.fc31 fedora 892 k urw-base35-d050000l-fonts  noarch 20170801-13.fc31 fedora 80 k urw-base35-fonts  noarch 20170801-13.fc31 fedora 11 k urw-base35-fonts-common  noarch 20170801-13.fc31 fedora 22 k urw-base35-gothic-fonts  noarch 20170801-13.fc31 fedora 660 k urw-base35-nimbus-mono-ps-fonts  noarch 20170801-13.fc31 fedora 810 k urw-base35-nimbus-roman-fonts  noarch 20170801-13.fc31 fedora 873 k urw-base35-nimbus-sans-fonts  noarch 20170801-13.fc31 fedora 1.3 M urw-base35-p052-fonts  noarch 20170801-13.fc31 fedora 991 k urw-base35-standard-symbols-ps-fonts  noarch 20170801-13.fc31 fedora 43 k urw-base35-z003-fonts  noarch 20170801-13.fc31 fedora 284 k vim-minimal  x86_64 2:8.2.525-1.fc31 updates 647 k xdg-utils  noarch 1.1.3-5.fc31 fedora 72 k xkeyboard-config  noarch 2.28-1.fc31 updates 753 k xml-common  noarch 0.6.3-53.fc31 fedora 31 k xorg-x11-font-utils  x86_64 1:7.5-43.fc31 updates 104 k xorg-x11-fonts-ISO8859-1-100dpi  noarch 7.5-23.fc31 fedora 1.0 M xorg-x11-server-utils  x86_64 7.7-32.fc31 fedora 197 k zlib-devel  x86_64 1.2.11-20.fc31 updates 44 k zziplib  x86_64 0.13.69-6.fc31 fedora 86 k Transaction Summary ==================================================================================================== Install 3004 Packages Total download size: 1.3 G Installed size: 2.9 G Downloading Packages: (1/3004): R-evaluate-0.14-3.fc31.noarch.rpm 1.5 MB/s | 88 kB 00:00 (2/3004): R-highr-0.8-3.fc31.noarch.rpm 7.8 MB/s | 52 kB 00:00 (3/3004): OpenEXR-libs-2.3.0-4.fc31.x86_64.rpm 11 MB/s | 814 kB 00:00 (4/3004): LibRaw-0.19.5-1.fc31.x86_64.rpm 3.5 MB/s | 320 kB 00:00 (5/3004): R-markdown-1.1-1.fc31.x86_64.rpm 7.7 MB/s | 139 kB 00:00 (6/3004): abc-1.01-23.git20190608.fc31.x86_64.r 1.1 MB/s | 19 kB 00:00 (7/3004): R-magrittr-1.5-8.fc31.noarch.rpm 4.1 MB/s | 175 kB 00:00 (8/3004): acl-2.2.53-4.fc31.x86_64.rpm 13 MB/s | 72 kB 00:00 (9/3004): adobe-mappings-cmap-deprecated-201712 18 MB/s | 118 kB 00:00 (10/3004): adobe-mappings-pdf-20180407-4.fc31.n 39 MB/s | 668 kB 00:00 (11/3004): adobe-mappings-cmap-20171205-6.fc31. 49 MB/s | 1.9 MB 00:00 (12/3004): abc-libs-1.01-23.git20190608.fc31.x8 48 MB/s | 4.3 MB 00:00 (13/3004): at-spi2-core-2.34.0-1.fc31.x86_64.rp 4.0 MB/s | 175 kB 00:00 (14/3004): atk-2.34.1-1.fc31.x86_64.rpm 9.7 MB/s | 269 kB 00:00 (15/3004): avahi-glib-0.7-20.fc31.x86_64.rpm 4.3 MB/s | 14 kB 00:00 (16/3004): avahi-libs-0.7-20.fc31.x86_64.rpm 20 MB/s | 63 kB 00:00 (17/3004): bc-1.07.1-9.fc31.x86_64.rpm 26 MB/s | 121 kB 00:00 (18/3004): bison-3.4.1-2.fc31.x86_64.rpm 53 MB/s | 779 kB 00:00 (19/3004): avahi-0.7-20.fc31.x86_64.rpm 11 MB/s | 288 kB 00:00 (20/3004): cairo-1.16.0-6.fc31.x86_64.rpm 37 MB/s | 707 kB 00:00 (21/3004): cairo-gobject-1.16.0-6.fc31.x86_64.r 2.4 MB/s | 20 kB 00:00 (22/3004): cdparanoia-libs-10.2-30.fc31.x86_64. 13 MB/s | 55 kB 00:00 (23/3004): color-filesystem-1-23.fc31.noarch.rp 1.5 MB/s | 7.8 kB 00:00 (24/3004): dbus-1.12.16-3.fc31.x86_64.rpm 3.7 MB/s | 11 kB 00:00 (25/3004): colord-libs-1.4.4-2.fc31.x86_64.rpm 24 MB/s | 236 kB 00:00 (26/3004): dbus-broker-21-6.fc31.x86_64.rpm 24 MB/s | 175 kB 00:00 (27/3004): dbus-common-1.12.16-3.fc31.noarch.rp 5.5 MB/s | 17 kB 00:00 (28/3004): dbus-libs-1.12.16-3.fc31.x86_64.rpm 29 MB/s | 161 kB 00:00 (29/3004): desktop-file-utils-0.24-1.fc31.x86_6 15 MB/s | 73 kB 00:00 (30/3004): flex-2.6.4-3.fc31.x86_64.rpm 37 MB/s | 319 kB 00:00 (31/3004): fontawesome-fonts-4.7.0-7.fc31.noarc 28 MB/s | 205 kB 00:00 (32/3004): fontconfig-2.13.92-3.fc31.x86_64.rpm 37 MB/s | 263 kB 00:00 (33/3004): freetype-2.10.0-3.fc31.x86_64.rpm 28 MB/s | 384 kB 00:00 (34/3004): gdbm-1.18.1-1.fc31.x86_64.rpm 19 MB/s | 127 kB 00:00 (35/3004): gdk-pixbuf2-2.40.0-1.fc31.x86_64.rpm 63 MB/s | 465 kB 00:00 (36/3004): gdk-pixbuf2-modules-2.40.0-1.fc31.x8 21 MB/s | 99 kB 00:00 (37/3004): google-droid-sans-fonts-20120715-16. 54 MB/s | 2.4 MB 00:00 (38/3004): graphite2-1.3.13-1.fc31.x86_64.rpm 21 MB/s | 104 kB 00:00 (39/3004): graphviz-2.40.1-54.fc31.x86_64.rpm 48 MB/s | 3.4 MB 00:00 (40/3004): groff-base-1.22.3-20.fc31.x86_64.rpm 57 MB/s | 1.0 MB 00:00 (41/3004): gsettings-desktop-schemas-3.34.0-1.f 52 MB/s | 644 kB 00:00 (42/3004): gts-0.7.6-36.20121130.fc31.x86_64.rp 37 MB/s | 238 kB 00:00 (43/3004): harfbuzz-2.6.1-2.fc31.x86_64.rpm 54 MB/s | 628 kB 00:00 (44/3004): harfbuzz-icu-2.6.1-2.fc31.x86_64.rpm 5.3 MB/s | 16 kB 00:00 (45/3004): hicolor-icon-theme-0.17-7.fc31.noarc 12 MB/s | 45 kB 00:00 (46/3004): ilmbase-2.3.0-3.fc31.x86_64.rpm 7.1 MB/s | 143 kB 00:00 (47/3004): isl-0.16.1-9.fc31.x86_64.rpm 92 MB/s | 871 kB 00:00 (48/3004): iso-codes-4.3-2.fc31.noarch.rpm 58 MB/s | 3.3 MB 00:00 (49/3004): iverilog-10.3-1.fc31.x86_64.rpm 70 MB/s | 2.1 MB 00:00 (50/3004): fftw-libs-double-3.3.8-6.fc31.x86_64 2.6 MB/s | 968 kB 00:00 (51/3004): jasper-libs-2.0.14-9.fc31.x86_64.rpm 24 MB/s | 165 kB 00:00 (52/3004): jbig2dec-libs-0.16-1.fc31.x86_64.rpm 17 MB/s | 70 kB 00:00 (53/3004): jbigkit-libs-2.1-17.fc31.x86_64.rpm 12 MB/s | 53 kB 00:00 (54/3004): json-glib-1.4.4-3.fc31.x86_64.rpm 27 MB/s | 145 kB 00:00 (55/3004): kmod-libs-26-4.fc31.x86_64.rpm 12 MB/s | 64 kB 00:00 (56/3004): lasi-1.1.2-13.fc31.x86_64.rpm 13 MB/s | 48 kB 00:00 (57/3004): lcms2-2.9-6.fc31.x86_64.rpm 31 MB/s | 166 kB 00:00 (58/3004): less-551-2.fc31.x86_64.rpm 26 MB/s | 154 kB 00:00 (59/3004): libICE-1.0.10-2.fc31.x86_64.rpm 14 MB/s | 72 kB 00:00 (60/3004): libSM-1.2.3-4.fc31.x86_64.rpm 11 MB/s | 42 kB 00:00 (61/3004): libXau-1.0.9-2.fc31.x86_64.rpm 7.7 MB/s | 31 kB 00:00 (62/3004): libXcomposite-0.4.4-17.fc31.x86_64.r 5.7 MB/s | 23 kB 00:00 (63/3004): libXaw-1.0.13-13.fc31.x86_64.rpm 28 MB/s | 201 kB 00:00 (64/3004): libXcursor-1.1.15-6.fc31.x86_64.rpm 7.7 MB/s | 30 kB 00:00 (65/3004): libXdamage-1.1.4-17.fc31.x86_64.rpm 6.0 MB/s | 21 kB 00:00 (66/3004): libXext-1.3.4-2.fc31.x86_64.rpm 9.3 MB/s | 39 kB 00:00 (67/3004): libXfixes-5.0.3-10.fc31.x86_64.rpm 4.7 MB/s | 19 kB 00:00 (68/3004): libXft-2.3.3-2.fc31.x86_64.rpm 16 MB/s | 64 kB 00:00 (69/3004): libXi-1.7.10-2.fc31.x86_64.rpm 9.1 MB/s | 39 kB 00:00 (70/3004): libXinerama-1.1.4-4.fc31.x86_64.rpm 4.9 MB/s | 14 kB 00:00 (71/3004): libXmu-1.1.3-2.fc31.x86_64.rpm 18 MB/s | 77 kB 00:00 (72/3004): libXpm-3.5.12-10.fc31.x86_64.rpm 14 MB/s | 58 kB 00:00 (73/3004): libXrandr-1.5.2-2.fc31.x86_64.rpm 6.7 MB/s | 27 kB 00:00 (74/3004): libXrender-0.9.10-10.fc31.x86_64.rpm 7.9 MB/s | 27 kB 00:00 (75/3004): libXt-1.1.5-12.20190424gitba4ec9376. 16 MB/s | 183 kB 00:00 (76/3004): libXtst-1.2.3-10.fc31.x86_64.rpm 2.0 MB/s | 21 kB 00:00 (77/3004): libXxf86vm-1.1.4-12.fc31.x86_64.rpm 6.0 MB/s | 18 kB 00:00 (78/3004): libargon2-20171227-3.fc31.x86_64.rpm 8.5 MB/s | 30 kB 00:00 (79/3004): libcroco-0.6.13-2.fc31.x86_64.rpm 36 MB/s | 112 kB 00:00 (80/3004): libdaemon-0.14-18.fc31.x86_64.rpm 5.6 MB/s | 32 kB 00:00 (81/3004): libdatrie-0.2.9-10.fc31.x86_64.rpm 5.7 MB/s | 32 kB 00:00 (82/3004): libXv-1.0.11-10.fc31.x86_64.rpm 743 kB/s | 18 kB 00:00 (83/3004): liberation-fonts-common-2.00.5-6.fc3 2.2 MB/s | 14 kB 00:00 (84/3004): libepoxy-1.5.3-4.fc31.x86_64.rpm 23 MB/s | 248 kB 00:00 (85/3004): libffi-devel-3.1-23.fc31.x86_64.rpm 5.5 MB/s | 24 kB 00:00 (86/3004): libfontenc-1.1.3-11.fc31.x86_64.rpm 5.3 MB/s | 31 kB 00:00 (87/3004): libglvnd-1.1.1-5.fc31.x86_64.rpm 19 MB/s | 109 kB 00:00 (88/3004): colord-1.4.4-2.fc31.x86_64.rpm 994 kB/s | 511 kB 00:00 (89/3004): libglvnd-gles-1.1.1-5.fc31.x86_64.rp 10 MB/s | 37 kB 00:00 (90/3004): libglvnd-egl-1.1.1-5.fc31.x86_64.rpm 5.1 MB/s | 46 kB 00:00 (91/3004): liberation-mono-fonts-2.00.5-6.fc31. 15 MB/s | 503 kB 00:00 (92/3004): libglvnd-glx-1.1.1-5.fc31.x86_64.rpm 21 MB/s | 147 kB 00:00 (93/3004): libgudev-232-6.fc31.x86_64.rpm 5.7 MB/s | 34 kB 00:00 (94/3004): libijs-0.35-10.fc31.x86_64.rpm 6.1 MB/s | 30 kB 00:00 (95/3004): libidn-1.35-6.fc31.x86_64.rpm 25 MB/s | 240 kB 00:00 (96/3004): libimagequant-2.12.5-1.fc31.x86_64.r 11 MB/s | 63 kB 00:00 (97/3004): liblqr-1-0.4.2-13.fc31.x86_64.rpm 9.1 MB/s | 50 kB 00:00 (98/3004): libmcpp-2.7.2-24.fc31.x86_64.rpm 16 MB/s | 79 kB 00:00 (99/3004): libmpc-1.1.0-4.fc31.x86_64.rpm 24 MB/s | 60 kB 00:00 (100/3004): libmodman-2.0.1-20.fc31.x86_64.rpm 5.8 MB/s | 34 kB 00:00 (101/3004): libogg-1.3.3-3.fc31.x86_64.rpm 7.4 MB/s | 26 kB 00:00 (102/3004): libpaper-1.1.24-25.fc31.x86_64.rpm 10 MB/s | 42 kB 00:00 (103/3004): libpng-1.6.37-2.fc31.x86_64.rpm 22 MB/s | 114 kB 00:00 (104/3004): libpciaccess-0.15-2.fc31.x86_64.rpm 2.7 MB/s | 27 kB 00:00 (105/3004): libproxy-0.4.15-14.fc31.x86_64.rpm 16 MB/s | 69 kB 00:00 (106/3004): libthai-0.1.28-3.fc31.x86_64.rpm 32 MB/s | 213 kB 00:00 (107/3004): libtheora-1.1.1-24.fc31.x86_64.rpm 28 MB/s | 187 kB 00:00 (108/3004): libusbx-1.0.22-4.fc31.x86_64.rpm 13 MB/s | 69 kB 00:00 (109/3004): libvorbis-1.3.6-5.fc31.x86_64.rpm 26 MB/s | 201 kB 00:00 (110/3004): libvisual-0.4.0-27.fc31.x86_64.rpm 13 MB/s | 149 kB 00:00 (111/3004): libwayland-client-1.17.0-2.fc31.x86 4.7 MB/s | 35 kB 00:00 (112/3004): libwayland-cursor-1.17.0-2.fc31.x86 5.0 MB/s | 20 kB 00:00 (113/3004): libwayland-egl-1.17.0-2.fc31.x86_64 3.6 MB/s | 13 kB 00:00 (114/3004): libwayland-server-1.17.0-2.fc31.x86 9.7 MB/s | 41 kB 00:00 (115/3004): libwebp-1.0.3-2.fc31.x86_64.rpm 39 MB/s | 279 kB 00:00 (116/3004): libwmf-lite-0.2.12-2.fc31.x86_64.rp 5.9 MB/s | 71 kB 00:00 (117/3004): libxcb-1.13.1-3.fc31.x86_64.rpm 30 MB/s | 231 kB 00:00 (118/3004): libxshmfence-1.3-5.fc31.x86_64.rpm 2.0 MB/s | 12 kB 00:00 (119/3004): mailcap-2.1.48-6.fc31.noarch.rpm 8.3 MB/s | 31 kB 00:00 (120/3004): libicu-63.2-3.fc31.x86_64.rpm 57 MB/s | 9.3 MB 00:00 (121/3004): mcpp-2.7.2-24.fc31.x86_64.rpm 456 kB/s | 27 kB 00:00 (122/3004): ncurses-c++-libs-6.1-12.20190803.fc 9.2 MB/s | 37 kB 00:00 (123/3004): ncurses-devel-6.1-12.20190803.fc31. 46 MB/s | 503 kB 00:00 (124/3004): nettle-3.5.1-3.fc31.x86_64.rpm 37 MB/s | 404 kB 00:00 (125/3004): opus-1.3.1-2.fc31.x86_64.rpm 18 MB/s | 218 kB 00:00 (126/3004): oldstandard-sfd-fonts-2.0.2-26.fc31 59 MB/s | 1.7 MB 00:00 (127/3004): orc-0.4.30-1.fc31.x86_64.rpm 13 MB/s | 181 kB 00:00 (128/3004): m4-1.4.18-11.fc31.x86_64.rpm 1.5 MB/s | 217 kB 00:00 (129/3004): perl-BibTeX-Parser-1.02-6.fc31.noar 5.3 MB/s | 31 kB 00:00 (130/3004): perl-Bit-Vector-7.4-16.fc31.x86_64. 22 MB/s | 167 kB 00:00 (131/3004): perl-Business-ISBN-3.004-9.fc31.noa 5.3 MB/s | 32 kB 00:00 (132/3004): perl-Business-ISBN-Data-20140910.00 5.6 MB/s | 29 kB 00:00 (133/3004): perl-Business-ISMN-1.201-4.fc31.noa 2.5 MB/s | 25 kB 00:00 (134/3004): perl-Business-ISSN-1.003-6.fc31.noa 2.0 MB/s | 18 kB 00:00 (135/3004): perl-Carp-1.50-439.fc31.noarch.rpm 8.0 MB/s | 29 kB 00:00 (136/3004): perl-Carp-Clan-6.08-1.fc31.noarch.r 4.3 MB/s | 25 kB 00:00 (137/3004): perl-Class-Accessor-0.51-7.fc31.noa 4.8 MB/s | 29 kB 00:00 (138/3004): perl-Class-Data-Inheritable-0.08-33 2.4 MB/s | 13 kB 00:00 (139/3004): perl-Class-Inspector-1.36-1.fc31.no 5.6 MB/s | 31 kB 00:00 (140/3004): perl-Class-Method-Modifiers-2.13-1. 6.4 MB/s | 44 kB 00:00 (141/3004): perl-Class-Singleton-1.5-14.fc31.no 3.0 MB/s | 19 kB 00:00 (142/3004): perl-Compress-Raw-Bzip2-2.087-1.fc3 6.3 MB/s | 33 kB 00:00 (143/3004): perl-Compress-Raw-Zlib-2.087-1.fc31 8.5 MB/s | 60 kB 00:00 (144/3004): perl-Crypt-RC4-2.02-23.fc31.noarch. 2.2 MB/s | 14 kB 00:00 (145/3004): perl-Data-Compare-1.26-1.fc31.noarc 5.5 MB/s | 35 kB 00:00 (146/3004): perl-Data-Dump-1.23-12.fc31.noarch. 3.9 MB/s | 33 kB 00:00 (147/3004): perl-Data-Dumper-2.174-440.fc31.x86 15 MB/s | 57 kB 00:00 (148/3004): perl-Data-OptList-0.110-11.fc31.noa 2.5 MB/s | 26 kB 00:00 (149/3004): perl-Data-Uniqid-0.12-20.fc31.noarc 1.4 MB/s | 12 kB 00:00 (150/3004): perl-Date-Calc-6.4-13.fc31.noarch.r 18 MB/s | 207 kB 00:00 (151/3004): perl-Date-ISO8601-0.005-7.fc31.noar 4.1 MB/s | 21 kB 00:00 (152/3004): perl-DateTime-1.51-4.fc31.x86_64.rp 19 MB/s | 129 kB 00:00 (153/3004): perl-DateTime-Format-Builder-0.8200 14 MB/s | 88 kB 00:00 (154/3004): perl-DateTime-Format-Strptime-1.76- 7.7 MB/s | 42 kB 00:00 (155/3004): perl-DateTime-TimeZone-SystemV-0.01 3.7 MB/s | 24 kB 00:00 (156/3004): perl-DateTime-TimeZone-Tzfile-0.011 3.8 MB/s | 21 kB 00:00 (157/3004): perl-Devel-CallChecker-0.008-8.fc31 4.1 MB/s | 24 kB 00:00 (158/3004): perl-Devel-Caller-2.06-20.fc31.x86_ 3.6 MB/s | 19 kB 00:00 (159/3004): perl-Devel-GlobalDestruction-0.14-1 3.5 MB/s | 17 kB 00:00 (160/3004): perl-Devel-LexAlias-0.05-21.fc31.x8 3.3 MB/s | 17 kB 00:00 (161/3004): perl-Devel-StackTrace-2.04-4.fc31.n 5.2 MB/s | 31 kB 00:00 (162/3004): perl-B-Hooks-EndOfScope-0.24-8.fc31 146 kB/s | 38 kB 00:00 (163/3004): perl-Digest-1.17-439.fc31.noarch.rp 7.4 MB/s | 24 kB 00:00 (164/3004): perl-Digest-MD5-2.55-439.fc31.x86_6 6.1 MB/s | 36 kB 00:00 (165/3004): perl-Digest-HMAC-1.03-22.fc31.noarc 1.6 MB/s | 16 kB 00:00 (166/3004): perl-Digest-Perl-MD5-1.9-17.fc31.no 3.2 MB/s | 18 kB 00:00 (167/3004): perl-Digest-SHA-6.02-440.fc31.x86_6 9.2 MB/s | 64 kB 00:00 (168/3004): perl-Digest-SHA1-2.13-28.fc31.x86_6 7.9 MB/s | 54 kB 00:00 (169/3004): perl-Dist-CheckConflicts-0.11-16.fc 3.8 MB/s | 23 kB 00:00 (170/3004): perl-DynaLoader-Functions-0.003-7.f 2.9 MB/s | 19 kB 00:00 (171/3004): perl-Email-Date-Format-1.005-14.fc3 2.9 MB/s | 18 kB 00:00 (172/3004): perl-Encode-EUCJPASCII-0.03-28.fc31 16 MB/s | 165 kB 00:00 (173/3004): perl-Encode-HanExtra-0.23-28.fc31.x 82 MB/s | 2.0 MB 00:00 (174/3004): perl-Encode-JIS2K-0.03-13.fc31.x86_ 30 MB/s | 400 kB 00:00 (175/3004): perl-Encode-Locale-1.05-14.fc31.noa 3.6 MB/s | 19 kB 00:00 (176/3004): perl-Eval-Closure-0.14-10.fc31.noar 4.7 MB/s | 24 kB 00:00 (177/3004): perl-Exception-Class-1.44-7.fc31.no 7.8 MB/s | 43 kB 00:00 (178/3004): perl-Exporter-Tiny-1.002001-4.fc31. 8.7 MB/s | 51 kB 00:00 (179/3004): perl-File-Find-Rule-0.34-13.fc31.no 6.0 MB/s | 33 kB 00:00 (180/3004): perl-File-Path-2.16-439.fc31.noarch 6.8 MB/s | 36 kB 00:00 (181/3004): perl-File-ShareDir-1.116-6.fc31.noa 6.2 MB/s | 30 kB 00:00 (182/3004): perl-File-Slurper-0.012-6.fc31.noar 4.4 MB/s | 21 kB 00:00 (183/3004): perl-File-Temp-0.230.900-439.fc31.n 14 MB/s | 60 kB 00:00 (184/3004): perl-File-Which-1.23-4.fc31.noarch. 2.7 MB/s | 22 kB 00:00 (185/3004): perl-Filter-1.59-440.fc31.x86_64.rp 11 MB/s | 82 kB 00:00 (186/3004): perl-GD-2.71-3.fc31.x86_64.rpm 21 MB/s | 177 kB 00:00 (187/3004): perl-GD-Barcode-1.15-32.fc31.noarch 49 MB/s | 598 kB 00:00 (188/3004): perl-Getopt-Long-2.51-1.fc31.noarch 17 MB/s | 60 kB 00:00 (189/3004): pdfpc-4.3.4-3.fc31.x86_64.rpm 348 kB/s | 169 kB 00:00 (190/3004): perl-HTML-Tagset-3.20-40.fc31.noarc 3.4 MB/s | 19 kB 00:00 (191/3004): perl-HTML-Parser-3.72-19.fc31.x86_6 809 kB/s | 110 kB 00:00 (192/3004): perl-HTTP-Negotiate-6.01-24.fc31.no 3.4 MB/s | 20 kB 00:00 (193/3004): perl-HTTP-Tiny-0.076-439.fc31.noarc 15 MB/s | 55 kB 00:00 (194/3004): perl-IO-Compress-2.087-1.fc31.noarc 33 MB/s | 241 kB 00:00 (195/3004): perl-IO-HTML-1.001-15.fc31.noarch.r 4.1 MB/s | 26 kB 00:00 (196/3004): perl-IO-Socket-IP-0.39-440.fc31.noa 10 MB/s | 42 kB 00:00 (197/3004): perl-IO-String-1.08-36.fc31.noarch. 2.5 MB/s | 17 kB 00:00 (198/3004): perl-IO-stringy-2.111-14.fc31.noarc 11 MB/s | 67 kB 00:00 (199/3004): perl-File-Listing-6.04-22.fc31.noar 59 kB/s | 16 kB 00:00 (200/3004): perl-IPC-Run3-0.048-17.fc31.noarch. 6.4 MB/s | 39 kB 00:00 (201/3004): perl-IPC-SysV-2.07-440.fc31.x86_64. 299 kB/s | 43 kB 00:00 (202/3004): perl-Jcode-2.07-29.fc31.noarch.rpm 5.5 MB/s | 38 kB 00:00 (203/3004): perl-IPC-Cmd-1.04-2.fc31.noarch.rpm 202 kB/s | 40 kB 00:00 (204/3004): perl-LWP-Protocol-https-6.07-9.fc31 2.8 MB/s | 15 kB 00:00 (205/3004): perl-LaTeX-ToUnicode-0.05-10.fc31.n 5.1 MB/s | 24 kB 00:00 (206/3004): perl-List-MoreUtils-0.428-7.fc31.no 8.6 MB/s | 64 kB 00:00 (207/3004): perl-List-MoreUtils-XS-0.428-7.fc31 8.7 MB/s | 62 kB 00:00 (208/3004): perl-HTTP-Cookies-6.04-7.fc31.noarc 114 kB/s | 37 kB 00:00 (209/3004): perl-List-SomeUtils-0.56-8.fc31.noa 6.2 MB/s | 46 kB 00:00 (210/3004): perl-List-UtilsBy-0.11-7.fc31.noarc 4.5 MB/s | 29 kB 00:00 (211/3004): perl-Locale-Maketext-1.29-440.fc31. 14 MB/s | 95 kB 00:00 (212/3004): perl-Log-Dispatch-2.68-4.fc31.noarc 13 MB/s | 85 kB 00:00 (213/3004): perl-Log-Log4perl-1.49-9.fc31.noarc 30 MB/s | 355 kB 00:00 (214/3004): perl-Log-Dispatch-FileRotate-1.36-4 2.0 MB/s | 34 kB 00:00 (215/3004): perl-MIME-Base64-3.15-439.fc31.x86_ 4.4 MB/s | 30 kB 00:00 (216/3004): perl-MIME-Charset-1.012.2-9.fc31.no 7.0 MB/s | 49 kB 00:00 (217/3004): perl-MIME-Lite-3.030-16.fc31.noarch 13 MB/s | 94 kB 00:00 (218/3004): perl-MIME-Types-2.17-7.fc31.noarch. 8.8 MB/s | 68 kB 00:00 (219/3004): perl-MRO-Compat-0.13-9.fc31.noarch. 3.5 MB/s | 19 kB 00:00 (220/3004): perl-Mail-Sender-0.903-10.fc31.noar 8.6 MB/s | 52 kB 00:00 (221/3004): perl-Mail-Sendmail-0.80-7.fc31.noar 6.7 MB/s | 37 kB 00:00 (222/3004): perl-MailTools-2.21-3.fc31.noarch.r 17 MB/s | 104 kB 00:00 (223/3004): perl-Module-Implementation-0.09-22. 3.5 MB/s | 20 kB 00:00 (224/3004): perl-Math-BigInt-1.9998.16-439.fc31 16 MB/s | 185 kB 00:00 (225/3004): perl-Module-Load-0.34-439.fc31.noar 3.6 MB/s | 17 kB 00:00 (226/3004): perl-Module-Metadata-1.000037-1.fc3 7.1 MB/s | 36 kB 00:00 (227/3004): perl-Mozilla-CA-20180117-6.fc31.noa 4.3 MB/s | 12 kB 00:00 (228/3004): perl-NTLM-1.09-21.fc31.noarch.rpm 4.8 MB/s | 22 kB 00:00 (229/3004): perl-LWP-MediaTypes-6.04-3.fc31.noa 128 kB/s | 34 kB 00:00 (230/3004): perl-Net-SMTP-SSL-1.04-10.fc31.noar 2.3 MB/s | 12 kB 00:00 (231/3004): perl-Net-HTTP-6.19-3.fc31.noarch.rp 284 kB/s | 40 kB 00:00 (232/3004): perl-Number-Compare-0.03-24.fc31.no 2.2 MB/s | 12 kB 00:00 (233/3004): perl-OLE-Storage_Lite-0.19-26.fc31. 4.4 MB/s | 29 kB 00:00 (234/3004): perl-Net-SSLeay-1.88-3.fc31.x86_64. 12 MB/s | 355 kB 00:00 (235/3004): perl-Package-Generator-1.106-16.fc3 3.8 MB/s | 23 kB 00:00 (236/3004): perl-Package-Stash-0.38-4.fc31.noar 5.9 MB/s | 36 kB 00:00 (237/3004): perl-Package-Stash-XS-0.29-4.fc31.x 6.1 MB/s | 36 kB 00:00 (238/3004): perl-PadWalker-2.3-7.fc31.x86_64.rp 8.3 MB/s | 27 kB 00:00 (239/3004): perl-Params-Classify-0.015-8.fc31.x 6.4 MB/s | 33 kB 00:00 (240/3004): perl-Params-Util-1.07-28.fc31.x86_6 7.6 MB/s | 38 kB 00:00 (241/3004): perl-Params-Validate-1.29-11.fc31.x 9.3 MB/s | 71 kB 00:00 (242/3004): perl-Params-ValidationCompiler-0.30 8.0 MB/s | 40 kB 00:00 (243/3004): perl-Parse-RecDescent-1.967015-9.fc 20 MB/s | 197 kB 00:00 (244/3004): perl-PathTools-3.78-439.fc31.x86_64 14 MB/s | 86 kB 00:00 (245/3004): perl-PerlIO-utf8_strict-0.007-10.fc 4.7 MB/s | 26 kB 00:00 (246/3004): perl-Pod-Escapes-1.07-439.fc31.noar 6.2 MB/s | 20 kB 00:00 (247/3004): perl-Pod-Perldoc-3.28.01-442.fc31.n 17 MB/s | 85 kB 00:00 (248/3004): perl-Pod-Simple-3.39-2.fc31.noarch. 27 MB/s | 214 kB 00:00 (249/3004): perl-Ref-Util-0.204-6.fc31.noarch.r 4.3 MB/s | 24 kB 00:00 (250/3004): perl-Module-Runtime-0.016-7.fc31.no 89 kB/s | 23 kB 00:00 (251/3004): perl-Ref-Util-XS-0.117-7.fc31.x86_6 4.1 MB/s | 24 kB 00:00 (252/3004): perl-Socket-2.029-4.fc31.x86_64.rpm 14 MB/s | 56 kB 00:00 (253/3004): perl-Regexp-Common-2017060201-10.fc 19 MB/s | 182 kB 00:00 (254/3004): perl-Sort-Key-1.33-16.fc31.x86_64.r 9.5 MB/s | 48 kB 00:00 (255/3004): perl-Spreadsheet-ParseExcel-0.6500- 19 MB/s | 150 kB 00:00 (256/3004): perl-Spreadsheet-WriteExcel-2.40-16 46 MB/s | 516 kB 00:00 (257/3004): perl-Sub-Exporter-0.987-20.fc31.noa 8.6 MB/s | 67 kB 00:00 (258/3004): perl-Sub-Exporter-Progressive-0.001 3.5 MB/s | 21 kB 00:00 (259/3004): perl-Sub-Identify-0.14-11.fc31.x86_ 3.8 MB/s | 23 kB 00:00 (260/3004): perl-Term-ANSIColor-4.06-440.fc31.n 11 MB/s | 44 kB 00:00 (261/3004): perl-Sub-Install-0.928-21.fc31.noar 3.6 MB/s | 22 kB 00:00 (262/3004): perl-Term-Cap-1.17-439.fc31.noarch. 7.5 MB/s | 22 kB 00:00 (263/3004): perl-Text-Balanced-2.03-439.fc31.no 7.6 MB/s | 56 kB 00:00 (264/3004): perl-Params-Check-0.38-439.fc31.noa 172 kB/s | 22 kB 00:00 (265/3004): perl-Text-BibTeX-0.88-3.fc31.x86_64 30 MB/s | 266 kB 00:00 (266/3004): perl-Text-CSV-2.00-2.fc31.noarch.rp 14 MB/s | 110 kB 00:00 (267/3004): perl-Text-Glob-0.11-9.fc31.noarch.r 2.5 MB/s | 13 kB 00:00 (268/3004): perl-Text-ParseWords-3.30-439.fc31. 6.0 MB/s | 16 kB 00:00 (269/3004): perl-Text-CSV_XS-1.40-1.fc31.x86_64 14 MB/s | 132 kB 00:00 (270/3004): perl-Text-Roman-3.5-14.fc31.noarch. 3.9 MB/s | 23 kB 00:00 (271/3004): perl-Text-Tabs+Wrap-2013.0523-439.f 3.2 MB/s | 23 kB 00:00 (272/3004): perl-Text-Unidecode-1.30-10.fc31.no 19 MB/s | 141 kB 00:00 (273/3004): perl-Tie-Cycle-1.225-8.fc31.noarch. 2.9 MB/s | 19 kB 00:00 (274/3004): perl-Time-HiRes-1.9760-439.fc31.x86 11 MB/s | 59 kB 00:00 (275/3004): perl-Try-Tiny-0.30-7.fc31.noarch.rp 7.3 MB/s | 38 kB 00:00 (276/3004): perl-UNIVERSAL-isa-1.20171012-7.fc3 4.0 MB/s | 22 kB 00:00 (277/3004): perl-URI-1.76-5.fc31.noarch.rpm 20 MB/s | 108 kB 00:00 (278/3004): perl-Unicode-Collate-1.27-439.fc31. 56 MB/s | 714 kB 00:00 (279/3004): perl-Unicode-LineBreak-2019.001-4.f 9.6 MB/s | 123 kB 00:00 (280/3004): perl-Unicode-Map-0.112-48.fc31.x86_ 18 MB/s | 231 kB 00:00 (281/3004): perl-Unicode-Normalize-1.26-439.fc3 21 MB/s | 97 kB 00:00 (282/3004): perl-Variable-Magic-0.62-8.fc31.x86 9.2 MB/s | 55 kB 00:00 (283/3004): perl-WWW-RobotRules-6.02-23.fc31.no 3.7 MB/s | 20 kB 00:00 (284/3004): perl-XML-LibXML-Simple-0.99-7.fc31. 6.2 MB/s | 32 kB 00:00 (285/3004): perl-XML-LibXML-2.0201-3.fc31.x86_6 39 MB/s | 363 kB 00:00 (286/3004): perl-XML-NamespaceSupport-1.12-9.fc 5.0 MB/s | 26 kB 00:00 (287/3004): perl-XML-LibXSLT-1.96-6.fc31.x86_64 5.0 MB/s | 60 kB 00:00 (288/3004): perl-XML-Parser-2.44-17.fc31.x86_64 23 MB/s | 233 kB 00:00 (289/3004): perl-XML-SAX-Base-1.09-9.fc31.noarc 4.2 MB/s | 33 kB 00:00 (290/3004): perl-XML-Writer-0.625-15.fc31.noarc 6.2 MB/s | 33 kB 00:00 (291/3004): perl-XML-XPath-1.44-4.fc31.noarch.r 14 MB/s | 81 kB 00:00 (292/3004): perl-constant-1.33-440.fc31.noarch. 7.0 MB/s | 23 kB 00:00 (293/3004): perl-autovivification-0.18-7.fc31.x 2.8 MB/s | 33 kB 00:00 (294/3004): perl-libnet-3.11-440.fc31.noarch.rp 1.5 MB/s | 117 kB 00:00 (295/3004): perl-namespace-clean-0.27-12.fc31.n 5.7 MB/s | 29 kB 00:00 (296/3004): perl-parent-0.237-439.fc31.noarch.r 5.2 MB/s | 14 kB 00:00 (297/3004): perl-podlators-4.12-2.fc31.noarch.r 18 MB/s | 113 kB 00:00 (298/3004): perl-threads-2.22-439.fc31.x86_64.r 14 MB/s | 58 kB 00:00 (299/3004): perl-threads-shared-1.60-440.fc31.x 7.8 MB/s | 44 kB 00:00 (300/3004): perltidy-20190915-1.fc31.noarch.rpm 50 MB/s | 444 kB 00:00 (301/3004): pixman-0.38.4-1.fc31.x86_64.rpm 40 MB/s | 260 kB 00:00 (302/3004): perl-namespace-autoclean-0.29-1.fc3 185 kB/s | 26 kB 00:00 (303/3004): poppler-data-0.4.9-4.fc31.noarch.rp 58 MB/s | 1.9 MB 00:00 (304/3004): python3-pygments-2.4.2-2.fc31.noarc 58 MB/s | 1.7 MB 00:00 (305/3004): perl-XML-SAX-1.02-2.fc31.noarch.rpm 284 kB/s | 59 kB 00:00 (306/3004): qrencode-libs-4.0.2-4.fc31.x86_64.r 9.0 MB/s | 61 kB 00:00 (307/3004): readline-devel-8.0-3.fc31.x86_64.rp 26 MB/s | 193 kB 00:00 (308/3004): rest-0.8.1-6.fc31.x86_64.rpm 9.8 MB/s | 70 kB 00:00 (309/3004): sombok-2.4.0-10.fc31.x86_64.rpm 5.5 MB/s | 48 kB 00:00 (310/3004): stix-math-fonts-1.1.0-15.fc31.noarc 30 MB/s | 308 kB 00:00 (311/3004): stix-fonts-1.1.0-15.fc31.noarch.rpm 53 MB/s | 1.3 MB 00:00 (312/3004): tcl-8.6.8-2.fc31.x86_64.rpm 56 MB/s | 1.1 MB 00:00 (313/3004): tcl-devel-8.6.8-2.fc31.x86_64.rpm 14 MB/s | 159 kB 00:00 (314/3004): tex-preview-12.1-7.fc31.noarch.rpm 5.6 MB/s | 60 kB 00:00 (315/3004): tre-0.8.0-26.20140228gitc2f5d13.fc3 7.9 MB/s | 42 kB 00:00 (316/3004): tre-common-0.8.0-26.20140228gitc2f5 6.4 MB/s | 31 kB 00:00 (317/3004): txt2man-1.6.0-7.fc31.noarch.rpm 5.9 MB/s | 28 kB 00:00 (318/3004): urw-base35-bookman-fonts-20170801-1 47 MB/s | 864 kB 00:00 (319/3004): urw-base35-c059-fonts-20170801-13.f 42 MB/s | 892 kB 00:00 (320/3004): urw-base35-d050000l-fonts-20170801- 15 MB/s | 80 kB 00:00 (321/3004): urw-base35-fonts-20170801-13.fc31.n 2.8 MB/s | 11 kB 00:00 (322/3004): urw-base35-fonts-common-20170801-13 1.1 MB/s | 22 kB 00:00 (323/3004): urw-base35-gothic-fonts-20170801-13 50 MB/s | 660 kB 00:00 (324/3004): urw-base35-nimbus-mono-ps-fonts-201 58 MB/s | 810 kB 00:00 (325/3004): urw-base35-nimbus-roman-fonts-20170 38 MB/s | 873 kB 00:00 (326/3004): urw-base35-nimbus-sans-fonts-201708 52 MB/s | 1.3 MB 00:00 (327/3004): urw-base35-p052-fonts-20170801-13.f 63 MB/s | 991 kB 00:00 (328/3004): urw-base35-standard-symbols-ps-font 11 MB/s | 43 kB 00:00 (329/3004): urw-base35-z003-fonts-20170801-13.f 26 MB/s | 284 kB 00:00 (330/3004): xdg-utils-1.1.3-5.fc31.noarch.rpm 18 MB/s | 72 kB 00:00 (331/3004): xml-common-0.6.3-53.fc31.noarch.rpm 7.9 MB/s | 31 kB 00:00 (332/3004): xorg-x11-fonts-ISO8859-1-100dpi-7.5 45 MB/s | 1.0 MB 00:00 (333/3004): xorg-x11-server-utils-7.7-32.fc31.x 33 MB/s | 197 kB 00:00 (334/3004): qpdf-libs-8.4.2-2.fc31.x86_64.rpm 1.5 MB/s | 511 kB 00:00 (335/3004): ImageMagick-6.9.10.86-1.fc31.x86_64 25 MB/s | 160 kB 00:00 (336/3004): ImageMagick-libs-6.9.10.86-1.fc31.x 87 MB/s | 2.3 MB 00:00 (337/3004): zziplib-0.13.69-6.fc31.x86_64.rpm 358 kB/s | 86 kB 00:00 (338/3004): R-glue-1.3.1-4.fc31.x86_64.rpm 10 MB/s | 171 kB 00:00 (339/3004): teckit-2.5.9-2.fc31.x86_64.rpm 863 kB/s | 463 kB 00:00 (340/3004): R-knitr-1.28-1.fc31.noarch.rpm 52 MB/s | 1.2 MB 00:00 (341/3004): R-mime-0.9-1.fc31.x86_64.rpm 1.8 MB/s | 46 kB 00:00 (342/3004): R-stringi-1.4.6-1.fc31.x86_64.rpm 33 MB/s | 806 kB 00:00 (343/3004): R-core-3.6.3-1.fc31.x86_64.rpm 85 MB/s | 57 MB 00:00 (344/3004): R-stringr-1.4.0-4.fc31.noarch.rpm 532 kB/s | 219 kB 00:00 (345/3004): R-xfun-0.12-1.fc31.noarch.rpm 481 kB/s | 194 kB 00:00 (346/3004): R-yaml-2.2.1-1.fc31.x86_64.rpm 7.5 MB/s | 119 kB 00:00 (347/3004): adwaita-cursor-theme-3.34.3-2.fc31. 27 MB/s | 625 kB 00:00 (348/3004): annobin-8.78-4.fc31.x86_64.rpm 18 MB/s | 105 kB 00:00 (349/3004): alsa-lib-1.2.2-2.fc31.x86_64.rpm 31 MB/s | 472 kB 00:00 (350/3004): at-spi2-atk-2.34.2-1.fc31.x86_64.rp 6.0 MB/s | 89 kB 00:00 (351/3004): cpp-9.3.1-2.fc31.x86_64.rpm 57 MB/s | 9.8 MB 00:00 (352/3004): biber-2.12-1.fc31.noarch.rpm 1.4 MB/s | 282 kB 00:00 (353/3004): cryptsetup-libs-2.3.0-1.fc31.x86_64 12 MB/s | 449 kB 00:00 (354/3004): adwaita-icon-theme-3.34.3-2.fc31.no 23 MB/s | 11 MB 00:00 (355/3004): cups-client-2.2.12-6.fc31.x86_64.rp 326 kB/s | 70 kB 00:00 (356/3004): cups-2.2.12-6.fc31.x86_64.rpm 5.9 MB/s | 1.4 MB 00:00 (357/3004): cups-filesystem-2.2.12-6.fc31.noarc 484 kB/s | 11 kB 00:00 (358/3004): cups-filters-1.27.3-1.fc31.x86_64.r 21 MB/s | 826 kB 00:00 (359/3004): cups-filters-libs-1.27.3-1.fc31.x86 3.6 MB/s | 136 kB 00:00 (360/3004): device-mapper-1.02.171-1.fc31.x86_6 9.7 MB/s | 143 kB 00:00 (361/3004): cups-ipptool-2.2.12-6.fc31.x86_64.r 49 MB/s | 5.7 MB 00:00 (362/3004): cups-libs-2.2.12-6.fc31.x86_64.rpm 2.8 MB/s | 275 kB 00:00 (363/3004): device-mapper-libs-1.02.171-1.fc31. 2.8 MB/s | 177 kB 00:00 (364/3004): emacs-filesystem-26.3-1.fc31.noarch 355 kB/s | 8.5 kB 00:00 (365/3004): fonts-filesystem-2.0.3-1.fc31.noarc 315 kB/s | 7.7 kB 00:00 (366/3004): fribidi-1.0.5-5.fc31.x86_64.rpm 3.1 MB/s | 83 kB 00:00 (367/3004): gd-2.2.5-12.fc31.x86_64.rpm 2.5 MB/s | 139 kB 00:00 (368/3004): gcc-9.3.1-2.fc31.x86_64.rpm 53 MB/s | 21 MB 00:00 (369/3004): ghostscript-9.27-4.fc31.x86_64.rpm 111 kB/s | 39 kB 00:00 (370/3004): glib2-2.62.6-1.fc31.x86_64.rpm 76 MB/s | 2.6 MB 00:00 (371/3004): glib-networking-2.62.3-1.fc31.x86_6 3.8 MB/s | 155 kB 00:00 (372/3004): gcc-c++-9.3.1-2.fc31.x86_64.rpm 17 MB/s | 12 MB 00:00 (373/3004): glibc-devel-2.30-11.fc31.x86_64.rpm 4.4 MB/s | 1.0 MB 00:00 (374/3004): glibc-headers-2.30-11.fc31.x86_64.r 1.8 MB/s | 446 kB 00:00 (375/3004): gnutls-3.6.13-1.fc31.x86_64.rpm 33 MB/s | 984 kB 00:00 (376/3004): gstreamer1-1.16.2-1.fc31.x86_64.rpm 27 MB/s | 1.3 MB 00:00 (377/3004): gstreamer1-plugins-base-1.16.2-2.fc 27 MB/s | 2.0 MB 00:00 (378/3004): gtk-update-icon-cache-3.24.13-2.fc3 721 kB/s | 33 kB 00:00 (379/3004): gtk2-2.24.32-6.fc31.x86_64.rpm 32 MB/s | 3.5 MB 00:00 (380/3004): hwdata-0.334-1.fc31.noarch.rpm 17 MB/s | 1.4 MB 00:00 (381/3004): gtk3-3.24.13-2.fc31.x86_64.rpm 26 MB/s | 4.6 MB 00:00 (382/3004): iptables-libs-1.8.3-7.fc31.x86_64.r 502 kB/s | 56 kB 00:00 (383/3004): json-c-0.13.1-8.fc31.x86_64.rpm 421 kB/s | 38 kB 00:00 (384/3004): kernel-headers-5.5.17-200.fc31.x86_ 46 MB/s | 1.2 MB 00:00 (385/3004): libRmath-3.6.3-1.fc31.x86_64.rpm 4.5 MB/s | 121 kB 00:00 (386/3004): libX11-xcb-1.6.9-2.fc31.x86_64.rpm 3.3 MB/s | 11 kB 00:00 (387/3004): libX11-common-1.6.9-2.fc31.noarch.r 25 MB/s | 153 kB 00:00 (388/3004): libdrm-2.4.100-1.fc31.x86_64.rpm 17 MB/s | 159 kB 00:00 (389/3004): libgee-0.20.3-1.fc31.x86_64.rpm 21 MB/s | 289 kB 00:00 (390/3004): libgfortran-9.3.1-2.fc31.x86_64.rpm 53 MB/s | 736 kB 00:00 (391/3004): libgusb-0.3.4-1.fc31.x86_64.rpm 8.0 MB/s | 49 kB 00:00 (392/3004): libjpeg-turbo-2.0.2-5.fc31.x86_64.r 30 MB/s | 168 kB 00:00 (393/3004): libpcap-1.9.1-2.fc31.x86_64.rpm 28 MB/s | 168 kB 00:00 (394/3004): libquadmath-9.3.1-2.fc31.x86_64.rpm 31 MB/s | 209 kB 00:00 (395/3004): libgs-9.27-4.fc31.x86_64.rpm 42 MB/s | 3.0 MB 00:00 (396/3004): libraqm-0.7.0-4.fc31.x86_64.rpm 533 kB/s | 19 kB 00:00 (397/3004): libseccomp-2.4.2-2.fc31.x86_64.rpm 4.7 MB/s | 76 kB 00:00 (398/3004): libsoup-2.68.4-1.fc31.x86_64.rpm 43 MB/s | 383 kB 00:00 (399/3004): librsvg2-2.46.4-1.fc31.x86_64.rpm 36 MB/s | 1.4 MB 00:00 (400/3004): libtiff-4.0.10-8.fc31.x86_64.rpm 14 MB/s | 178 kB 00:00 (401/3004): libxcrypt-devel-4.4.16-1.fc31.x86_6 15 MB/s | 34 kB 00:00 (402/3004): libstdc++-devel-9.3.1-2.fc31.x86_64 38 MB/s | 1.9 MB 00:00 (403/3004): libxkbcommon-0.9.1-3.fc31.x86_64.rp 4.6 MB/s | 120 kB 00:00 (404/3004): libX11-1.6.9-2.fc31.x86_64.rpm 2.9 MB/s | 659 kB 00:00 (405/3004): libxslt-1.1.34-1.fc31.x86_64.rpm 13 MB/s | 244 kB 00:00 (406/3004): mesa-libgbm-19.2.8-1.fc31.x86_64.rp 11 MB/s | 42 kB 00:00 (407/3004): mesa-libGL-19.2.8-1.fc31.x86_64.rpm 21 MB/s | 180 kB 00:00 (408/3004): netpbm-10.90.00-1.fc31.x86_64.rpm 10 MB/s | 184 kB 00:00 (409/3004): nspr-4.25.0-1.fc31.x86_64.rpm 27 MB/s | 137 kB 00:00 (410/3004): nss-3.51.0-1.fc31.x86_64.rpm 56 MB/s | 666 kB 00:00 (411/3004): nss-mdns-0.14.1-7.fc31.x86_64.rpm 7.9 MB/s | 44 kB 00:00 (412/3004): nss-softokn-3.51.0-1.fc31.x86_64.rp 48 MB/s | 439 kB 00:00 (413/3004): nss-softokn-freebl-3.51.0-1.fc31.x8 37 MB/s | 242 kB 00:00 (414/3004): nss-sysinit-3.51.0-1.fc31.x86_64.rp 6.2 MB/s | 20 kB 00:00 (415/3004): nss-util-3.51.0-1.fc31.x86_64.rpm 20 MB/s | 89 kB 00:00 (416/3004): mesa-libglapi-19.2.8-1.fc31.x86_64. 666 kB/s | 57 kB 00:00 (417/3004): openblas-Rblas-0.3.9-2.fc31.x86_64. 87 MB/s | 4.3 MB 00:00 (418/3004): openjpeg2-2.3.1-6.fc31.x86_64.rpm 6.0 MB/s | 154 kB 00:00 (419/3004): mesa-libEGL-19.2.8-1.fc31.x86_64.rp 810 kB/s | 122 kB 00:00 (420/3004): pango-1.44.7-1.fc31.x86_64.rpm 20 MB/s | 293 kB 00:00 (421/3004): perl-DateTime-Calendar-Julian-0.102 3.7 MB/s | 23 kB 00:00 (422/3004): perl-DateTime-TimeZone-2.38-1.fc31. 28 MB/s | 360 kB 00:00 (423/3004): perl-Date-Manip-6.80-1.fc31.noarch. 24 MB/s | 1.0 MB 00:00 (424/3004): perl-DateTime-Locale-1.25-1.fc31.no 36 MB/s | 2.6 MB 00:00 (425/3004): perl-Errno-1.30-451.fc31.x86_64.rpm 557 kB/s | 24 kB 00:00 (426/3004): perl-Encode-3.05-443.fc31.x86_64.rp 18 MB/s | 1.7 MB 00:00 (427/3004): perl-Exporter-5.74-1.fc31.noarch.rp 863 kB/s | 32 kB 00:00 (428/3004): perl-HTTP-Date-6.05-1.fc31.noarch.r 5.1 MB/s | 24 kB 00:00 (429/3004): perl-HTTP-Message-6.22-1.fc31.noarc 12 MB/s | 96 kB 00:00 (430/3004): perl-IO-1.40-451.fc31.x86_64.rpm 16 MB/s | 91 kB 00:00 (431/3004): perl-List-AllUtils-0.16-1.fc31.noar 9.1 MB/s | 49 kB 00:00 (432/3004): perl-Locale-Maketext-Simple-0.21-45 5.5 MB/s | 27 kB 00:00 (433/3004): perl-IO-Socket-SSL-2.066-7.fc31.noa 15 MB/s | 238 kB 00:00 (434/3004): perl-Math-Complex-1.59-451.fc31.noa 10 MB/s | 56 kB 00:00 (435/3004): perl-Module-Load-Conditional-0.70-1 4.2 MB/s | 22 kB 00:00 (436/3004): perl-Pod-Html-1.24-451.fc31.noarch. 3.4 MB/s | 36 kB 00:00 (437/3004): perl-Pod-Usage-1.70-1.fc31.noarch.r 9.4 MB/s | 33 kB 00:00 (438/3004): perl-ExtUtils-MM-Utils-7.44-1.fc31. 76 kB/s | 12 kB 00:00 (439/3004): perl-Scalar-List-Utils-1.53-439.fc3 17 MB/s | 66 kB 00:00 (440/3004): perl-SelfLoader-1.25-451.fc31.noarc 6.2 MB/s | 31 kB 00:00 (441/3004): perl-Specio-0.44-2.fc31.noarch.rpm 19 MB/s | 155 kB 00:00 (442/3004): perl-Storable-3.15-442.fc31.x86_64. 13 MB/s | 97 kB 00:00 (443/3004): perl-Sys-Syslog-0.36-1.fc31.x86_64. 8.3 MB/s | 48 kB 00:00 (444/3004): perl-Time-Local-1.300-1.fc31.noarch 8.9 MB/s | 34 kB 00:00 (445/3004): perl-TimeDate-2.30-19.fc31.noarch.r 3.6 MB/s | 49 kB 00:00 (446/3004): perl-encoding-2.22-443.fc31.x86_64. 12 MB/s | 62 kB 00:00 (447/3004): perl-Role-Tiny-2.001004-1.fc31.noar 191 kB/s | 33 kB 00:00 (448/3004): perl-libs-5.30.2-451.fc31.x86_64.rp 57 MB/s | 1.7 MB 00:00 (449/3004): perl-interpreter-5.30.2-451.fc31.x8 51 MB/s | 6.0 MB 00:00 (450/3004): perl-libwww-perl-6.43-1.fc31.noarch 5.4 MB/s | 201 kB 00:00 (451/3004): perl-Module-CoreList-5.20200320-1.f 297 kB/s | 81 kB 00:00 (452/3004): perl-macros-5.30.2-451.fc31.noarch. 4.6 MB/s | 20 kB 00:00 (453/3004): perl-open-1.11-451.fc31.noarch.rpm 5.9 MB/s | 25 kB 00:00 (454/3004): perl-version-0.99.24-441.fc31.x86_6 9.9 MB/s | 63 kB 00:00 (455/3004): polkit-libs-0.116-4.fc31.1.x86_64.r 5.1 MB/s | 67 kB 00:00 (456/3004): poppler-cpp-0.73.0-16.fc31.x86_64.r 6.4 MB/s | 55 kB 00:00 (457/3004): poppler-0.73.0-16.fc31.x86_64.rpm 57 MB/s | 1.1 MB 00:00 (458/3004): poppler-glib-0.73.0-16.fc31.x86_64. 7.8 MB/s | 157 kB 00:00 (459/3004): poppler-utils-0.73.0-16.fc31.x86_64 11 MB/s | 229 kB 00:00 (460/3004): python-unversioned-command-3.7.6-2. 781 kB/s | 14 kB 00:00 (461/3004): python3-setuptools-41.6.0-1.fc31.no 62 MB/s | 585 kB 00:00 (462/3004): python2-2.7.17-1.fc31.x86_64.rpm 1.7 MB/s | 42 kB 00:00 (463/3004): shared-mime-info-1.15-1.fc31.x86_64 14 MB/s | 303 kB 00:00 (464/3004): python2-libs-2.7.17-1.fc31.x86_64.r 75 MB/s | 6.0 MB 00:00 (465/3004): systemd-pam-243.8-1.fc31.x86_64.rpm 4.1 MB/s | 165 kB 00:00 (466/3004): systemd-243.8-1.fc31.x86_64.rpm 46 MB/s | 3.8 MB 00:00 (467/3004): systemd-rpm-macros-243.8-1.fc31.noa 759 kB/s | 20 kB 00:00 (468/3004): texlive-12many-svn15878.0.3-19.fc31 817 kB/s | 19 kB 00:00 (469/3004): texlive-2up-svn41578-19.fc31.noarch 2.4 MB/s | 18 kB 00:00 (470/3004): texlive-ESIEEcv-svn15878.0-19.fc31. 2.4 MB/s | 18 kB 00:00 (471/3004): texlive-GS1-svn44822-19.fc31.noarch 3.6 MB/s | 23 kB 00:00 (472/3004): texlive-HA-prosper-svn15878.4.21-19 7.1 MB/s | 49 kB 00:00 (473/3004): texlive-IEEEconf-svn15878.1.4-19.fc 2.4 MB/s | 19 kB 00:00 (474/3004): texlive-IEEEtran-svn51065-19.fc31.n 12 MB/s | 110 kB 00:00 (475/3004): texlive-SIstyle-svn15878.2.3a-19.fc 3.5 MB/s | 20 kB 00:00 (476/3004): texlive-SIunits-svn15878.1.36-19.fc 4.1 MB/s | 26 kB 00:00 (477/3004): texlive-Tabbing-svn17022.0-19.fc31. 3.5 MB/s | 18 kB 00:00 (478/3004): texlive-a4wide-svn20943.0-19.fc31.n 3.4 MB/s | 18 kB 00:00 (479/3004): texlive-a5comb-svn17020.4-19.fc31.n 2.8 MB/s | 15 kB 00:00 (480/3004): texlive-a0poster-svn15878.1.22b-19. 1.8 MB/s | 20 kB 00:00 (481/3004): texlive-aastex-svn47692-19.fc31.noa 10 MB/s | 61 kB 00:00 (482/3004): texlive-abnt-svn49188-19.fc31.noarc 17 MB/s | 117 kB 00:00 (483/3004): texlive-abntex2-svn49248-19.fc31.no 9.9 MB/s | 50 kB 00:00 (484/3004): texlive-abraces-svn27880.2-19.fc31. 3.3 MB/s | 19 kB 00:00 (485/3004): texlive-abstract-svn15878.1.2a-19.f 3.3 MB/s | 19 kB 00:00 (486/3004): texlive-academicons-svn48100-19.fc3 7.8 MB/s | 51 kB 00:00 (487/3004): texlive-accents-svn51497-19.fc31.no 28 MB/s | 247 kB 00:00 (488/3004): texlive-achemso-svn50025-19.fc31.no 6.1 MB/s | 47 kB 00:00 (489/3004): texlive-acmart-svn51796-19.fc31.noa 9.8 MB/s | 57 kB 00:00 (490/3004): texlive-acmconf-svn15878.1.3-19.fc3 3.4 MB/s | 21 kB 00:00 (491/3004): texlive-accanthis-svn32089.0-19.fc3 19 MB/s | 418 kB 00:00 (492/3004): texlive-acro-svn52662-19.fc31.noarc 7.3 MB/s | 41 kB 00:00 (493/3004): texlive-acronym-svn36582.1.41-19.fc 4.3 MB/s | 24 kB 00:00 (494/3004): texlive-acroterm-svn20498.0.1-19.fc 3.5 MB/s | 21 kB 00:00 (495/3004): texlive-actuarialangle-svn51376-19. 3.1 MB/s | 16 kB 00:00 (496/3004): texlive-active-conf-svn15878.0.3a-1 2.3 MB/s | 22 kB 00:00 (497/3004): texlive-actuarialsymbol-svn51371-19 26 MB/s | 298 kB 00:00 (498/3004): texlive-addlines-svn49326-19.fc31.n 2.6 MB/s | 18 kB 00:00 (499/3004): texlive-addfont-svn41972-19.fc31.no 10 MB/s | 119 kB 00:00 (500/3004): texlive-adforn-svn20019.1.001_b_2-1 9.4 MB/s | 56 kB 00:00 (501/3004): texlive-adfsymbols-svn19766.1.001-1 7.8 MB/s | 47 kB 00:00 (502/3004): texlive-adfathesis-svn26048.2.42-19 2.3 MB/s | 24 kB 00:00 (503/3004): texlive-adigraph-svn49862-19.fc31.n 21 MB/s | 492 kB 00:00 (504/3004): texlive-adjmulticol-svn28936.1.1-19 974 kB/s | 22 kB 00:00 (505/3004): texlive-adjustbox-svn49596-19.fc31. 1.4 MB/s | 34 kB 00:00 (506/3004): texlive-adrconv-svn46817-19.fc31.no 3.5 MB/s | 20 kB 00:00 (507/3004): texlive-advdate-svn20538.0-19.fc31. 3.1 MB/s | 22 kB 00:00 (508/3004): texlive-ae-svn15878.1.4-19.fc31.noa 12 MB/s | 100 kB 00:00 (509/3004): texlive-aeguill-svn15878.0-19.fc31. 3.1 MB/s | 19 kB 00:00 (510/3004): texlive-aecc-svn28574.1.0-19.fc31.n 4.4 MB/s | 39 kB 00:00 (511/3004): texlive-afparticle-svn35900.1.3-19. 4.1 MB/s | 24 kB 00:00 (512/3004): texlive-afthesis-svn15878.2.7-19.fc 5.2 MB/s | 28 kB 00:00 (513/3004): texlive-aguplus-svn17156.1.6b-19.fc 8.3 MB/s | 46 kB 00:00 (514/3004): texlive-aiaa-svn15878.3.6-19.fc31.n 4.3 MB/s | 26 kB 00:00 (515/3004): texlive-aichej-svn15878.0-19.fc31.n 4.3 MB/s | 23 kB 00:00 (516/3004): texlive-ajl-svn34016.0-19.fc31.noar 4.4 MB/s | 23 kB 00:00 (517/3004): texlive-akktex-svn26055.0.3.2-19.fc 6.7 MB/s | 35 kB 00:00 (518/3004): texlive-akletter-svn15878.1.5i-19.f 5.0 MB/s | 27 kB 00:00 (519/3004): texlive-alertmessage-svn38055.1.1-1 4.8 MB/s | 27 kB 00:00 (520/3004): texlive-alg-svn15878.0-19.fc31.noar 3.2 MB/s | 19 kB 00:00 (521/3004): texlive-algobox-svn45223-19.fc31.no 10 MB/s | 157 kB 00:00 (522/3004): texlive-algolrevived-svn51210-19.fc 28 MB/s | 934 kB 00:00 (523/3004): texlive-algorithm2e-svn44846-19.fc3 1.8 MB/s | 50 kB 00:00 (524/3004): texlive-alegreya-svn50277-19.fc31.n 63 MB/s | 14 MB 00:00 (525/3004): texlive-algorithmicx-svn15878.0-19. 150 kB/s | 25 kB 00:00 (526/3004): texlive-algorithms-svn42428-19.fc31 161 kB/s | 26 kB 00:00 (527/3004): texlive-aligned-overset-svn47290-19 33 MB/s | 390 kB 00:00 (528/3004): texlive-almendra-svn51085-19.fc31.n 27 MB/s | 419 kB 00:00 (529/3004): texlive-almfixed-svn35065.0.92-19.f 21 MB/s | 295 kB 00:00 (530/3004): texlive-alnumsec-svn15878.v0.03-19. 1.9 MB/s | 19 kB 00:00 (531/3004): texlive-alterqcm-svn51446-19.fc31.n 2.3 MB/s | 23 kB 00:00 (532/3004): texlive-altfont-svn15878.1.1-19.fc3 2.0 MB/s | 22 kB 00:00 (533/3004): texlive-allrunes-svn42221-19.fc31.n 50 MB/s | 7.7 MB 00:00 (534/3004): texlive-ametsoc-svn36030.4.3.2-19.f 310 kB/s | 33 kB 00:00 (535/3004): texlive-amsaddr-svn29630.1.1-19.fc3 180 kB/s | 19 kB 00:00 (536/3004): texlive-amscdx-svn51532-19.fc31.noa 30 MB/s | 228 kB 00:00 (537/3004): texlive-amscls-svn46099-19.fc31.noa 56 MB/s | 1.1 MB 00:00 (538/3004): texlive-amsmath-svn49390-19.fc31.no 1.8 MB/s | 48 kB 00:00 (539/3004): texlive-amsfonts-svn29208.3.04-19.f 42 MB/s | 3.6 MB 00:00 (540/3004): texlive-amsrefs-svn30646.2.14-19.fc 1.1 MB/s | 49 kB 00:00 (541/3004): texlive-amstex-20190410-8.fc31.noar 6.9 MB/s | 430 kB 00:00 (542/3004): texlive-animate-svn51704-19.fc31.no 2.1 MB/s | 41 kB 00:00 (543/3004): texlive-anonchap-svn17049.1.1a-19.f 2.7 MB/s | 18 kB 00:00 (544/3004): texlive-answers-svn35032.2.16-19.fc 2.6 MB/s | 19 kB 00:00 (545/3004): texlive-anonymouspro-svn51631-19.fc 36 MB/s | 456 kB 00:00 (546/3004): texlive-antiqua-svn24266.001.003-19 12 MB/s | 90 kB 00:00 (547/3004): texlive-anufinalexam-doc-svn26053.0 3.8 MB/s | 20 kB 00:00 (548/3004): texlive-anyfontsize-svn17050.0-19.f 1.9 MB/s | 19 kB 00:00 (549/3004): texlive-anysize-svn15878.0-19.fc31. 2.0 MB/s | 15 kB 00:00 (550/3004): texlive-aobs-tikz-svn32662.1.0-19.f 2.9 MB/s | 21 kB 00:00 (551/3004): texlive-antt-svn18651.2.08-19.fc31. 53 MB/s | 4.8 MB 00:00 (552/3004): texlive-aomart-svn46091-19.fc31.noa 492 kB/s | 33 kB 00:00 (553/3004): texlive-apa-svn42428-19.fc31.noarch 494 kB/s | 30 kB 00:00 (554/3004): texlive-apa6-svn44652-19.fc31.noarc 6.4 MB/s | 35 kB 00:00 (555/3004): texlive-apa6e-svn23350.0.3-19.fc31. 2.4 MB/s | 17 kB 00:00 (556/3004): texlive-apacite-svn31264.6.03-19.fc 9.0 MB/s | 61 kB 00:00 (557/3004): texlive-apalike2-svn15878.0-19.fc31 3.8 MB/s | 20 kB 00:00 (558/3004): texlive-appendix-svn42428-19.fc31.n 4.1 MB/s | 20 kB 00:00 (559/3004): texlive-appendixnumberbeamer-svn463 4.5 MB/s | 26 kB 00:00 (560/3004): texlive-apptools-svn28400.1.0-19.fc 4.0 MB/s | 21 kB 00:00 (561/3004): texlive-arabicfront-svn51474-19.fc3 2.8 MB/s | 16 kB 00:00 (562/3004): texlive-apxproof-svn49865-19.fc31.n 21 MB/s | 566 kB 00:00 (563/3004): texlive-archaeologie-svn50908-19.fc 3.7 MB/s | 96 kB 00:00 (564/3004): texlive-archaic-svn38005.0-19.fc31. 17 MB/s | 399 kB 00:00 (565/3004): texlive-arcs-svn15878.1-19.fc31.noa 3.1 MB/s | 18 kB 00:00 (566/3004): texlive-arev-svn15878.0-19.fc31.noa 62 MB/s | 979 kB 00:00 (567/3004): texlive-arimo-svn42880-19.fc31.noar 57 MB/s | 2.9 MB 00:00 (568/3004): texlive-arraycols-svn51491-19.fc31. 11 MB/s | 466 kB 00:00 (569/3004): texlive-arrayjobx-svn18125.1.04-19. 764 kB/s | 20 kB 00:00 (570/3004): texlive-arraysort-svn31576.1.0-19.f 1.2 MB/s | 20 kB 00:00 (571/3004): texlive-arsclassica-svn45656-19.fc3 1.2 MB/s | 19 kB 00:00 (572/3004): texlive-articleingud-svn38741-19.fc 1.6 MB/s | 23 kB 00:00 (573/3004): texlive-arydshln-svn50084-19.fc31.n 1.7 MB/s | 26 kB 00:00 (574/3004): texlive-arphic-svn15878.0-19.fc31.n 45 MB/s | 26 MB 00:00 (575/3004): texlive-asaetr-svn15878.1.0a-19.fc3 60 kB/s | 28 kB 00:00 (576/3004): texlive-asana-math-svn50999-19.fc31 1.6 MB/s | 733 kB 00:00 (577/3004): texlive-ascelike-svn29129.2.3-19.fc 1.7 MB/s | 30 kB 00:00 (578/3004): texlive-asapsym-svn40201-19.fc31.no 3.7 MB/s | 71 kB 00:00 (579/3004): texlive-ascii-font-svn29989.2.0-19. 10 MB/s | 66 kB 00:00 (580/3004): texlive-asciilist-svn49060-19.fc31. 3.2 MB/s | 21 kB 00:00 (581/3004): texlive-askmaps-svn32320.0.1-19.fc3 3.0 MB/s | 20 kB 00:00 (582/3004): texlive-asmeconf-svn51583-19.fc31.n 44 MB/s | 403 kB 00:00 (583/3004): texlive-asmejour-svn51567-19.fc31.n 17 MB/s | 399 kB 00:00 (584/3004): texlive-aspectratio-svn25243.2.0-19 3.8 MB/s | 90 kB 00:00 (585/3004): texlive-assignment-svn20431.0-19.fc 1.2 MB/s | 19 kB 00:00 (586/3004): texlive-assoccnt-svn38497-19.fc31.n 4.3 MB/s | 24 kB 00:00 (587/3004): texlive-asyfig-svn17512.0.1c-19.fc3 3.4 MB/s | 21 kB 00:00 (588/3004): texlive-asypictureb-svn33490.0.3-19 4.5 MB/s | 23 kB 00:00 (589/3004): texlive-astro-svn15878.2.20-19.fc31 2.7 MB/s | 31 kB 00:00 (590/3004): texlive-attachfile-svn42099-19.fc31 4.2 MB/s | 25 kB 00:00 (591/3004): texlive-aucklandthesis-svn51323-19. 4.6 MB/s | 25 kB 00:00 (592/3004): texlive-augie-svn18948.0-19.fc31.no 13 MB/s | 82 kB 00:00 (593/3004): texlive-auncial-new-svn15878.2.0-19 22 MB/s | 217 kB 00:00 (594/3004): texlive-aurl-svn41853-19.fc31.noarc 5.6 MB/s | 29 kB 00:00 (595/3004): texlive-authoraftertitle-svn24863.0 3.0 MB/s | 14 kB 00:00 (596/3004): texlive-authorindex-20190410-8.fc31 19 MB/s | 138 kB 00:00 (597/3004): texlive-authorarchive-svn51430-19.f 55 MB/s | 1.2 MB 00:00 (598/3004): texlive-auto-pst-pdf-svn23723.0.6-1 1.7 MB/s | 20 kB 00:00 (599/3004): texlive-autoarea-svn15878.0.3a-19.f 3.6 MB/s | 21 kB 00:00 (600/3004): texlive-aurical-svn15878.1.5-19.fc3 46 MB/s | 2.4 MB 00:00 (601/3004): texlive-autonum-svn36084.0.3.11-19. 1.8 MB/s | 23 kB 00:00 (602/3004): texlive-autobreak-svn43337-19.fc31. 17 MB/s | 552 kB 00:00 (603/3004): texlive-autopdf-svn32377.1.1-19.fc3 1.2 MB/s | 23 kB 00:00 (604/3004): texlive-avantgar-svn31835.0-19.fc31 16 MB/s | 300 kB 00:00 (605/3004): texlive-avremu-svn35373.0.1-19.fc31 6.6 MB/s | 35 kB 00:00 (606/3004): texlive-b1encoding-svn21271.1.0-19. 4.4 MB/s | 25 kB 00:00 (607/3004): texlive-axodraw2-20190410-8.fc31.x8 48 MB/s | 526 kB 00:00 (608/3004): texlive-babel-english-svn44495-19.f 1.0 MB/s | 23 kB 00:00 (609/3004): texlive-babelbib-svn50354-19.fc31.n 3.0 MB/s | 48 kB 00:00 (610/3004): texlive-babel-svn51681-19.fc31.noar 13 MB/s | 289 kB 00:00 (611/3004): texlive-background-svn42428-19.fc31 3.5 MB/s | 19 kB 00:00 (612/3004): texlive-backnaur-svn51505-19.fc31.n 3.9 MB/s | 21 kB 00:00 (613/3004): texlive-bangorcsthesis-svn45059-19. 12 MB/s | 77 kB 00:00 (614/3004): texlive-bangorexam-svn46626-19.fc31 32 MB/s | 257 kB 00:00 (615/3004): texlive-bankstatement-svn38857-19.f 2.8 MB/s | 22 kB 00:00 (616/3004): texlive-barcodes-svn15878.0-19.fc31 4.7 MB/s | 25 kB 00:00 (617/3004): texlive-bardiag-svn22013.0.4a-19.fc 5.8 MB/s | 30 kB 00:00 (618/3004): texlive-bashful-svn25597.0.93-19.fc 4.3 MB/s | 25 kB 00:00 (619/3004): texlive-basicarith-svn35460.1.1-19. 4.0 MB/s | 22 kB 00:00 (620/3004): texlive-baskervald-svn19490.1.016-1 39 MB/s | 462 kB 00:00 (621/3004): texlive-baskervaldx-svn43461-19.fc3 42 MB/s | 808 kB 00:00 (622/3004): texlive-base-20190410-8.fc31.x86_64 44 MB/s | 2.1 MB 00:00 (623/3004): texlive-baskervillef-svn51121-19.fc 24 MB/s | 1.4 MB 00:00 (624/3004): texlive-bath-bst-svn51595-19.fc31.n 14 MB/s | 747 kB 00:00 (625/3004): texlive-bbm-macros-svn17224.0-19.fc 3.5 MB/s | 19 kB 00:00 (626/3004): texlive-bbding-svn17186.1.01-19.fc3 4.3 MB/s | 30 kB 00:00 (627/3004): texlive-bbm-svn15878.0-19.fc31.noar 12 MB/s | 60 kB 00:00 (628/3004): texlive-bbold-svn17187.1.01-19.fc31 6.6 MB/s | 35 kB 00:00 (629/3004): texlive-bbold-type1-svn33143.0-19.f 13 MB/s | 83 kB 00:00 (630/3004): texlive-bchart-svn43928-19.fc31.noa 3.0 MB/s | 15 kB 00:00 (631/3004): texlive-beamer-FUBerlin-doc-svn3815 18 MB/s | 379 kB 00:00 (632/3004): texlive-beamer-rl-svn50970-19.fc31. 8.6 MB/s | 202 kB 00:00 (633/3004): texlive-beamer-svn51711-19.fc31.noa 8.7 MB/s | 210 kB 00:00 (634/3004): texlive-beamer-verona-svn39180-19.f 4.5 MB/s | 23 kB 00:00 (635/3004): texlive-beameraudience-svn23427.0.1 3.2 MB/s | 18 kB 00:00 (636/3004): texlive-beamer2thesis-svn27539.2.2- 24 MB/s | 305 kB 00:00 (637/3004): texlive-beamercolorthemeowl-svn4010 2.9 MB/s | 22 kB 00:00 (638/3004): texlive-beamerauxtheme-svn51053-19. 13 MB/s | 202 kB 00:00 (639/3004): texlive-beamerdarkthemes-svn35101.0 4.3 MB/s | 22 kB 00:00 (640/3004): texlive-beamerposter-svn47508-19.fc 5.1 MB/s | 25 kB 00:00 (641/3004): texlive-beamertheme-cuerna-svn42161 34 MB/s | 347 kB 00:00 (642/3004): texlive-beamerswitch-svn51341-19.fc 17 MB/s | 262 kB 00:00 (643/3004): texlive-beamersubframe-svn23510.0.2 1.1 MB/s | 21 kB 00:00 (644/3004): texlive-beamertheme-detlevcm-svn390 3.7 MB/s | 22 kB 00:00 (645/3004): texlive-beamertheme-epyt-svn41404-1 4.6 MB/s | 23 kB 00:00 (646/3004): texlive-beamertheme-metropolis-svn4 4.5 MB/s | 22 kB 00:00 (647/3004): texlive-beamertheme-focus-svn51489- 42 MB/s | 551 kB 00:00 (648/3004): texlive-beamertheme-npbt-svn48424-1 69 MB/s | 1.8 MB 00:00 (649/3004): texlive-beamertheme-light-svn49867- 3.4 MB/s | 123 kB 00:00 (650/3004): texlive-beamertheme-phnompenh-svn39 552 kB/s | 14 kB 00:00 (651/3004): texlive-beamertheme-saintpetersburg 33 MB/s | 270 kB 00:00 (652/3004): texlive-beamerthemejltree-svn21977. 2.5 MB/s | 20 kB 00:00 (653/3004): texlive-beamertheme-upenn-bc-svn299 2.0 MB/s | 19 kB 00:00 (654/3004): texlive-beamerthemenirma-svn20765.0 3.7 MB/s | 20 kB 00:00 (655/3004): texlive-begriff-svn15878.1.6-19.fc3 3.8 MB/s | 20 kB 00:00 (656/3004): texlive-beilstein-svn46503-19.fc31. 45 MB/s | 713 kB 00:00 (657/3004): texlive-belleek-svn18651.0-19.fc31. 7.3 MB/s | 101 kB 00:00 (658/3004): texlive-beebe-svn46314-19.fc31.noar 22 MB/s | 585 kB 00:00 (659/3004): texlive-berenisadf-svn32215.1.004-1 58 MB/s | 1.5 MB 00:00 (660/3004): texlive-bera-svn20031.0-19.fc31.noa 12 MB/s | 343 kB 00:00 (661/3004): texlive-besjournals-svn45662-19.fc3 870 kB/s | 22 kB 00:00 (662/3004): texlive-bestpapers-svn38708-19.fc31 4.9 MB/s | 22 kB 00:00 (663/3004): texlive-beton-svn15878.0-19.fc31.no 2.9 MB/s | 19 kB 00:00 (664/3004): texlive-beuron-svn46374-19.fc31.noa 35 MB/s | 289 kB 00:00 (665/3004): texlive-bez123-svn15878.1.1b-19.fc3 3.9 MB/s | 22 kB 00:00 (666/3004): texlive-bgteubner-svn44205-19.fc31. 7.0 MB/s | 48 kB 00:00 (667/3004): texlive-bewerbung-svn37880.1.1-19.f 1.7 MB/s | 31 kB 00:00 (668/3004): texlive-bguq-svn27401.0.4-19.fc31.n 7.1 MB/s | 39 kB 00:00 (669/3004): texlive-bhcexam-svn39041-19.fc31.no 3.6 MB/s | 21 kB 00:00 (670/3004): texlive-bibarts-svn50226-19.fc31.no 8.2 MB/s | 46 kB 00:00 (671/3004): texlive-bibexport-20190410-8.fc31.n 19 MB/s | 271 kB 00:00 (672/3004): texlive-bibhtml-svn31607.2.0.2-19.f 2.5 MB/s | 31 kB 00:00 (673/3004): texlive-bib2gls-20190410-8.fc31.noa 58 MB/s | 5.8 MB 00:00 (674/3004): texlive-biblatex-abnt-svn49179-19.f 484 kB/s | 39 kB 00:00 (675/3004): texlive-biblatex-anonymous-svn45855 302 kB/s | 21 kB 00:00 (676/3004): texlive-biblatex-apa-svn47268-19.fc 5.3 MB/s | 40 kB 00:00 (677/3004): texlive-biblatex-arthistory-bonn-sv 22 MB/s | 208 kB 00:00 (678/3004): texlive-biblatex-bookinarticle-svn4 2.7 MB/s | 22 kB 00:00 (679/3004): texlive-biblatex-bath-svn51599-19.f 23 MB/s | 455 kB 00:00 (680/3004): texlive-biblatex-archaeology-svn492 43 MB/s | 4.2 MB 00:00 (681/3004): texlive-biblatex-bookinother-svn458 294 kB/s | 23 kB 00:00 (682/3004): texlive-biblatex-bwl-svn26556.0.02- 316 kB/s | 21 kB 00:00 (683/3004): texlive-biblatex-caspervector-svn48 4.7 MB/s | 25 kB 00:00 (684/3004): texlive-biblatex-chicago-svn46331-1 15 MB/s | 87 kB 00:00 (685/3004): texlive-biblatex-chem-svn46441-19.f 2.6 MB/s | 26 kB 00:00 (686/3004): texlive-biblatex-claves-svn43723-19 4.3 MB/s | 22 kB 00:00 (687/3004): texlive-biblatex-dw-svn42649-19.fc3 6.1 MB/s | 35 kB 00:00 (688/3004): texlive-biblatex-enc-svn44627-19.fc 5.6 MB/s | 30 kB 00:00 (689/3004): texlive-biblatex-fiwi-svn45876-19.f 5.4 MB/s | 37 kB 00:00 (690/3004): texlive-biblatex-ext-svn50759-19.fc 31 MB/s | 577 kB 00:00 (691/3004): texlive-biblatex-gost-svn46709-19.f 4.2 MB/s | 47 kB 00:00 (692/3004): texlive-biblatex-gb7714-2015-svn506 35 MB/s | 1.4 MB 00:00 (693/3004): texlive-biblatex-ieee-svn51402-19.f 1.1 MB/s | 25 kB 00:00 (694/3004): texlive-biblatex-historian-svn19787 1.5 MB/s | 41 kB 00:00 (695/3004): texlive-biblatex-ijsra-svn41634-19. 2.9 MB/s | 21 kB 00:00 (696/3004): texlive-biblatex-iso690-svn44066-19 4.9 MB/s | 33 kB 00:00 (697/3004): texlive-biblatex-juradiss-svn29252. 3.2 MB/s | 23 kB 00:00 (698/3004): texlive-biblatex-lni-svn49935-19.fc 4.3 MB/s | 23 kB 00:00 (699/3004): texlive-biblatex-luh-ipw-svn32180.0 3.9 MB/s | 27 kB 00:00 (700/3004): texlive-biblatex-manuscripts-philol 3.8 MB/s | 25 kB 00:00 (701/3004): texlive-biblatex-morenames-svn43049 4.8 MB/s | 22 kB 00:00 (702/3004): texlive-biblatex-multiple-dm-svn370 3.6 MB/s | 21 kB 00:00 (703/3004): texlive-biblatex-musuos-svn24097.1. 3.1 MB/s | 21 kB 00:00 (704/3004): texlive-biblatex-nature-svn43382-19 3.1 MB/s | 20 kB 00:00 (705/3004): texlive-biblatex-nejm-svn49839-19.f 3.8 MB/s | 22 kB 00:00 (706/3004): texlive-biblatex-nottsclassic-svn41 3.3 MB/s | 23 kB 00:00 (707/3004): texlive-biblatex-opcit-booktitle-sv 4.7 MB/s | 22 kB 00:00 (708/3004): texlive-biblatex-philosophy-svn4728 5.2 MB/s | 34 kB 00:00 (709/3004): texlive-biblatex-oxref-svn50061-19. 81 MB/s | 1.9 MB 00:00 (710/3004): texlive-biblatex-phys-svn41922-19.f 2.4 MB/s | 23 kB 00:00 (711/3004): texlive-biblatex-publist-svn50989-1 4.5 MB/s | 27 kB 00:00 (712/3004): texlive-biblatex-realauthor-svn4586 3.9 MB/s | 22 kB 00:00 (713/3004): texlive-biblatex-science-svn42147-1 3.2 MB/s | 20 kB 00:00 (714/3004): texlive-biblatex-sbl-svn49426-19.fc 48 MB/s | 676 kB 00:00 (715/3004): texlive-biblatex-shortfields-svn458 8.4 MB/s | 103 kB 00:00 (716/3004): texlive-biblatex-socialscienceshube 16 MB/s | 133 kB 00:00 (717/3004): texlive-biblatex-source-division-sv 4.5 MB/s | 22 kB 00:00 (718/3004): texlive-biblatex-svn49069-19.fc31.n 34 MB/s | 244 kB 00:00 (719/3004): texlive-biblatex-subseries-svn43330 1.7 MB/s | 21 kB 00:00 (720/3004): texlive-biblatex-swiss-legal-svn327 6.3 MB/s | 40 kB 00:00 (721/3004): texlive-biblatex-trad-svn46668-19.f 3.4 MB/s | 22 kB 00:00 (722/3004): texlive-biblatex-true-citepages-omi 4.7 MB/s | 21 kB 00:00 (723/3004): texlive-bibletext-svn45196-19.fc31. 2.8 MB/s | 15 kB 00:00 (724/3004): texlive-biblist-svn17116.0-19.fc31. 4.9 MB/s | 26 kB 00:00 (725/3004): texlive-bibtexperllibs-svn47520-19. 2.2 MB/s | 13 kB 00:00 (726/3004): texlive-bibtopic-svn15878.1.1a-19.f 4.4 MB/s | 27 kB 00:00 (727/3004): texlive-bibtopicprefix-svn15878.1.1 3.5 MB/s | 19 kB 00:00 (728/3004): texlive-bibunits-svn15878.2.2-19.fc 3.7 MB/s | 20 kB 00:00 (729/3004): texlive-bidi-svn51377-19.fc31.noarc 25 MB/s | 156 kB 00:00 (730/3004): texlive-bigfoot-svn38248.2.1-19.fc3 6.4 MB/s | 32 kB 00:00 (731/3004): texlive-bigints-svn29803.0-19.fc31. 3.5 MB/s | 18 kB 00:00 (732/3004): texlive-binarytree-svn41777-19.fc31 23 MB/s | 461 kB 00:00 (733/3004): texlive-binomexp-svn15878.1.0-19.fc 3.8 MB/s | 19 kB 00:00 (734/3004): texlive-biochemistry-colors-svn4396 14 MB/s | 98 kB 00:00 (735/3004): texlive-biocon-svn15878.0-19.fc31.n 4.3 MB/s | 22 kB 00:00 (736/3004): texlive-biolett-bst-svn42217-19.fc3 4.4 MB/s | 23 kB 00:00 (737/3004): texlive-bitpattern-svn39073-19.fc31 3.7 MB/s | 22 kB 00:00 (738/3004): texlive-bitter-svn51086-19.fc31.noa 24 MB/s | 243 kB 00:00 (739/3004): texlive-bizcard-svn15878.1.1-19.fc3 4.0 MB/s | 20 kB 00:00 (740/3004): texlive-blacklettert1-svn15878.0-19 4.7 MB/s | 29 kB 00:00 (741/3004): texlive-biblatex-mla-svn42445-19.fc 142 kB/s | 33 kB 00:00 (742/3004): texlive-blindtext-svn25039.2.0-19.f 4.8 MB/s | 27 kB 00:00 (743/3004): texlive-blkarray-svn36406.0.07-19.f 5.6 MB/s | 36 kB 00:00 (744/3004): texlive-blochsphere-svn38388-19.fc3 3.8 MB/s | 23 kB 00:00 (745/3004): texlive-block-svn17209.0-19.fc31.no 3.2 MB/s | 15 kB 00:00 (746/3004): texlive-bloques-svn22490.1.0-19.fc3 3.4 MB/s | 21 kB 00:00 (747/3004): texlive-blowup-svn46213-19.fc31.noa 34 MB/s | 332 kB 00:00 (748/3004): texlive-blox-svn35014.2.5-19.fc31.n 2.4 MB/s | 19 kB 00:00 (749/3004): texlive-bodegraph-svn20047.1.4-19.f 5.6 MB/s | 35 kB 00:00 (750/3004): texlive-bnumexpr-svn49643-19.fc31.n 2.2 MB/s | 23 kB 00:00 (751/3004): texlive-bohr-svn37657.1.0-19.fc31.n 4.6 MB/s | 23 kB 00:00 (752/3004): texlive-boites-svn32235.1.1-19.fc31 4.2 MB/s | 23 kB 00:00 (753/3004): texlive-boisik-svn15878.0.5-19.fc31 27 MB/s | 257 kB 00:00 (754/3004): texlive-bold-extra-svn17076.0.1-19. 3.7 MB/s | 18 kB 00:00 (755/3004): texlive-boldtensors-svn15878.0-19.f 4.1 MB/s | 21 kB 00:00 (756/3004): texlive-bondgraph-svn21670.1.0-19.f 3.9 MB/s | 21 kB 00:00 (757/3004): texlive-bondgraphs-svn36605.1.0.1-1 4.5 MB/s | 22 kB 00:00 (758/3004): texlive-bookcover-svn46410-19.fc31. 3.9 MB/s | 23 kB 00:00 (759/3004): texlive-bookdb-svn37536.0.2-19.fc31 4.8 MB/s | 27 kB 00:00 (760/3004): texlive-bookest-svn15878.1.1-19.fc3 4.3 MB/s | 21 kB 00:00 (761/3004): texlive-bookhands-svn46480-19.fc31. 28 MB/s | 195 kB 00:00 (762/3004): texlive-bookman-svn31835.0-19.fc31. 33 MB/s | 342 kB 00:00 (763/3004): texlive-booklet-svn15878.0.7b-19.fc 124 kB/s | 23 kB 00:00 (764/3004): texlive-boolexpr-svn17830.3.14-19.f 3.7 MB/s | 20 kB 00:00 (765/3004): texlive-boondox-svn43344-19.fc31.no 19 MB/s | 226 kB 00:00 (766/3004): texlive-bophook-svn17062.0.02-19.fc 4.2 MB/s | 19 kB 00:00 (767/3004): texlive-bosisio-svn16989.0-19.fc31. 4.2 MB/s | 25 kB 00:00 (768/3004): texlive-boxedminipage-svn17087.2-19 2.9 MB/s | 15 kB 00:00 (769/3004): texlive-boxedminipage2e-svn36477.1. 3.5 MB/s | 21 kB 00:00 (770/3004): texlive-boxhandler-svn28031.1.30-19 4.1 MB/s | 23 kB 00:00 (771/3004): texlive-bpchem-svn45120-19.fc31.noa 3.2 MB/s | 20 kB 00:00 (772/3004): texlive-br-lex-svn44939-19.fc31.noa 4.3 MB/s | 22 kB 00:00 (773/3004): texlive-bracketkey-svn17129.1.0-19. 2.9 MB/s | 21 kB 00:00 (774/3004): texlive-braids-svn51048-19.fc31.noa 5.0 MB/s | 27 kB 00:00 (775/3004): texlive-braille-svn20655.0-19.fc31. 3.7 MB/s | 19 kB 00:00 (776/3004): texlive-braket-svn17127.0-19.fc31.n 3.1 MB/s | 16 kB 00:00 (777/3004): texlive-brandeis-dissertation-svn32 4.6 MB/s | 22 kB 00:00 (778/3004): texlive-brandeis-problemset-svn5099 23 MB/s | 166 kB 00:00 (779/3004): texlive-breakcites-svn21014-19.fc31 3.2 MB/s | 15 kB 00:00 (780/3004): texlive-breakurl-svn29901.1.40-19.f 3.7 MB/s | 20 kB 00:00 (781/3004): texlive-breqn-svn43071-19.fc31.noar 8.0 MB/s | 45 kB 00:00 (782/3004): texlive-bropd-svn35383.1.2-19.fc31. 3.7 MB/s | 21 kB 00:00 (783/3004): texlive-brushscr-svn28363.0-19.fc31 8.6 MB/s | 69 kB 00:00 (784/3004): texlive-bullcntr-svn15878.0.04-19.f 4.0 MB/s | 22 kB 00:00 (785/3004): texlive-bussproofs-extra-svn51299-1 35 MB/s | 241 kB 00:00 (786/3004): texlive-bussproofs-svn27488.1.1-19. 5.3 MB/s | 28 kB 00:00 (787/3004): texlive-bxcalc-svn46482-19.fc31.noa 35 MB/s | 313 kB 00:00 (788/3004): texlive-booktabs-svn40846-19.fc31.n 61 kB/s | 21 kB 00:00 (789/3004): texlive-bxdpx-beamer-svn41813-19.fc 2.7 MB/s | 16 kB 00:00 (790/3004): texlive-bxdvidriver-svn43219-19.fc3 2.7 MB/s | 17 kB 00:00 (791/3004): texlive-bxeepic-svn30559.0.2-19.fc3 3.9 MB/s | 21 kB 00:00 (792/3004): texlive-bxenclose-svn40213-19.fc31. 3.2 MB/s | 16 kB 00:00 (793/3004): texlive-bxnewfont-svn44173-19.fc31. 3.5 MB/s | 19 kB 00:00 (794/3004): texlive-bxpapersize-svn45501-19.fc3 3.5 MB/s | 19 kB 00:00 (795/3004): texlive-bxpdfver-svn43201-19.fc31.n 3.5 MB/s | 20 kB 00:00 (796/3004): texlive-bytefield-svn45339-19.fc31. 4.6 MB/s | 22 kB 00:00 (797/3004): texlive-bxtexlogo-svn47230-19.fc31. 29 MB/s | 435 kB 00:00 (798/3004): texlive-cabin-svn50936-19.fc31.noar 83 MB/s | 2.1 MB 00:00 (799/3004): texlive-cachepic-20190410-8.fc31.no 15 MB/s | 177 kB 00:00 (800/3004): texlive-calcage-svn27725.0.90-19.fc 4.2 MB/s | 21 kB 00:00 (801/3004): texlive-caladea-svn34991.0-19.fc31. 30 MB/s | 380 kB 00:00 (802/3004): texlive-calctab-svn15878.v0.6.1-19. 3.5 MB/s | 22 kB 00:00 (803/3004): texlive-bibtex-20190410-8.fc31.x86_ 736 kB/s | 452 kB 00:00 (804/3004): texlive-calculation-svn35973.1.0-19 4.0 MB/s | 23 kB 00:00 (805/3004): texlive-calculator-svn33041.2.0-19. 4.8 MB/s | 29 kB 00:00 (806/3004): texlive-calligra-svn15878.0-19.fc31 10 MB/s | 60 kB 00:00 (807/3004): texlive-calligra-type1-svn24302.001 12 MB/s | 73 kB 00:00 (808/3004): texlive-callouts-svn44899-19.fc31.n 28 MB/s | 217 kB 00:00 (809/3004): texlive-calrsfs-svn17125.0-19.fc31. 2.3 MB/s | 15 kB 00:00 (810/3004): texlive-cals-svn43003-19.fc31.noarc 4.7 MB/s | 25 kB 00:00 (811/3004): texlive-calxxxx-yyyy-svn49554-19.fc 5.3 MB/s | 26 kB 00:00 (812/3004): texlive-cancel-svn32508.2.2-19.fc31 3.2 MB/s | 17 kB 00:00 (813/3004): texlive-canoniclayout-svn24523.0.4- 3.6 MB/s | 22 kB 00:00 (814/3004): texlive-capt-of-svn29803.0-19.fc31. 2.2 MB/s | 18 kB 00:00 (815/3004): texlive-captcont-svn15878.2.0-19.fc 2.6 MB/s | 19 kB 00:00 (816/3004): texlive-cantarell-svn51459-19.fc31. 63 MB/s | 1.3 MB 00:00 (817/3004): texlive-captdef-svn17353.0-19.fc31. 1.7 MB/s | 18 kB 00:00 (818/3004): texlive-caption-svn47968-19.fc31.no 6.6 MB/s | 54 kB 00:00 (819/3004): texlive-carbohydrates-svn39000-19.f 4.6 MB/s | 25 kB 00:00 (820/3004): texlive-carlisle-svn47876-19.fc31.n 5.3 MB/s | 29 kB 00:00 (821/3004): texlive-carolmin-ps-svn15878.0-19.f 13 MB/s | 122 kB 00:00 (822/3004): texlive-cascade-svn48200-19.fc31.no 7.3 MB/s | 91 kB 00:00 (823/3004): texlive-carlito-svn35002.0-19.fc31. 83 MB/s | 2.8 MB 00:00 (824/3004): texlive-cascadilla-svn25144.1.8.2-1 1.5 MB/s | 27 kB 00:00 (825/3004): texlive-cases-svn17123.2.5-19.fc31. 1.2 MB/s | 18 kB 00:00 (826/3004): texlive-casyl-svn15878.2.0-19.fc31. 4.0 MB/s | 21 kB 00:00 (827/3004): texlive-catcodes-svn38859-19.fc31.n 5.0 MB/s | 26 kB 00:00 (828/3004): texlive-catechis-svn49061-19.fc31.n 3.2 MB/s | 19 kB 00:00 (829/3004): texlive-catchfilebetweentags-svn214 2.0 MB/s | 22 kB 00:00 (830/3004): texlive-catoptions-svn35069.0.2.7h- 8.7 MB/s | 58 kB 00:00 (831/3004): texlive-cbfonts-fd-svn44917-19.fc31 4.8 MB/s | 24 kB 00:00 (832/3004): texlive-cbcoptic-svn16666.0.2-19.fc 13 MB/s | 125 kB 00:00 (833/3004): texlive-ccaption-svn23443.3.2c-19.f 3.2 MB/s | 25 kB 00:00 (834/3004): texlive-ccfonts-svn17122.1.1-19.fc3 3.6 MB/s | 19 kB 00:00 (835/3004): texlive-ccicons-svn45646-19.fc31.no 6.7 MB/s | 37 kB 00:00 (836/3004): texlive-cclicenses-svn15878.0-19.fc 3.1 MB/s | 18 kB 00:00 (837/3004): texlive-cd-cover-svn17121.1.0-19.fc 3.9 MB/s | 22 kB 00:00 (838/3004): texlive-cdpbundl-svn46613-19.fc31.n 3.8 MB/s | 37 kB 00:00 (839/3004): texlive-cell-svn42428-19.fc31.noarc 2.7 MB/s | 25 kB 00:00 (840/3004): texlive-cd-svn34452.1.4-19.fc31.noa 1.7 MB/s | 22 kB 00:00 (841/3004): texlive-cellspace-svn50374-19.fc31. 3.8 MB/s | 19 kB 00:00 (842/3004): texlive-celtic-svn39797-19.fc31.noa 3.6 MB/s | 23 kB 00:00 (843/3004): texlive-cellprops-svn48227-19.fc31. 45 MB/s | 576 kB 00:00 (844/3004): texlive-censor-svn49168-19.fc31.noa 3.0 MB/s | 22 kB 00:00 (845/3004): texlive-centeredline-svn50971-19.fc 3.9 MB/s | 22 kB 00:00 (846/3004): texlive-cfr-initials-svn36728.1.01- 4.9 MB/s | 26 kB 00:00 (847/3004): texlive-cesenaexam-svn44960-19.fc31 36 MB/s | 523 kB 00:00 (848/3004): texlive-cfr-lm-svn36195.1.5-19.fc31 22 MB/s | 314 kB 00:00 (849/3004): texlive-changebar-svn46919-19.fc31. 2.4 MB/s | 24 kB 00:00 (850/3004): texlive-changelayout-svn16094.1.0-1 3.4 MB/s | 21 kB 00:00 (851/3004): texlive-changelog-svn51574-19.fc31. 11 MB/s | 81 kB 00:00 (852/3004): texlive-changepage-svn15878.1.0c-19 3.0 MB/s | 23 kB 00:00 (853/3004): texlive-changes-svn51705-19.fc31.no 6.5 MB/s | 28 kB 00:00 (854/3004): texlive-chappg-svn15878.2.1b-19.fc3 3.4 MB/s | 19 kB 00:00 (855/3004): texlive-charter-svn15878.0-19.fc31. 21 MB/s | 201 kB 00:00 (856/3004): texlive-chbibref-svn17120.1.0-19.fc 2.0 MB/s | 18 kB 00:00 (857/3004): texlive-checkend-svn51475-19.fc31.n 2.9 MB/s | 16 kB 00:00 (858/3004): texlive-cheatsheet-svn45069-19.fc31 36 MB/s | 410 kB 00:00 (859/3004): texlive-chem-journal-svn15878.0-19. 3.9 MB/s | 24 kB 00:00 (860/3004): texlive-chemarrow-svn17146.0.9-19.f 4.0 MB/s | 21 kB 00:00 (861/3004): texlive-chembst-svn15878.0.2.5-19.f 4.5 MB/s | 24 kB 00:00 (862/3004): texlive-chemcompounds-svn15878.0-19 3.7 MB/s | 19 kB 00:00 (863/3004): texlive-chemcono-svn17119.1.3-19.fc 4.2 MB/s | 25 kB 00:00 (864/3004): texlive-chemexec-svn21632.1.0-19.fc 4.5 MB/s | 27 kB 00:00 (865/3004): texlive-chemfig-svn51176-19.fc31.no 7.7 MB/s | 44 kB 00:00 (866/3004): texlive-chemformula-svn43583-19.fc3 6.2 MB/s | 38 kB 00:00 (867/3004): texlive-chemmacros-svn45164-19.fc31 12 MB/s | 65 kB 00:00 (868/3004): texlive-chemgreek-svn42758-19.fc31. 2.3 MB/s | 27 kB 00:00 (869/3004): texlive-chemnum-svn40522-19.fc31.no 6.4 MB/s | 31 kB 00:00 (870/3004): texlive-chemschemex-svn46723-19.fc3 4.5 MB/s | 25 kB 00:00 (871/3004): texlive-chemsec-svn46972-19.fc31.no 17 MB/s | 268 kB 00:00 (872/3004): texlive-chemstyle-svn31096.2.0m-19. 1.7 MB/s | 28 kB 00:00 (873/3004): texlive-cherokee-svn21046.0-19.fc31 2.7 MB/s | 19 kB 00:00 (874/3004): texlive-chessfss-svn19440.1.2a-19.f 4.5 MB/s | 33 kB 00:00 (875/3004): texlive-chet-svn45081-19.fc31.noarc 4.7 MB/s | 25 kB 00:00 (876/3004): texlive-chextras-svn27118.1.01-19.f 4.2 MB/s | 26 kB 00:00 (877/3004): texlive-chicago-annote-svn15878.0-1 3.8 MB/s | 25 kB 00:00 (878/3004): texlive-chicago-svn15878.0-19.fc31. 3.9 MB/s | 24 kB 00:00 (879/3004): texlive-childdoc-svn49543-19.fc31.n 22 MB/s | 275 kB 00:00 (880/3004): texlive-chivo-svn51689-19.fc31.noar 58 MB/s | 2.5 MB 00:00 (881/3004): texlive-chkfloat-svn27473.0.1-19.fc 650 kB/s | 21 kB 00:00 (882/3004): texlive-chngcntr-svn47577-19.fc31.n 3.9 MB/s | 19 kB 00:00 (883/3004): texlive-chletter-svn20060.2.0-19.fc 2.9 MB/s | 21 kB 00:00 (884/3004): texlive-chronology-svn37934.1.1.1-1 4.4 MB/s | 21 kB 00:00 (885/3004): texlive-chs-physics-report-svn48549 27 MB/s | 204 kB 00:00 (886/3004): texlive-chscite-svn28552.2.9999-19. 4.7 MB/s | 25 kB 00:00 (887/3004): texlive-circ-svn15878.1.1-19.fc31.n 8.9 MB/s | 45 kB 00:00 (888/3004): texlive-cinzel-svn51101-19.fc31.noa 48 MB/s | 577 kB 00:00 (889/3004): texlive-circuitikz-svn51634-19.fc31 18 MB/s | 101 kB 00:00 (890/3004): texlive-cite-svn36428.5.5-19.fc31.n 6.1 MB/s | 34 kB 00:00 (891/3004): texlive-citeall-svn45975-19.fc31.no 4.5 MB/s | 22 kB 00:00 (892/3004): texlive-citeref-svn47407-19.fc31.no 11 MB/s | 81 kB 00:00 (893/3004): texlive-cjk-svn36951.4.8.4-19.fc31. 18 MB/s | 111 kB 00:00 (894/3004): texlive-cje-svn46721-19.fc31.noarch 38 MB/s | 664 kB 00:00 (895/3004): texlive-classics-svn29018.0.1-19.fc 4.0 MB/s | 21 kB 00:00 (896/3004): texlive-classicthesis-svn48041-19.f 7.0 MB/s | 29 kB 00:00 (897/3004): texlive-cleanthesis-svn51472-19.fc3 5.1 MB/s | 27 kB 00:00 (898/3004): texlive-classpack-svn33101.0.77-19. 2.3 MB/s | 21 kB 00:00 (899/3004): texlive-clearsans-svn34405.0-19.fc3 83 MB/s | 1.4 MB 00:00 (900/3004): texlive-cleveref-svn47525-19.fc31.n 7.7 MB/s | 46 kB 00:00 (901/3004): texlive-clipboard-svn47747-19.fc31. 4.0 MB/s | 21 kB 00:00 (902/3004): texlive-clock-svn15878.0-19.fc31.no 3.4 MB/s | 17 kB 00:00 (903/3004): texlive-cloze-svn41531-19.fc31.noar 4.4 MB/s | 24 kB 00:00 (904/3004): texlive-clrdblpg-svn47511-19.fc31.n 25 MB/s | 297 kB 00:00 (905/3004): texlive-clrscode-svn51136-19.fc31.n 3.7 MB/s | 21 kB 00:00 (906/3004): texlive-clrscode3e-svn51137-19.fc31 3.3 MB/s | 22 kB 00:00 (907/3004): texlive-clrstrip-svn51307-19.fc31.n 46 MB/s | 400 kB 00:00 (908/3004): texlive-cm-lgc-svn28250.0.5-19.fc31 84 MB/s | 4.8 MB 00:00 (909/3004): texlive-chapterfolder-svn15878.2.0. 54 kB/s | 19 kB 00:00 (910/3004): texlive-cm-mf-extra-bold-svn45796-1 1.0 MB/s | 26 kB 00:00 (911/3004): texlive-cm-svn49028-19.fc31.noarch. 19 MB/s | 292 kB 00:00 (912/3004): texlive-clefval-svn16549.0-19.fc31. 55 kB/s | 18 kB 00:00 (913/3004): texlive-cm-unicode-svn19445.0.7.0-1 60 MB/s | 14 MB 00:00 (914/3004): texlive-cmap-svn42428-19.fc31.noarc 136 kB/s | 25 kB 00:00 (915/3004): texlive-cmbright-svn21107.8.1-19.fc 8.7 MB/s | 157 kB 00:00 (916/3004): texlive-cmdstring-svn15878.1.1-19.f 1.2 MB/s | 18 kB 00:00 (917/3004): texlive-cm-super-svn15878.0-19.fc31 67 MB/s | 62 MB 00:00 (918/3004): texlive-cmdtrack-svn28910-19.fc31.n 39 kB/s | 20 kB 00:00 (919/3004): texlive-cmexb-svn45677-19.fc31.noar 90 kB/s | 46 kB 00:00 (920/3004): texlive-cmextra-svn42428-19.fc31.no 8.0 MB/s | 44 kB 00:00 (921/3004): texlive-cmpica-svn15878.0-19.fc31.n 4.0 MB/s | 22 kB 00:00 (922/3004): texlive-cmpj-svn51661-19.fc31.noarc 9.9 MB/s | 70 kB 00:00 (923/3004): texlive-cmsd-svn18787.0-19.fc31.noa 3.1 MB/s | 19 kB 00:00 (924/3004): texlive-cmll-svn17964.0-19.fc31.noa 23 MB/s | 353 kB 00:00 (925/3004): texlive-cmtiup-svn39728-19.fc31.noa 15 MB/s | 83 kB 00:00 (926/3004): texlive-cnltx-svn38138.0.13-19.fc31 9.9 MB/s | 57 kB 00:00 (927/3004): texlive-cntformats-svn34668.0.7-19. 2.4 MB/s | 22 kB 00:00 (928/3004): texlive-cmsrb-svn50531-19.fc31.noar 48 MB/s | 2.7 MB 00:00 (929/3004): texlive-cns-svn45677-19.fc31.noarch 32 MB/s | 3.4 MB 00:00 (930/3004): texlive-cntperchap-svn37572.0.3-19. 221 kB/s | 24 kB 00:00 (931/3004): texlive-codedoc-svn17630.0.3-19.fc3 4.5 MB/s | 27 kB 00:00 (932/3004): texlive-codeanatomy-svn51627-19.fc3 20 MB/s | 272 kB 00:00 (933/3004): texlive-codepage-svn51502-19.fc31.n 2.8 MB/s | 26 kB 00:00 (934/3004): texlive-cochineal-svn51460-19.fc31. 51 MB/s | 2.6 MB 00:00 (935/3004): texlive-codesection-svn34481.0.1-19 378 kB/s | 22 kB 00:00 (936/3004): texlive-collcell-svn21539.0.5-19.fc 915 kB/s | 22 kB 00:00 (937/3004): texlive-coelacanth-svn45270-19.fc31 42 MB/s | 7.0 MB 00:00 (938/3004): texlive-collectbox-svn26557.0.4b-19 196 kB/s | 23 kB 00:00 (939/3004): texlive-collection-basic-svn51558-1 125 kB/s | 14 kB 00:00 (940/3004): texlive-collection-bibtexextra-svn5 3.3 MB/s | 17 kB 00:00 (941/3004): texlive-collection-fontsrecommended 2.4 MB/s | 14 kB 00:00 (942/3004): texlive-collection-fontsextra-svn51 2.6 MB/s | 19 kB 00:00 (943/3004): texlive-collection-latex-svn41614-1 2.8 MB/s | 14 kB 00:00 (944/3004): texlive-collection-latexextra-svn51 7.8 MB/s | 41 kB 00:00 (945/3004): texlive-collection-latexrecommended 2.6 MB/s | 15 kB 00:00 (946/3004): texlive-collection-mathscience-svn5 3.3 MB/s | 18 kB 00:00 (947/3004): texlive-collection-pictures-svn5105 2.8 MB/s | 17 kB 00:00 (948/3004): texlive-collref-svn46358-19.fc31.no 2.8 MB/s | 21 kB 00:00 (949/3004): texlive-collection-publishers-svn51 1.8 MB/s | 18 kB 00:00 (950/3004): texlive-colordoc-svn18270.0-19.fc31 3.7 MB/s | 19 kB 00:00 (951/3004): texlive-colophon-svn47913-19.fc31.n 28 MB/s | 383 kB 00:00 (952/3004): texlive-colorinfo-svn15878.0.3c-19. 1.9 MB/s | 19 kB 00:00 (953/3004): texlive-coloring-svn41042-19.fc31.n 3.7 MB/s | 21 kB 00:00 (954/3004): texlive-colorprofiles-svn49086-19.f 18 MB/s | 152 kB 00:00 (955/3004): texlive-colorspace-svn50585-19.fc31 3.1 MB/s | 26 kB 00:00 (956/3004): texlive-colortab-svn22155.1.0-19.fc 2.1 MB/s | 25 kB 00:00 (957/3004): texlive-colortbl-svn49404-19.fc31.n 2.9 MB/s | 20 kB 00:00 (958/3004): texlive-colorweb-svn31490.1.3-19.fc 4.6 MB/s | 23 kB 00:00 (959/3004): texlive-colorwav-svn15878.1.0-19.fc 3.7 MB/s | 24 kB 00:00 (960/3004): texlive-colourchange-svn21741.1.22- 4.1 MB/s | 27 kB 00:00 (961/3004): texlive-combelow-svn18462.0.99f-19. 3.5 MB/s | 21 kB 00:00 (962/3004): texlive-combine-svn19361.0.7a-19.fc 5.3 MB/s | 30 kB 00:00 (963/3004): texlive-combinedgraphics-svn27198.0 3.7 MB/s | 22 kB 00:00 (964/3004): texlive-comma-svn18259.1.2-19.fc31. 3.1 MB/s | 18 kB 00:00 (965/3004): texlive-comfortaa-svn51461-19.fc31. 39 MB/s | 624 kB 00:00 (966/3004): texlive-comicneue-svn42851-19.fc31. 43 MB/s | 818 kB 00:00 (967/3004): texlive-commado-svn38875-19.fc31.no 1.9 MB/s | 23 kB 00:00 (968/3004): texlive-commath-svn15878.0.3-19.fc3 2.8 MB/s | 19 kB 00:00 (969/3004): texlive-comment-svn41927-19.fc31.no 3.4 MB/s | 23 kB 00:00 (970/3004): texlive-commedit-svn50116-19.fc31.n 50 MB/s | 606 kB 00:00 (971/3004): texlive-compactbib-svn15878.0-19.fc 3.7 MB/s | 19 kB 00:00 (972/3004): texlive-competences-svn47573-19.fc3 3.7 MB/s | 23 kB 00:00 (973/3004): texlive-complexity-svn45322-19.fc31 4.0 MB/s | 23 kB 00:00 (974/3004): texlive-computational-complexity-sv 7.7 MB/s | 53 kB 00:00 (975/3004): texlive-concepts-svn29020.0.0.5_r1- 3.4 MB/s | 24 kB 00:00 (976/3004): texlive-concmath-fonts-svn17218.0-1 5.1 MB/s | 42 kB 00:00 (977/3004): texlive-concmath-svn17219.0-19.fc31 3.6 MB/s | 20 kB 00:00 (978/3004): texlive-concprog-svn18791.0-19.fc31 3.8 MB/s | 22 kB 00:00 (979/3004): texlive-concrete-svn15878.0-19.fc31 5.1 MB/s | 28 kB 00:00 (980/3004): texlive-constants-svn15878.1.0-19.f 4.0 MB/s | 19 kB 00:00 (981/3004): texlive-confproc-svn29349.0.8-19.fc 4.9 MB/s | 35 kB 00:00 (982/3004): texlive-conteq-svn37868.0.1.1-19.fc 4.1 MB/s | 22 kB 00:00 (983/3004): texlive-continue-svn49449-19.fc31.n 4.1 MB/s | 23 kB 00:00 (984/3004): texlive-contour-svn18950.2.14-19.fc 3.5 MB/s | 20 kB 00:00 (985/3004): texlive-contracard-svn50217-19.fc31 4.7 MB/s | 25 kB 00:00 (986/3004): texlive-cooking-svn15878.0.9b-19.fc 3.0 MB/s | 20 kB 00:00 (987/3004): texlive-conv-xkv-svn43558-19.fc31.n 11 MB/s | 99 kB 00:00 (988/3004): texlive-cookingsymbols-svn35929.1.1 3.8 MB/s | 21 kB 00:00 (989/3004): texlive-cooking-units-svn47943-19.f 50 MB/s | 673 kB 00:00 (990/3004): texlive-cool-svn15878.1.35-19.fc31. 3.9 MB/s | 33 kB 00:00 (991/3004): texlive-coolstr-svn15878.2.2-19.fc3 4.4 MB/s | 25 kB 00:00 (992/3004): texlive-coolthms-svn29062.1.2-19.fc 3.3 MB/s | 20 kB 00:00 (993/3004): texlive-cooltooltips-svn15878.1.0-1 3.5 MB/s | 19 kB 00:00 (994/3004): texlive-coordsys-svn15878.1.4-19.fc 4.2 MB/s | 22 kB 00:00 (995/3004): texlive-copyrightbox-svn24829.0.1-1 3.0 MB/s | 18 kB 00:00 (996/3004): texlive-copyedit-svn37928.1.6-19.fc 3.1 MB/s | 23 kB 00:00 (997/3004): texlive-correctmathalign-svn44131-1 44 MB/s | 558 kB 00:00 (998/3004): texlive-coseoul-svn23862.1.1-19.fc3 3.9 MB/s | 21 kB 00:00 (999/3004): texlive-countriesofeurope-svn49525- 29 MB/s | 241 kB 00:00 (1000/3004): texlive-cormorantgaramond-svn51443 72 MB/s | 4.5 MB 00:00 (1001/3004): texlive-counttexruns-svn27576.1.00 786 kB/s | 21 kB 00:00 (1002/3004): texlive-courier-scaled-svn24940.0- 3.8 MB/s | 22 kB 00:00 (1003/3004): texlive-courseoutline-svn15878.1.0 2.7 MB/s | 17 kB 00:00 (1004/3004): texlive-courier-svn35058.0-19.fc31 34 MB/s | 512 kB 00:00 (1005/3004): texlive-coursepaper-svn15878.2.0-1 3.7 MB/s | 18 kB 00:00 (1006/3004): texlive-coverpage-svn15878.1.01-19 4.2 MB/s | 22 kB 00:00 (1007/3004): texlive-cprotect-svn21209.1.0e-19. 4.8 MB/s | 22 kB 00:00 (1008/3004): texlive-cquthesis-svn46863-19.fc31 6.6 MB/s | 36 kB 00:00 (1009/3004): texlive-crbox-svn29803.0.1-19.fc31 3.5 MB/s | 18 kB 00:00 (1010/3004): texlive-crimsonpro-svn49568-19.fc3 82 MB/s | 3.3 MB 00:00 (1011/3004): texlive-crimson-svn43525-19.fc31.n 28 MB/s | 1.4 MB 00:00 (1012/3004): texlive-coollist-svn15878.1.4-19.f 150 kB/s | 25 kB 00:00 (1013/3004): texlive-crop-svn15878.1.5-19.fc31. 2.4 MB/s | 22 kB 00:00 (1014/3004): texlive-crossreference-svn15878.0- 3.3 MB/s | 18 kB 00:00 (1015/3004): texlive-crossreftools-svn49589-19. 35 MB/s | 369 kB 00:00 (1016/3004): texlive-crossrefware-20190410-8.fc 15 MB/s | 184 kB 00:00 (1017/3004): texlive-cryptocode-svn49131-19.fc3 3.8 MB/s | 34 kB 00:00 (1018/3004): texlive-cryst-svn15878.0-19.fc31.n 8.8 MB/s | 55 kB 00:00 (1019/3004): texlive-csquotes-svn51091-19.fc31. 6.9 MB/s | 38 kB 00:00 (1020/3004): texlive-csvsimple-svn51010-19.fc31 4.5 MB/s | 25 kB 00:00 (1021/3004): texlive-ctex-svn51262-19.fc31.noar 13 MB/s | 72 kB 00:00 (1022/3004): texlive-css-colors-svn43961-19.fc3 47 MB/s | 2.0 MB 00:00 (1023/3004): texlive-cuisine-svn34453.0.7-19.fc 3.7 MB/s | 20 kB 00:00 (1024/3004): texlive-cuprum-svn49909-19.fc31.no 21 MB/s | 156 kB 00:00 (1025/3004): texlive-currency-svn44489-19.fc31. 42 MB/s | 710 kB 00:00 (1026/3004): texlive-currfile-svn40725-19.fc31. 5.0 MB/s | 24 kB 00:00 (1027/3004): texlive-currvita-svn15878.0-19.fc3 3.8 MB/s | 21 kB 00:00 (1028/3004): texlive-curve-svn20745.1.16-19.fc3 3.9 MB/s | 24 kB 00:00 (1029/3004): texlive-curve2e-svn50663-19.fc31.n 6.0 MB/s | 28 kB 00:00 (1030/3004): texlive-curves-svn45255-19.fc31.no 4.2 MB/s | 22 kB 00:00 (1031/3004): texlive-custom-bib-svn24729.4.33-1 20 MB/s | 103 kB 00:00 (1032/3004): texlive-cutwin-svn29803.0.1-19.fc3 4.4 MB/s | 22 kB 00:00 (1033/3004): texlive-cv-svn15878.0-19.fc31.noar 3.9 MB/s | 19 kB 00:00 (1034/3004): texlive-cv4tw-svn34577.0.2-19.fc31 3.6 MB/s | 20 kB 00:00 (1035/3004): texlive-cweb-latex-svn28878.0-19.f 9.0 MB/s | 55 kB 00:00 (1036/3004): texlive-cyber-svn46776-19.fc31.noa 3.4 MB/s | 18 kB 00:00 (1037/3004): texlive-cybercic-svn37659.2.1-19.f 3.4 MB/s | 17 kB 00:00 (1038/3004): texlive-cyklop-svn18651.0.915-19.f 32 MB/s | 353 kB 00:00 (1039/3004): texlive-dancers-svn13293.0-19.fc31 3.3 MB/s | 18 kB 00:00 (1040/3004): texlive-dantelogo-svn38599-19.fc31 6.9 MB/s | 40 kB 00:00 (1041/3004): texlive-ctable-svn38672-19.fc31.no 125 kB/s | 21 kB 00:00 (1042/3004): texlive-dashbox-svn23425.1.14-19.f 3.5 MB/s | 18 kB 00:00 (1043/3004): texlive-dashrule-svn29579.1.3-19.f 3.1 MB/s | 18 kB 00:00 (1044/3004): texlive-dashundergaps-svn49198-19. 3.6 MB/s | 20 kB 00:00 (1045/3004): texlive-dataref-svn42883-19.fc31.n 5.6 MB/s | 26 kB 00:00 (1046/3004): texlive-dateiliste-svn27974.0.6-19 4.4 MB/s | 21 kB 00:00 (1047/3004): texlive-datatool-svn49344-19.fc31. 6.7 MB/s | 77 kB 00:00 (1048/3004): texlive-datenumber-svn18951.0.02-1 3.9 MB/s | 21 kB 00:00 (1049/3004): texlive-datetime-svn36650.2.60-19. 8.5 MB/s | 41 kB 00:00 (1050/3004): texlive-datetime2-bahasai-svn46287 4.0 MB/s | 22 kB 00:00 (1051/3004): texlive-datetime2-basque-svn47064- 3.9 MB/s | 22 kB 00:00 (1052/3004): texlive-datetime2-breton-svn47030- 4.3 MB/s | 23 kB 00:00 (1053/3004): texlive-datetime2-bulgarian-svn470 4.5 MB/s | 23 kB 00:00 (1054/3004): texlive-datetime2-catalan-svn47032 4.5 MB/s | 23 kB 00:00 (1055/3004): texlive-datetime2-croatian-svn3668 3.5 MB/s | 23 kB 00:00 (1056/3004): texlive-datetime2-czech-svn47033-1 3.6 MB/s | 23 kB 00:00 (1057/3004): texlive-datetime2-danish-svn47034- 4.5 MB/s | 23 kB 00:00 (1058/3004): texlive-datetime2-dutch-svn47355-1 4.4 MB/s | 22 kB 00:00 (1059/3004): texlive-datetime2-en-fulltext-svn3 4.0 MB/s | 23 kB 00:00 (1060/3004): texlive-datetime2-english-svn39991 4.9 MB/s | 28 kB 00:00 (1061/3004): texlive-datetime2-esperanto-svn473 4.2 MB/s | 23 kB 00:00 (1062/3004): texlive-datetime2-estonian-svn4756 4.1 MB/s | 23 kB 00:00 (1063/3004): texlive-datetime2-finnish-svn47047 4.5 MB/s | 23 kB 00:00 (1064/3004): texlive-datetime2-french-svn43742- 4.3 MB/s | 23 kB 00:00 (1065/3004): texlive-datetime2-galician-svn4763 3.9 MB/s | 23 kB 00:00 (1066/3004): texlive-datetime2-german-svn45800- 4.1 MB/s | 24 kB 00:00 (1067/3004): texlive-datetime2-greek-svn47533-1 4.0 MB/s | 23 kB 00:00 (1068/3004): texlive-datetime2-hebrew-svn47534- 3.6 MB/s | 22 kB 00:00 (1069/3004): texlive-datetime2-icelandic-svn475 4.3 MB/s | 23 kB 00:00 (1070/3004): texlive-datetime2-irish-svn47632-1 4.2 MB/s | 23 kB 00:00 (1071/3004): texlive-datetime2-it-fulltext-svn3 4.4 MB/s | 24 kB 00:00 (1072/3004): texlive-datetime2-italian-svn37146 4.0 MB/s | 23 kB 00:00 (1073/3004): texlive-datetime2-latin-svn47748-1 4.3 MB/s | 22 kB 00:00 (1074/3004): texlive-datetime2-lsorbian-svn4774 4.2 MB/s | 23 kB 00:00 (1075/3004): texlive-datetime2-magyar-svn48266- 2.7 MB/s | 23 kB 00:00 (1076/3004): texlive-datetime2-norsk-svn48267-1 2.7 MB/s | 23 kB 00:00 (1077/3004): texlive-datetime2-polish-svn36692. 4.5 MB/s | 23 kB 00:00 (1078/3004): texlive-datetime2-portuges-svn3667 4.2 MB/s | 23 kB 00:00 (1079/3004): texlive-datetime2-romanian-svn4374 4.7 MB/s | 23 kB 00:00 (1080/3004): texlive-datetime2-samin-svn49346-1 4.8 MB/s | 23 kB 00:00 (1081/3004): texlive-datetime2-scottish-svn3662 4.8 MB/s | 23 kB 00:00 (1082/3004): texlive-ctib-svn15878.0-19.fc31.no 519 kB/s | 151 kB 00:00 (1083/3004): texlive-datetime2-serbian-svn36699 3.4 MB/s | 23 kB 00:00 (1084/3004): texlive-datetime2-slovak-svn36700. 3.8 MB/s | 23 kB 00:00 (1085/3004): texlive-datetime2-slovene-svn36700 3.6 MB/s | 22 kB 00:00 (1086/3004): texlive-datetime2-spanish-svn45785 4.0 MB/s | 23 kB 00:00 (1087/3004): texlive-datetime2-svn48236-19.fc31 4.8 MB/s | 29 kB 00:00 (1088/3004): texlive-datetime2-swedish-svn36700 3.5 MB/s | 23 kB 00:00 (1089/3004): texlive-datetime2-turkish-svn36700 3.7 MB/s | 23 kB 00:00 (1090/3004): texlive-datetime2-ukrainian-svn475 4.7 MB/s | 24 kB 00:00 (1091/3004): texlive-datetime2-usorbian-svn3670 3.0 MB/s | 23 kB 00:00 (1092/3004): texlive-datetime2-welsh-svn36636.1 3.8 MB/s | 23 kB 00:00 (1093/3004): texlive-dblfloatfix-svn28983.1.0a- 3.4 MB/s | 22 kB 00:00 (1094/3004): texlive-dccpaper-svn50294-19.fc31. 7.9 MB/s | 46 kB 00:00 (1095/3004): texlive-dcpic-svn30206.5.0.0-19.fc 7.6 MB/s | 34 kB 00:00 (1096/3004): texlive-decimal-svn23374.0-19.fc31 4.1 MB/s | 18 kB 00:00 (1097/3004): texlive-decorule-svn23487.0.6-19.f 3.4 MB/s | 21 kB 00:00 (1098/3004): texlive-dejavu-otf-svn45991-19.fc3 36 MB/s | 347 kB 00:00 (1099/3004): texlive-dejavu-svn31771.2.34-19.fc 77 MB/s | 11 MB 00:00 (1100/3004): texlive-delim-svn23974.1.0-19.fc31 4.0 MB/s | 19 kB 00:00 (1101/3004): texlive-delimseasy-svn39589-19.fc3 5.0 MB/s | 25 kB 00:00 (1102/3004): texlive-datetime2-russian-svn49345 97 kB/s | 23 kB 00:00 (1103/3004): texlive-delimset-svn49544-19.fc31. 30 MB/s | 332 kB 00:00 (1104/3004): texlive-dehyph-svn48599-19.fc31.no 397 kB/s | 69 kB 00:00 (1105/3004): texlive-delimtxt-svn16549.0-19.fc3 3.6 MB/s | 19 kB 00:00 (1106/3004): texlive-denisbdoc-svn42829-19.fc31 6.1 MB/s | 33 kB 00:00 (1107/3004): texlive-dhua-svn24035.0.11-19.fc31 5.0 MB/s | 24 kB 00:00 (1108/3004): texlive-derivative-svn51696-19.fc3 24 MB/s | 208 kB 00:00 (1109/3004): texlive-diagbox-svn42843-19.fc31.n 2.8 MB/s | 22 kB 00:00 (1110/3004): texlive-diagmac2-svn15878.2.1-19.f 4.6 MB/s | 28 kB 00:00 (1111/3004): texlive-diagnose-svn19387.0.2-19.f 3.4 MB/s | 19 kB 00:00 (1112/3004): texlive-dice-svn28501.0-19.fc31.no 4.4 MB/s | 20 kB 00:00 (1113/3004): texlive-dichokey-svn17192.0-19.fc3 2.9 MB/s | 16 kB 00:00 (1114/3004): texlive-dialogl-svn28946.0-19.fc31 4.9 MB/s | 39 kB 00:00 (1115/3004): texlive-dictsym-svn20031.0-19.fc31 8.8 MB/s | 51 kB 00:00 (1116/3004): texlive-digiconfigs-svn15878.0.5-1 2.8 MB/s | 19 kB 00:00 (1117/3004): texlive-diffcoeff-svn50320-19.fc31 46 MB/s | 626 kB 00:00 (1118/3004): texlive-dijkstra-svn45256-19.fc31. 32 MB/s | 391 kB 00:00 (1119/3004): texlive-din1505-svn19441.0-19.fc31 4.1 MB/s | 30 kB 00:00 (1120/3004): texlive-dinbrief-svn15878.0-19.fc3 5.9 MB/s | 30 kB 00:00 (1121/3004): texlive-dingbat-svn27918.1.0-19.fc 4.5 MB/s | 27 kB 00:00 (1122/3004): texlive-directory-svn15878.1.20-19 4.7 MB/s | 30 kB 00:00 (1123/3004): texlive-dirtree-svn42428-19.fc31.n 3.3 MB/s | 19 kB 00:00 (1124/3004): texlive-dirtytalk-svn20520.1.0-19. 2.8 MB/s | 15 kB 00:00 (1125/3004): texlive-dithesis-svn34295.0.2-19.f 4.0 MB/s | 23 kB 00:00 (1126/3004): texlive-dk-bib-svn15878.0.6-19.fc3 5.3 MB/s | 30 kB 00:00 (1127/3004): texlive-dlfltxb-svn17337.0-19.fc31 7.0 MB/s | 40 kB 00:00 (1128/3004): texlive-doc-pictex-doc-svn24927.0- 1.5 MB/s | 15 kB 00:00 (1129/3004): texlive-dnaseq-svn17194.0.01-19.fc 1.5 MB/s | 19 kB 00:00 (1130/3004): texlive-docmfp-svn15878.1.2d-19.fc 3.2 MB/s | 19 kB 00:00 (1131/3004): texlive-docmute-svn25741.1.4-19.fc 4.2 MB/s | 21 kB 00:00 (1132/3004): texlive-doctools-svn34474.0.1-19.f 4.3 MB/s | 25 kB 00:00 (1133/3004): texlive-documentation-svn34521.0.1 3.5 MB/s | 20 kB 00:00 (1134/3004): texlive-doi-svn15878.0-19.fc31.noa 3.9 MB/s | 19 kB 00:00 (1135/3004): texlive-doipubmed-svn15878.1.01-19 3.1 MB/s | 19 kB 00:00 (1136/3004): texlive-dot2texi-svn26237.3.0-19.f 4.4 MB/s | 22 kB 00:00 (1137/3004): texlive-dotarrow-svn15878.0.01a-19 3.4 MB/s | 18 kB 00:00 (1138/3004): texlive-dotlessi-svn51476-19.fc31. 3.2 MB/s | 16 kB 00:00 (1139/3004): texlive-dotseqn-svn17195.1.1-19.fc 2.8 MB/s | 15 kB 00:00 (1140/3004): texlive-dottex-svn15878.0.6-19.fc3 3.7 MB/s | 21 kB 00:00 (1141/3004): texlive-doublestroke-svn15878.1.11 15 MB/s | 84 kB 00:00 (1142/3004): texlive-dowith-svn38860-19.fc31.no 5.5 MB/s | 26 kB 00:00 (1143/3004): texlive-download-svn30695.1.1-19.f 4.0 MB/s | 22 kB 00:00 (1144/3004): texlive-dox-svn46011-19.fc31.noarc 4.0 MB/s | 20 kB 00:00 (1145/3004): texlive-dozenal-svn47680-19.fc31.n 18 MB/s | 136 kB 00:00 (1146/3004): texlive-dpfloat-svn17196.0-19.fc31 3.1 MB/s | 19 kB 00:00 (1147/3004): texlive-drac-svn15878.1-19.fc31.no 3.6 MB/s | 18 kB 00:00 (1148/3004): texlive-dprogress-svn15878.0.1-19. 1.7 MB/s | 18 kB 00:00 (1149/3004): texlive-draftcopy-svn15878.2.16-19 4.0 MB/s | 21 kB 00:00 (1150/3004): texlive-draftfigure-svn44854-19.fc 28 MB/s | 181 kB 00:00 (1151/3004): texlive-draftwatermark-svn37498.1. 3.2 MB/s | 22 kB 00:00 (1152/3004): texlive-dratex-svn15878.0-19.fc31. 11 MB/s | 62 kB 00:00 (1153/3004): texlive-drawmatrix-svn44471-19.fc3 2.9 MB/s | 17 kB 00:00 (1154/3004): texlive-drawstack-svn28582.0-19.fc 4.9 MB/s | 22 kB 00:00 (1155/3004): texlive-droid-svn51468-19.fc31.noa 70 MB/s | 3.2 MB 00:00 (1156/3004): texlive-drm-svn38157.4.4-19.fc31.n 83 MB/s | 13 MB 00:00 (1157/3004): texlive-drs-svn19232.1.1b-19.fc31. 306 kB/s | 24 kB 00:00 (1158/3004): texlive-dtk-svn50789-19.fc31.noarc 7.4 MB/s | 39 kB 00:00 (1159/3004): texlive-dsserif-svn47570-19.fc31.n 29 MB/s | 342 kB 00:00 (1160/3004): texlive-dtxgallery-doc-svn49504-19 32 MB/s | 360 kB 00:00 (1161/3004): texlive-dtxdescribe-svn51652-19.fc 17 MB/s | 345 kB 00:00 (1162/3004): texlive-doclicense-svn51332-19.fc3 383 kB/s | 104 kB 00:00 (1163/3004): texlive-duerer-latex-svn15878.1.1- 3.2 MB/s | 20 kB 00:00 (1164/3004): texlive-ducksay-svn51364-19.fc31.n 30 MB/s | 548 kB 00:00 (1165/3004): texlive-duckuments-svn51308-19.fc3 48 MB/s | 960 kB 00:00 (1166/3004): texlive-duerer-svn20741.0-19.fc31. 2.4 MB/s | 31 kB 00:00 (1167/3004): texlive-duotenzor-svn18728.1.00-19 4.2 MB/s | 25 kB 00:00 (1168/3004): texlive-dutchcal-svn23448.1.0-19.f 8.3 MB/s | 56 kB 00:00 (1169/3004): texlive-dvdcoll-svn15878.v1.1a-19. 5.1 MB/s | 37 kB 00:00 (1170/3004): texlive-dvips-20190410-8.fc31.x86_ 44 MB/s | 694 kB 00:00 (1171/3004): texlive-dvisvgm-20190410-8.fc31.x8 56 MB/s | 1.5 MB 00:00 (1172/3004): texlive-dvipdfmx-20190410-8.fc31.x 63 MB/s | 3.0 MB 00:00 (1173/3004): texlive-dynamicnumber-svn38726-19. 595 kB/s | 16 kB 00:00 (1174/3004): texlive-dynblocks-svn35193.0.2b-19 1.4 MB/s | 22 kB 00:00 (1175/3004): texlive-dyntree-svn15878.1.0-19.fc 4.4 MB/s | 26 kB 00:00 (1176/3004): texlive-ean-svn20851.0-19.fc31.noa 3.2 MB/s | 22 kB 00:00 (1177/3004): texlive-dynkin-diagrams-svn49808-1 56 MB/s | 768 kB 00:00 (1178/3004): texlive-ean13isbn-svn15878.0-19.fc 2.5 MB/s | 19 kB 00:00 (1179/3004): texlive-easy-svn19440.0.99-19.fc31 5.1 MB/s | 30 kB 00:00 (1180/3004): texlive-easy-todo-svn32677.0-19.fc 3.7 MB/s | 19 kB 00:00 (1181/3004): texlive-easyfig-svn47193-19.fc31.n 4.1 MB/s | 22 kB 00:00 (1182/3004): texlive-easyformat-svn44543-19.fc3 13 MB/s | 76 kB 00:00 (1183/3004): texlive-easylist-svn32661.1.3-19.f 3.8 MB/s | 23 kB 00:00 (1184/3004): texlive-easyreview-svn38352.1.0-19 3.4 MB/s | 18 kB 00:00 (1185/3004): texlive-ebezier-svn15878.4-19.fc31 3.5 MB/s | 21 kB 00:00 (1186/3004): texlive-ebgaramond-maths-svn35701. 4.1 MB/s | 25 kB 00:00 (1187/3004): texlive-ebook-svn29466.0-19.fc31.n 2.0 MB/s | 16 kB 00:00 (1188/3004): texlive-ebproof-svn44392-19.fc31.n 2.2 MB/s | 26 kB 00:00 (1189/3004): texlive-ebsthesis-svn15878.1.0-19. 1.2 MB/s | 23 kB 00:00 (1190/3004): texlive-ebgaramond-svn51134-19.fc3 79 MB/s | 12 MB 00:00 (1191/3004): texlive-ec-svn25033.1.0-19.fc31.no 4.1 MB/s | 491 kB 00:00 (1192/3004): texlive-ecc-svn15878.0-19.fc31.noa 442 kB/s | 46 kB 00:00 (1193/3004): texlive-ecclesiastic-svn38172.0.3- 3.9 MB/s | 22 kB 00:00 (1194/3004): texlive-eco-svn29349.1.3-19.fc31.n 24 MB/s | 271 kB 00:00 (1195/3004): texlive-ecgdraw-svn41617-19.fc31.n 24 MB/s | 727 kB 00:00 (1196/3004): texlive-ecobiblatex-svn39233-19.fc 877 kB/s | 21 kB 00:00 (1197/3004): texlive-econometrics-svn39396-19.f 1.2 MB/s | 22 kB 00:00 (1198/3004): texlive-economic-svn32639.0-19.fc3 2.4 MB/s | 51 kB 00:00 (1199/3004): texlive-ecv-svn24928.0.3-19.fc31.n 861 kB/s | 18 kB 00:00 (1200/3004): texlive-ecothesis-svn48007-19.fc31 13 MB/s | 354 kB 00:00 (1201/3004): texlive-ed-svn25231.1.8-19.fc31.no 2.1 MB/s | 20 kB 00:00 (1202/3004): texlive-edmargin-svn27599.1.2-19.f 2.4 MB/s | 22 kB 00:00 (1203/3004): texlive-eemeir-svn15878.1.1b-19.fc 3.1 MB/s | 19 kB 00:00 (1204/3004): texlive-eepic-svn15878.1.1e-19.fc3 4.4 MB/s | 26 kB 00:00 (1205/3004): texlive-egplot-svn20617.1.02a-19.f 4.1 MB/s | 22 kB 00:00 (1206/3004): texlive-efbox-svn33236.1.0-19.fc31 2.6 MB/s | 21 kB 00:00 (1207/3004): texlive-ehhline-svn51122-19.fc31.n 8.4 MB/s | 45 kB 00:00 (1208/3004): texlive-eiad-ltx-svn15878.1.0-19.f 4.0 MB/s | 21 kB 00:00 (1209/3004): texlive-eiad-svn15878.0-19.fc31.no 9.1 MB/s | 52 kB 00:00 (1210/3004): texlive-ejpecp-svn50761-19.fc31.no 5.0 MB/s | 23 kB 00:00 (1211/3004): texlive-ekaia-svn49594-19.fc31.noa 3.8 MB/s | 21 kB 00:00 (1212/3004): texlive-elbioimp-svn21758.1.2-19.f 3.3 MB/s | 19 kB 00:00 (1213/3004): texlive-electrum-svn19705.1.005_b- 53 MB/s | 787 kB 00:00 (1214/3004): texlive-elegantnote-svn49926-19.fc 51 MB/s | 1.3 MB 00:00 (1215/3004): texlive-elegantbook-svn51246-19.fc 44 MB/s | 2.2 MB 00:00 (1216/3004): texlive-elegantpaper-svn50679-19.f 11 MB/s | 458 kB 00:00 (1217/3004): texlive-elements-svn46505-19.fc31. 1.3 MB/s | 28 kB 00:00 (1218/3004): texlive-ellipse-svn39025-19.fc31.n 4.2 MB/s | 22 kB 00:00 (1219/3004): texlive-elmath-svn15878.v1.2-19.fc 3.0 MB/s | 19 kB 00:00 (1220/3004): texlive-ellipsis-svn15878.0-19.fc3 1.8 MB/s | 18 kB 00:00 (1221/3004): texlive-elocalloc-svn42712-19.fc31 3.0 MB/s | 18 kB 00:00 (1222/3004): texlive-elpres-svn46429-19.fc31.no 2.3 MB/s | 19 kB 00:00 (1223/3004): texlive-elsarticle-svn50786-19.fc3 3.8 MB/s | 36 kB 00:00 (1224/3004): texlive-elteikthesis-svn22513.1.2- 2.9 MB/s | 20 kB 00:00 (1225/3004): texlive-els-cas-templates-svn50820 37 MB/s | 575 kB 00:00 (1226/3004): texlive-eltex-svn15878.2.0-19.fc31 5.6 MB/s | 31 kB 00:00 (1227/3004): texlive-elvish-svn15878.0-19.fc31. 3.3 MB/s | 25 kB 00:00 (1228/3004): texlive-elzcards-svn44785-19.fc31. 4.1 MB/s | 23 kB 00:00 (1229/3004): texlive-emarks-svn24504.1.0-19.fc3 4.0 MB/s | 22 kB 00:00 (1230/3004): texlive-embedall-svn51177-19.fc31. 4.2 MB/s | 20 kB 00:00 (1231/3004): texlive-embrac-svn44757-19.fc31.no 4.4 MB/s | 23 kB 00:00 (1232/3004): texlive-emisa-svn46734-19.fc31.noa 5.7 MB/s | 35 kB 00:00 (1233/3004): texlive-emptypage-svn18064.1.2-19. 3.0 MB/s | 19 kB 00:00 (1234/3004): texlive-emf-svn42023-19.fc31.noarc 24 MB/s | 332 kB 00:00 (1235/3004): texlive-emulateapj-svn28469.0-19.f 6.5 MB/s | 34 kB 00:00 (1236/3004): texlive-enctex-svn34957.0-19.fc31. 7.9 MB/s | 49 kB 00:00 (1237/3004): texlive-endfloat-svn51003-19.fc31. 4.2 MB/s | 23 kB 00:00 (1238/3004): texlive-endheads-svn43750-19.fc31. 3.0 MB/s | 19 kB 00:00 (1239/3004): texlive-endiagram-svn34486.0.1d-19 4.5 MB/s | 28 kB 00:00 (1240/3004): texlive-endnotes-svn17197.0-19.fc3 3.9 MB/s | 23 kB 00:00 (1241/3004): texlive-endofproofwd-svn45116-19.f 3.2 MB/s | 22 kB 00:00 (1242/3004): texlive-engrec-svn15878.1.1-19.fc3 2.7 MB/s | 19 kB 00:00 (1243/3004): texlive-engpron-svn16558.2-19.fc31 2.3 MB/s | 21 kB 00:00 (1244/3004): texlive-engtlc-svn28571.3.2-19.fc3 3.7 MB/s | 23 kB 00:00 (1245/3004): texlive-enotez-svn44024-19.fc31.no 5.2 MB/s | 27 kB 00:00 (1246/3004): texlive-enumitem-svn51423-19.fc31. 5.4 MB/s | 30 kB 00:00 (1247/3004): texlive-enumitem-zref-svn21472.1.8 5.5 MB/s | 27 kB 00:00 (1248/3004): texlive-envbig-svn15878.0-19.fc31. 3.6 MB/s | 18 kB 00:00 (1249/3004): texlive-environ-svn33821.0.3-19.fc 3.7 MB/s | 19 kB 00:00 (1250/3004): texlive-envlab-svn15878.1.2-19.fc3 3.9 MB/s | 22 kB 00:00 (1251/3004): texlive-epigraph-svn15878.1.5c-19. 3.8 MB/s | 21 kB 00:00 (1252/3004): texlive-epigrafica-svn17210.1.01-1 29 MB/s | 367 kB 00:00 (1253/3004): texlive-epiolmec-svn15878.0-19.fc3 11 MB/s | 124 kB 00:00 (1254/3004): texlive-epsdice-svn15878.2.1-19.fc 2.1 MB/s | 23 kB 00:00 (1255/3004): texlive-epsf-svn21461.2.7.4-19.fc3 2.8 MB/s | 22 kB 00:00 (1256/3004): texlive-epspdf-20190410-8.fc31.noa 34 MB/s | 281 kB 00:00 (1257/3004): texlive-epspdfconversion-svn18703. 4.0 MB/s | 21 kB 00:00 (1258/3004): texlive-eqell-svn22931.0-19.fc31.n 3.3 MB/s | 19 kB 00:00 (1259/3004): texlive-eqexpl-svn51524-19.fc31.no 14 MB/s | 101 kB 00:00 (1260/3004): texlive-eqname-svn20678.0-19.fc31. 2.6 MB/s | 14 kB 00:00 (1261/3004): texlive-eqlist-svn32257.2.1-19.fc3 1.3 MB/s | 18 kB 00:00 (1262/3004): texlive-eqnalign-svn43278-19.fc31. 26 MB/s | 301 kB 00:00 (1263/3004): texlive-eqnarray-svn20641.1.3-19.f 5.4 MB/s | 27 kB 00:00 (1264/3004): texlive-eqnnumwarn-svn45511-19.fc3 17 MB/s | 113 kB 00:00 (1265/3004): texlive-eqparbox-svn45215-19.fc31. 4.0 MB/s | 23 kB 00:00 (1266/3004): texlive-erdc-svn15878.1.1-19.fc31. 3.8 MB/s | 23 kB 00:00 (1267/3004): texlive-errata-svn42428-19.fc31.no 2.1 MB/s | 19 kB 00:00 (1268/3004): texlive-erw-l3-svn48069-19.fc31.no 28 MB/s | 537 kB 00:00 (1269/3004): texlive-erewhon-svn51361-19.fc31.n 69 MB/s | 2.3 MB 00:00 (1270/3004): texlive-esami-svn47639-19.fc31.noa 2.1 MB/s | 39 kB 00:00 (1271/3004): texlive-esdiff-svn21385.1.2-19.fc3 1.5 MB/s | 19 kB 00:00 (1272/3004): texlive-esint-svn51679-19.fc31.noa 3.5 MB/s | 20 kB 00:00 (1273/3004): texlive-esk-svn18115.1.0-19.fc31.n 3.7 MB/s | 22 kB 00:00 (1274/3004): texlive-esint-type1-svn15878.0-19. 5.6 MB/s | 46 kB 00:00 (1275/3004): texlive-eso-pic-svn47694-19.fc31.n 4.1 MB/s | 22 kB 00:00 (1276/3004): texlive-esrelation-svn37236.0-19.f 9.5 MB/s | 55 kB 00:00 (1277/3004): texlive-esstix-svn22426.1.0-19.fc3 28 MB/s | 224 kB 00:00 (1278/3004): texlive-estcpmm-svn17335.0.4-19.fc 3.7 MB/s | 20 kB 00:00 (1279/3004): texlive-esvect-svn32098.1.3-19.fc3 14 MB/s | 89 kB 00:00 (1280/3004): texlive-etaremune-svn15878.v1.2-19 2.3 MB/s | 19 kB 00:00 (1281/3004): texlive-etex-svn37057.0-19.fc31.no 5.0 MB/s | 31 kB 00:00 (1282/3004): texlive-etextools-svn20694.3.14159 5.4 MB/s | 29 kB 00:00 (1283/3004): texlive-etoc-svn50317-19.fc31.noar 5.4 MB/s | 30 kB 00:00 (1284/3004): texlive-etoolbox-svn46602-19.fc31. 5.5 MB/s | 28 kB 00:00 (1285/3004): texlive-etsvthor-svn48186-19.fc31. 3.8 MB/s | 22 kB 00:00 (1286/3004): texlive-euenc-svn19795.0.1h-19.fc3 4.8 MB/s | 25 kB 00:00 (1287/3004): texlive-euflag-svn49970-19.fc31.no 19 MB/s | 119 kB 00:00 (1288/3004): texlive-eukdate-svn15878.1.04-19.f 3.7 MB/s | 18 kB 00:00 (1289/3004): texlive-eulerpx-svn43735-19.fc31.n 4.1 MB/s | 23 kB 00:00 (1290/3004): texlive-eulervm-svn15878.4.0-19.fc 7.1 MB/s | 38 kB 00:00 (1291/3004): texlive-euro-ce-svn25714-19.fc31.n 5.9 MB/s | 29 kB 00:00 (1292/3004): texlive-euro-svn22191.1.1-19.fc31. 3.9 MB/s | 19 kB 00:00 (1293/3004): texlive-europasscv-svn49703-19.fc3 6.0 MB/s | 52 kB 00:00 (1294/3004): texlive-europecv-svn50470-19.fc31. 12 MB/s | 62 kB 00:00 (1295/3004): texlive-eurosym-svn17265.1.4_subrf 24 MB/s | 155 kB 00:00 (1296/3004): texlive-euxm-svn45696-19.fc31.noar 8.5 MB/s | 42 kB 00:00 (1297/3004): texlive-etex-pkg-svn41784-19.fc31. 233 kB/s | 19 kB 00:00 (1298/3004): texlive-everyhook-svn35675.1.2-19. 4.1 MB/s | 22 kB 00:00 (1299/3004): texlive-everypage-svn15878.1.1-19. 3.1 MB/s | 18 kB 00:00 (1300/3004): texlive-exam-n-svn42755-19.fc31.no 6.3 MB/s | 29 kB 00:00 (1301/3004): texlive-exam-randomizechoices-svn4 31 MB/s | 295 kB 00:00 (1302/3004): texlive-exam-svn46084-19.fc31.noar 9.3 MB/s | 69 kB 00:00 (1303/3004): texlive-examdesign-svn15878.1.02-1 5.8 MB/s | 29 kB 00:00 (1304/3004): texlive-example-svn33398.0-19.fc31 4.5 MB/s | 23 kB 00:00 (1305/3004): texlive-examplep-svn16916.0.04-19. 9.8 MB/s | 53 kB 00:00 (1306/3004): texlive-exceltex-20190410-8.fc31.n 4.4 MB/s | 26 kB 00:00 (1307/3004): texlive-exercise-svn35417.1.6-19.f 5.3 MB/s | 27 kB 00:00 (1308/3004): texlive-excludeonly-svn17262.1.0-1 1.7 MB/s | 16 kB 00:00 (1309/3004): texlive-exercisebank-svn50448-19.f 35 MB/s | 332 kB 00:00 (1310/3004): texlive-exercisepoints-svn49590-19 26 MB/s | 244 kB 00:00 (1311/3004): texlive-exercises-svn42428-19.fc31 2.4 MB/s | 20 kB 00:00 (1312/3004): texlive-exframe-svn51388-19.fc31.n 42 MB/s | 401 kB 00:00 (1313/3004): texlive-exp-testopt-svn15878.0.3-1 4.0 MB/s | 19 kB 00:00 (1314/3004): texlive-expdlist-svn15878.2.4-19.f 3.4 MB/s | 19 kB 00:00 (1315/3004): texlive-export-svn27206.1.8-19.fc3 4.9 MB/s | 23 kB 00:00 (1316/3004): texlive-exsheets-svn43188-19.fc31. 7.8 MB/s | 44 kB 00:00 (1317/3004): texlive-exsol-svn41377-19.fc31.noa 4.8 MB/s | 23 kB 00:00 (1318/3004): texlive-extarrows-svn15878.1.0b-19 4.6 MB/s | 23 kB 00:00 (1319/3004): texlive-extpfeil-svn16243.0.4-19.f 3.9 MB/s | 21 kB 00:00 (1320/3004): texlive-extract-svn15878.1.8-19.fc 4.6 MB/s | 21 kB 00:00 (1321/3004): texlive-extsizes-svn17263.1.4a-19. 5.5 MB/s | 30 kB 00:00 (1322/3004): texlive-facsimile-svn21328.1.0-19. 4.2 MB/s | 22 kB 00:00 (1323/3004): texlive-factura-svn48333-19.fc31.n 8.9 MB/s | 46 kB 00:00 (1324/3004): texlive-facture-belge-simple-sans- 39 MB/s | 291 kB 00:00 (1325/3004): texlive-faktor-svn15878.0.1b-19.fc 2.2 MB/s | 18 kB 00:00 (1326/3004): texlive-fancybox-svn18304.1.4-19.f 4.6 MB/s | 26 kB 00:00 (1327/3004): texlive-fancyhandout-svn46411-19.f 13 MB/s | 92 kB 00:00 (1328/3004): texlive-fancyhdr-svn49886-19.fc31. 4.2 MB/s | 21 kB 00:00 (1329/3004): texlive-fancylabel-svn46736-19.fc3 4.6 MB/s | 23 kB 00:00 (1330/3004): texlive-euler-svn42428-19.fc31.noa 120 kB/s | 20 kB 00:00 (1331/3004): texlive-fancynum-svn15878.0.92-19. 2.9 MB/s | 15 kB 00:00 (1332/3004): texlive-fancypar-svn49801-19.fc31. 4.5 MB/s | 23 kB 00:00 (1333/3004): texlive-fancyref-svn15878.0.9c-19. 4.3 MB/s | 22 kB 00:00 (1334/3004): texlive-fancyslides-svn36263.1.0-1 3.8 MB/s | 22 kB 00:00 (1335/3004): texlive-fancytabs-svn27684.1.8-19. 3.8 MB/s | 21 kB 00:00 (1336/3004): texlive-fancytooltips-svn27129.1.8 5.5 MB/s | 27 kB 00:00 (1337/3004): texlive-fast-diagram-svn29264.1.1- 3.6 MB/s | 24 kB 00:00 (1338/3004): texlive-fascicules-svn49457-19.fc3 68 MB/s | 2.0 MB 00:00 (1339/3004): texlive-fbb-svn45277-19.fc31.noarc 57 MB/s | 1.3 MB 00:00 (1340/3004): texlive-fbithesis-svn21340.1.2m-19 2.9 MB/s | 20 kB 00:00 (1341/3004): texlive-fbs-svn15878.0-19.fc31.noa 5.0 MB/s | 22 kB 00:00 (1342/3004): texlive-fbox-svn50305-19.fc31.noar 8.2 MB/s | 56 kB 00:00 (1343/3004): texlive-fcavtex-svn38074.1.1-19.fc 5.9 MB/s | 36 kB 00:00 (1344/3004): texlive-fcltxdoc-svn24500.1.0-19.f 5.0 MB/s | 31 kB 00:00 (1345/3004): texlive-fcolumn-svn50937-19.fc31.n 3.8 MB/s | 22 kB 00:00 (1346/3004): texlive-fei-svn51287-19.fc31.noarc 5.1 MB/s | 27 kB 00:00 (1347/3004): texlive-fdsymbol-svn26722.0.8-19.f 39 MB/s | 954 kB 00:00 (1348/3004): texlive-fetchcls-svn45245-19.fc31. 21 MB/s | 142 kB 00:00 (1349/3004): texlive-feyn-svn45679-19.fc31.noar 5.8 MB/s | 37 kB 00:00 (1350/3004): texlive-feynmf-svn17259.1.08-19.fc 6.9 MB/s | 38 kB 00:00 (1351/3004): texlive-fetamont-svn43812-19.fc31. 62 MB/s | 3.6 MB 00:00 (1352/3004): texlive-ffslides-svn38895-19.fc31. 1.1 MB/s | 25 kB 00:00 (1353/3004): texlive-fge-svn37628.1.25-19.fc31. 9.5 MB/s | 58 kB 00:00 (1354/3004): texlive-fgruler-svn42966-19.fc31.n 33 MB/s | 268 kB 00:00 (1355/3004): texlive-fifo-stack-svn33288.1.0-19 3.7 MB/s | 19 kB 00:00 (1356/3004): texlive-fibeamer-svn44239-19.fc31. 36 MB/s | 440 kB 00:00 (1357/3004): texlive-fig4latex-20190410-8.fc31. 16 MB/s | 79 kB 00:00 (1358/3004): texlive-figbib-svn19388.0-19.fc31. 3.7 MB/s | 21 kB 00:00 (1359/3004): texlive-figsize-svn18784.0.1-19.fc 3.9 MB/s | 19 kB 00:00 (1360/3004): texlive-filecontents-svn47890-19.f 4.0 MB/s | 19 kB 00:00 (1361/3004): texlive-filecontentsdef-svn50942-1 17 MB/s | 111 kB 00:00 (1362/3004): texlive-filedate-svn29529.0-19.fc3 4.3 MB/s | 24 kB 00:00 (1363/3004): texlive-filehook-svn24280.0.5d-19. 4.3 MB/s | 24 kB 00:00 (1364/3004): texlive-fileinfo-svn28421.0.81a-19 4.6 MB/s | 26 kB 00:00 (1365/3004): texlive-filemod-svn24042.1.2-19.fc 3.8 MB/s | 24 kB 00:00 (1366/3004): texlive-fink-svn24329.2.2.1-19.fc3 3.9 MB/s | 19 kB 00:00 (1367/3004): texlive-finstrut-svn21719.0.5-19.f 4.5 MB/s | 23 kB 00:00 (1368/3004): texlive-fancyvrb-svn49717-19.fc31. 163 kB/s | 29 kB 00:00 (1369/3004): texlive-firamath-otf-svn50732-19.f 19 MB/s | 143 kB 00:00 (1370/3004): texlive-fitbox-svn50088-19.fc31.no 2.8 MB/s | 22 kB 00:00 (1371/3004): texlive-firamath-svn51333-19.fc31. 35 MB/s | 665 kB 00:00 (1372/3004): texlive-fithesis-svn47409-19.fc31. 25 MB/s | 675 kB 00:00 (1373/3004): texlive-fix2col-svn38770-19.fc31.n 1.0 MB/s | 19 kB 00:00 (1374/3004): texlive-fixcmex-svn38816-19.fc31.n 1.0 MB/s | 21 kB 00:00 (1375/3004): texlive-fira-svn51328-19.fc31.noar 93 MB/s | 16 MB 00:00 (1376/3004): texlive-fixfoot-svn17131.0.3a-19.f 206 kB/s | 20 kB 00:00 (1377/3004): texlive-fixltxhyph-svn25832.0.4-19 230 kB/s | 21 kB 00:00 (1378/3004): texlive-fixmetodonotes-svn30168.0. 3.1 MB/s | 16 kB 00:00 (1379/3004): texlive-fjodor-svn20220.0-19.fc31. 3.5 MB/s | 20 kB 00:00 (1380/3004): texlive-fixme-svn49591-19.fc31.noa 3.6 MB/s | 29 kB 00:00 (1381/3004): texlive-flacards-svn19440.0.1.1b-1 4.2 MB/s | 20 kB 00:00 (1382/3004): texlive-flagderiv-svn15878.0.10-19 3.8 MB/s | 20 kB 00:00 (1383/3004): texlive-flabels-svn17272.1.0-19.fc 2.3 MB/s | 19 kB 00:00 (1384/3004): texlive-flashcards-svn19667.1.0.1- 4.1 MB/s | 22 kB 00:00 (1385/3004): texlive-flashmovie-svn25768.0.4-19 7.3 MB/s | 39 kB 00:00 (1386/3004): texlive-flipbook-svn25584.0.2-19.f 3.3 MB/s | 19 kB 00:00 (1387/3004): texlive-flippdf-svn15878.1.0-19.fc 3.2 MB/s | 18 kB 00:00 (1388/3004): texlive-float-svn15878.1.3d-19.fc3 3.5 MB/s | 20 kB 00:00 (1389/3004): texlive-floatflt-svn25540.1.31-19. 3.1 MB/s | 16 kB 00:00 (1390/3004): texlive-floatrow-svn15878.0.3b-19. 7.2 MB/s | 34 kB 00:00 (1391/3004): texlive-flowchart-svn36572.3.3-19. 3.7 MB/s | 22 kB 00:00 (1392/3004): texlive-flowfram-svn35291.1.17-19. 6.9 MB/s | 44 kB 00:00 (1393/3004): texlive-fltpoint-svn15878.1.1b-19. 3.4 MB/s | 17 kB 00:00 (1394/3004): texlive-fmtcount-svn46159-19.fc31. 8.5 MB/s | 50 kB 00:00 (1395/3004): texlive-fn2end-svn15878.1.1-19.fc3 3.2 MB/s | 15 kB 00:00 (1396/3004): texlive-fnbreak-svn25003.1.30-19.f 3.6 MB/s | 19 kB 00:00 (1397/3004): texlive-fncychap-svn20710.v1.34-19 4.7 MB/s | 25 kB 00:00 (1398/3004): texlive-fncylab-svn17382.1.0-19.fc 3.9 MB/s | 18 kB 00:00 (1399/3004): texlive-fnpara-svn25607.0-19.fc31. 4.1 MB/s | 22 kB 00:00 (1400/3004): texlive-fnpct-svn50046-19.fc31.noa 4.8 MB/s | 28 kB 00:00 (1401/3004): texlive-fnspe-svn45360-19.fc31.noa 24 MB/s | 189 kB 00:00 (1402/3004): texlive-fnumprint-svn29173.1.1a-19 4.2 MB/s | 21 kB 00:00 (1403/3004): texlive-foekfont-svn15878.0-19.fc3 5.9 MB/s | 29 kB 00:00 (1404/3004): texlive-foilhtml-svn21855.1.2-19.f 4.2 MB/s | 21 kB 00:00 (1405/3004): texlive-fonetika-svn21326.0-19.fc3 11 MB/s | 83 kB 00:00 (1406/3004): texlive-fontawesome-svn48145-19.fc 28 MB/s | 304 kB 00:00 (1407/3004): texlive-fontawesome5-svn51339-19.f 78 MB/s | 1.5 MB 00:00 (1408/3004): texlive-fontaxes-svn33276.1.0d-19. 1.4 MB/s | 23 kB 00:00 (1409/3004): texlive-fonts-churchslavonic-svn43 82 MB/s | 1.6 MB 00:00 (1410/3004): texlive-fontmfizz-svn43546-19.fc31 2.6 MB/s | 57 kB 00:00 (1411/3004): texlive-fontspec-svn50387-19.fc31. 8.1 MB/s | 48 kB 00:00 (1412/3004): texlive-fonttable-svn44799-19.fc31 1.7 MB/s | 25 kB 00:00 (1413/3004): texlive-fonts-tlwg-svn49085-19.fc3 93 MB/s | 4.9 MB 00:00 (1414/3004): texlive-footbib-svn17115.2.0.7-19. 876 kB/s | 24 kB 00:00 (1415/3004): texlive-footmisc-svn23330.5.5b-19. 4.8 MB/s | 26 kB 00:00 (1416/3004): texlive-footmisx-svn42621-19.fc31. 4.5 MB/s | 26 kB 00:00 (1417/3004): texlive-fmp-svn15878.0-19.fc31.noa 124 kB/s | 18 kB 00:00 (1418/3004): texlive-footnoterange-svn25430.1.0 3.7 MB/s | 22 kB 00:00 (1419/3004): texlive-footnpag-svn15878.0-19.fc3 4.8 MB/s | 26 kB 00:00 (1420/3004): texlive-footnotebackref-svn27034.1 1.6 MB/s | 21 kB 00:00 (1421/3004): texlive-forarray-svn15878.1.01-19. 3.9 MB/s | 22 kB 00:00 (1422/3004): texlive-foreign-svn27819.2.7-19.fc 2.8 MB/s | 21 kB 00:00 (1423/3004): texlive-forloop-svn15878.3.0-19.fc 3.8 MB/s | 24 kB 00:00 (1424/3004): texlive-forest-svn44797-19.fc31.no 9.4 MB/s | 76 kB 00:00 (1425/3004): texlive-formlett-svn21480.2.3-19.f 5.7 MB/s | 29 kB 00:00 (1426/3004): texlive-formular-svn15878.1.0a-19. 3.8 MB/s | 20 kB 00:00 (1427/3004): texlive-forum-svn51403-19.fc31.noa 41 MB/s | 547 kB 00:00 (1428/3004): texlive-fouridx-svn32214.2.00-19.f 1.4 MB/s | 18 kB 00:00 (1429/3004): texlive-forms16be-svn51305-19.fc31 49 MB/s | 1.4 MB 00:00 (1430/3004): texlive-fouriernc-svn29646.0-19.fc 4.4 MB/s | 35 kB 00:00 (1431/3004): texlive-fourier-svn15878.1.3-19.fc 22 MB/s | 299 kB 00:00 (1432/3004): texlive-fp-svn49719-19.fc31.noarch 7.4 MB/s | 39 kB 00:00 (1433/3004): texlive-fpl-svn49603-19.fc31.noarc 39 MB/s | 306 kB 00:00 (1434/3004): texlive-fragments-svn15878.0-19.fc 2.3 MB/s | 16 kB 00:00 (1435/3004): texlive-frame-svn18312.1.0-19.fc31 1.1 MB/s | 18 kB 00:00 (1436/3004): texlive-framed-svn26789.0.96-19.fc 1.8 MB/s | 21 kB 00:00 (1437/3004): texlive-francais-bst-svn38922-19.f 6.3 MB/s | 28 kB 00:00 (1438/3004): texlive-frankenstein-svn15878.0-19 12 MB/s | 155 kB 00:00 (1439/3004): texlive-frcursive-svn24559.0-19.fc 56 MB/s | 1.7 MB 00:00 (1440/3004): texlive-frederika2016-svn42157-19. 13 MB/s | 385 kB 00:00 (1441/3004): texlive-frege-svn27417.1.3-19.fc31 969 kB/s | 22 kB 00:00 (1442/3004): texlive-ftcap-svn17275.1.4-19.fc31 3.9 MB/s | 20 kB 00:00 (1443/3004): texlive-ftnxtra-svn29652.0.1-19.fc 3.1 MB/s | 19 kB 00:00 (1444/3004): texlive-fullblck-svn25434.1.03-19. 3.3 MB/s | 18 kB 00:00 (1445/3004): texlive-fullminipage-svn34545.0.1. 5.2 MB/s | 27 kB 00:00 (1446/3004): texlive-fullwidth-svn24684.0.1-19. 3.4 MB/s | 21 kB 00:00 (1447/3004): texlive-ftc-notebook-svn50043-19.f 53 MB/s | 3.5 MB 00:00 (1448/3004): texlive-functan-svn15878.0-19.fc31 404 kB/s | 19 kB 00:00 (1449/3004): texlive-fundus-sueterlin-svn26030. 4.5 MB/s | 21 kB 00:00 (1450/3004): texlive-fundus-cyr-svn26019.0-19.f 3.3 MB/s | 21 kB 00:00 (1451/3004): texlive-fwlw-svn29803.0-19.fc31.no 2.9 MB/s | 16 kB 00:00 (1452/3004): texlive-fvextra-svn49947-19.fc31.n 63 MB/s | 825 kB 00:00 (1453/3004): texlive-gaceta-svn15878.1.06-19.fc 6.0 MB/s | 35 kB 00:00 (1454/3004): texlive-galois-svn15878.1.5-19.fc3 3.5 MB/s | 19 kB 00:00 (1455/3004): texlive-g-brief-svn50415-19.fc31.n 314 kB/s | 22 kB 00:00 (1456/3004): texlive-gammas-svn50012-19.fc31.no 319 kB/s | 32 kB 00:00 (1457/3004): texlive-fundus-calligra-svn26018.1 90 kB/s | 16 kB 00:00 (1458/3004): texlive-garamond-libre-svn51703-19 50 MB/s | 820 kB 00:00 (1459/3004): texlive-garuda-c90-svn37677.0-19.f 1.2 MB/s | 18 kB 00:00 (1460/3004): texlive-garamond-math-svn49933-19. 39 MB/s | 807 kB 00:00 (1461/3004): texlive-gastex-svn15878.2.8-19.fc3 6.3 MB/s | 34 kB 00:00 (1462/3004): texlive-gatech-thesis-svn19886.1.8 7.9 MB/s | 52 kB 00:00 (1463/3004): texlive-gauss-svn32934.0-19.fc31.n 6.3 MB/s | 33 kB 00:00 (1464/3004): texlive-gb4e-svn19216.0-19.fc31.no 4.3 MB/s | 25 kB 00:00 (1465/3004): texlive-gatherenum-svn48051-19.fc3 36 MB/s | 428 kB 00:00 (1466/3004): texlive-gbt7714-svn50504-19.fc31.n 35 MB/s | 342 kB 00:00 (1467/3004): texlive-gcite-svn15878.1.0.1-19.fc 3.0 MB/s | 22 kB 00:00 (1468/3004): texlive-gcard-svn15878.0-19.fc31.n 1.5 MB/s | 19 kB 00:00 (1469/3004): texlive-gender-svn36464.1.0-19.fc3 3.9 MB/s | 21 kB 00:00 (1470/3004): texlive-gene-logic-svn15878.1.4-19 2.7 MB/s | 15 kB 00:00 (1471/3004): texlive-genealogy-svn25112.0-19.fc 3.8 MB/s | 20 kB 00:00 (1472/3004): texlive-genealogytree-svn50872-19. 9.5 MB/s | 51 kB 00:00 (1473/3004): texlive-genmisc-svn45851-19.fc31.n 13 MB/s | 76 kB 00:00 (1474/3004): texlive-genmpage-svn15878.0.3.1-19 3.5 MB/s | 19 kB 00:00 (1475/3004): texlive-geometry-svn47638-19.fc31. 5.2 MB/s | 26 kB 00:00 (1476/3004): texlive-geschichtsfrkl-svn42121-19 3.5 MB/s | 22 kB 00:00 (1477/3004): texlive-getfiledate-svn16189.1.2-1 2.7 MB/s | 19 kB 00:00 (1478/3004): texlive-getitems-svn39365-19.fc31. 3.0 MB/s | 21 kB 00:00 (1479/3004): texlive-gentium-tug-svn51613-19.fc 83 MB/s | 3.9 MB 00:00 (1480/3004): texlive-getmap-20190410-8.fc31.noa 33 MB/s | 1.9 MB 00:00 (1481/3004): texlive-gfsartemisia-svn19469.1.0- 14 MB/s | 1.0 MB 00:00 (1482/3004): texlive-gfsbodoni-svn28484.1.01-19 14 MB/s | 949 kB 00:00 (1483/3004): texlive-gfscomplutum-svn19469.1.0- 5.6 MB/s | 142 kB 00:00 (1484/3004): texlive-gfsdidot-svn46310-19.fc31. 30 MB/s | 1.3 MB 00:00 (1485/3004): texlive-gfsneohellenic-svn31979.0- 22 MB/s | 1.0 MB 00:00 (1486/3004): texlive-gfsneohellenicmath-svn4686 20 MB/s | 461 kB 00:00 (1487/3004): texlive-gfssolomos-svn18651.1.0-19 5.1 MB/s | 122 kB 00:00 (1488/3004): texlive-gillcm-svn19878.1.1-19.fc3 6.7 MB/s | 34 kB 00:00 (1489/3004): texlive-gincltex-svn23835.0.3-19.f 3.5 MB/s | 22 kB 00:00 (1490/3004): texlive-gillius-svn32068.0-19.fc31 43 MB/s | 1.0 MB 00:00 (1491/3004): texlive-ginpenc-svn24980.1.0-19.fc 1.7 MB/s | 21 kB 00:00 (1492/3004): texlive-ghsystem-svn41714-19.fc31. 30 MB/s | 1.9 MB 00:00 (1493/3004): texlive-gitinfo-svn34049.1.0-19.fc 624 kB/s | 22 kB 00:00 (1494/3004): texlive-gitfile-info-svn50885-19.f 11 MB/s | 578 kB 00:00 (1495/3004): texlive-gitinfo2-svn38913-19.fc31. 1.4 MB/s | 23 kB 00:00 (1496/3004): texlive-gitlog-svn38932-19.fc31.no 1.5 MB/s | 22 kB 00:00 (1497/3004): texlive-gitver-svn49980-19.fc31.no 26 MB/s | 146 kB 00:00 (1498/3004): texlive-globalvals-svn49962-19.fc3 20 MB/s | 168 kB 00:00 (1499/3004): texlive-glosmathtools-svn51809-19. 16 MB/s | 388 kB 00:00 (1500/3004): texlive-gloss-svn15878.1.5.2-19.fc 1.1 MB/s | 23 kB 00:00 (1501/3004): texlive-glossaries-danish-svn35665 4.0 MB/s | 21 kB 00:00 (1502/3004): texlive-glossaries-dutch-svn35685. 3.3 MB/s | 21 kB 00:00 (1503/3004): texlive-glossaries-english-svn3566 3.7 MB/s | 21 kB 00:00 (1504/3004): texlive-glossaries-estonian-svn499 4.8 MB/s | 43 kB 00:00 (1505/3004): texlive-glossaries-extra-svn51006- 8.5 MB/s | 83 kB 00:00 (1506/3004): texlive-glossaries-20190410-8.fc31 63 MB/s | 5.2 MB 00:00 (1507/3004): texlive-glossaries-finnish-svn4560 2.1 MB/s | 157 kB 00:00 (1508/3004): texlive-glossaries-french-svn42873 305 kB/s | 21 kB 00:00 (1509/3004): texlive-glossaries-german-svn35665 1.2 MB/s | 21 kB 00:00 (1510/3004): texlive-glossaries-irish-svn35665. 4.5 MB/s | 22 kB 00:00 (1511/3004): texlive-glossaries-italian-svn3566 3.5 MB/s | 21 kB 00:00 (1512/3004): texlive-glossaries-magyar-svn35665 3.5 MB/s | 22 kB 00:00 (1513/3004): texlive-glossaries-polish-svn35665 3.6 MB/s | 22 kB 00:00 (1514/3004): texlive-glossaries-portuges-svn360 3.4 MB/s | 22 kB 00:00 (1515/3004): texlive-glossaries-serbian-svn3566 3.6 MB/s | 22 kB 00:00 (1516/3004): texlive-glossaries-spanish-svn3566 4.5 MB/s | 22 kB 00:00 (1517/3004): texlive-glossaries-slovene-svn5121 16 MB/s | 142 kB 00:00 (1518/3004): texlive-glyphlist-20190410-8.fc31. 5.3 MB/s | 41 kB 00:00 (1519/3004): texlive-gmiflink-svn15878.v0.97-19 4.2 MB/s | 20 kB 00:00 (1520/3004): texlive-gmdoc-svn21292.0.993-19.fc 9.5 MB/s | 64 kB 00:00 (1521/3004): texlive-gmdoc-enhance-svn15878.v0. 1.8 MB/s | 20 kB 00:00 (1522/3004): texlive-gmp-svn21691.1.0-19.fc31.n 4.6 MB/s | 23 kB 00:00 (1523/3004): texlive-gmutils-svn24287.v0.996-19 15 MB/s | 84 kB 00:00 (1524/3004): texlive-gmverb-svn24288.v0.98-19.f 6.3 MB/s | 33 kB 00:00 (1525/3004): texlive-gnuplottex-svn41904-19.fc3 4.8 MB/s | 23 kB 00:00 (1526/3004): texlive-gothic-svn49869-19.fc31.no 12 MB/s | 157 kB 00:00 (1527/3004): texlive-gofonts-svn51314-19.fc31.n 52 MB/s | 1.7 MB 00:00 (1528/3004): texlive-gotoh-svn44764-19.fc31.noa 11 MB/s | 299 kB 00:00 (1529/3004): texlive-gnu-freefont-svn29349.0-19 46 MB/s | 7.5 MB 00:00 (1530/3004): texlive-gradientframe-svn21387.0.2 187 kB/s | 21 kB 00:00 (1531/3004): texlive-grabbox-svn51052-19.fc31.n 2.9 MB/s | 364 kB 00:00 (1532/3004): texlive-gradstudentresume-svn38832 4.2 MB/s | 21 kB 00:00 (1533/3004): texlive-grafcet-svn22509.1.3.5-19. 4.5 MB/s | 21 kB 00:00 (1534/3004): texlive-grant-svn41905-19.fc31.noa 15 MB/s | 127 kB 00:00 (1535/3004): texlive-graphbox-svn46360-19.fc31. 3.0 MB/s | 22 kB 00:00 (1536/3004): texlive-graphics-cfg-svn40269-19.f 1.3 MB/s | 15 kB 00:00 (1537/3004): texlive-graphics-def-svn46267-19.f 3.2 MB/s | 30 kB 00:00 (1538/3004): texlive-graph35-svn47522-19.fc31.n 42 MB/s | 2.1 MB 00:00 (1539/3004): texlive-graphics-svn51733-19.fc31. 1.3 MB/s | 37 kB 00:00 (1540/3004): texlive-graphicx-psmin-svn15878.1. 684 kB/s | 20 kB 00:00 (1541/3004): texlive-graphicxbox-svn32630.1.0-1 2.0 MB/s | 18 kB 00:00 (1542/3004): texlive-graphviz-svn31517.0.94-19. 2.4 MB/s | 21 kB 00:00 (1543/3004): texlive-graphicxpsd-svn46477-19.fc 26 MB/s | 437 kB 00:00 (1544/3004): texlive-grayhints-svn49052-19.fc31 39 MB/s | 506 kB 00:00 (1545/3004): texlive-greek-fontenc-svn51616-19. 3.9 MB/s | 48 kB 00:00 (1546/3004): texlive-greenpoint-svn15878.0-19.f 3.4 MB/s | 20 kB 00:00 (1547/3004): texlive-grfpaste-svn17354.0.2-19.f 3.7 MB/s | 20 kB 00:00 (1548/3004): texlive-grid-svn15878.1.0-19.fc31. 3.1 MB/s | 20 kB 00:00 (1549/3004): texlive-grid-system-svn32981.0.3.0 3.4 MB/s | 19 kB 00:00 (1550/3004): texlive-gridset-svn15878.0.1-19.fc 4.9 MB/s | 23 kB 00:00 (1551/3004): texlive-grotesq-svn35859.0-19.fc31 13 MB/s | 73 kB 00:00 (1552/3004): texlive-grundgesetze-svn34439.1.02 4.2 MB/s | 23 kB 00:00 (1553/3004): texlive-gridslides-svn45933-19.fc3 38 MB/s | 585 kB 00:00 (1554/3004): texlive-gsemthesis-svn36244.0.9.4- 2.6 MB/s | 23 kB 00:00 (1555/3004): texlive-gsftopk-20190410-8.fc31.x8 5.3 MB/s | 38 kB 00:00 (1556/3004): texlive-gu-svn15878.0-19.fc31.noar 4.4 MB/s | 23 kB 00:00 (1557/3004): texlive-gtrlib-largetrees-svn49062 8.8 MB/s | 325 kB 00:00 (1558/3004): texlive-gtl-svn49527-19.fc31.noarc 212 kB/s | 21 kB 00:00 (1559/3004): texlive-guitlogo-svn51582-19.fc31. 226 kB/s | 21 kB 00:00 (1560/3004): texlive-gzt-svn47381-19.fc31.noarc 885 kB/s | 55 kB 00:00 (1561/3004): texlive-h2020proposal-svn38428-19. 5.4 MB/s | 33 kB 00:00 (1562/3004): texlive-hackthefootline-svn46494-1 20 MB/s | 202 kB 00:00 (1563/3004): texlive-hacm-svn27671.0.1-19.fc31. 23 MB/s | 248 kB 00:00 (1564/3004): texlive-handin-svn48255-19.fc31.no 26 MB/s | 451 kB 00:00 (1565/3004): texlive-hagenberg-thesis-svn51150- 52 MB/s | 3.9 MB 00:00 (1566/3004): texlive-halloweenmath-svn44043-19. 11 MB/s | 891 kB 00:00 (1567/3004): texlive-handout-svn43962-19.fc31.n 337 kB/s | 22 kB 00:00 (1568/3004): texlive-hands-svn13293.0-19.fc31.n 3.1 MB/s | 17 kB 00:00 (1569/3004): texlive-hang-svn43280-19.fc31.noar 3.4 MB/s | 21 kB 00:00 (1570/3004): texlive-hanging-svn15878.1.2b-19.f 3.3 MB/s | 22 kB 00:00 (1571/3004): texlive-hardwrap-svn21396.0.2-19.f 4.5 MB/s | 22 kB 00:00 (1572/3004): texlive-har2nat-svn17356.1.0-19.fc 2.7 MB/s | 18 kB 00:00 (1573/3004): texlive-harnon-cv-svn26543.1.0-19. 2.5 MB/s | 16 kB 00:00 (1574/3004): texlive-harpoon-svn21327.1.0-19.fc 3.2 MB/s | 15 kB 00:00 (1575/3004): texlive-harvard-svn15878.2.0.5-19. 6.2 MB/s | 35 kB 00:00 (1576/3004): texlive-harveyballs-svn32003.1.1-1 3.8 MB/s | 26 kB 00:00 (1577/3004): texlive-hc-svn15878.0-19.fc31.noar 4.8 MB/s | 27 kB 00:00 (1578/3004): texlive-he-she-svn41359-19.fc31.no 4.1 MB/s | 23 kB 00:00 (1579/3004): texlive-harvmac-svn15878.0-19.fc31 1.4 MB/s | 19 kB 00:00 (1580/3004): texlive-hep-svn15878.1.0-19.fc31.n 3.5 MB/s | 19 kB 00:00 (1581/3004): texlive-hecthese-svn50590-19.fc31. 38 MB/s | 893 kB 00:00 (1582/3004): texlive-hepnames-svn35722.2.0-19.f 1.7 MB/s | 24 kB 00:00 (1583/3004): texlive-hepparticles-svn35723.2.0- 3.8 MB/s | 22 kB 00:00 (1584/3004): texlive-hepthesis-svn46054-19.fc31 4.2 MB/s | 25 kB 00:00 (1585/3004): texlive-hepunits-svn15878.1.1.1-19 3.7 MB/s | 19 kB 00:00 (1586/3004): texlive-here-svn16135.0-19.fc31.no 2.8 MB/s | 15 kB 00:00 (1587/3004): texlive-hf-tikz-svn34733.0.3a-19.f 4.2 MB/s | 23 kB 00:00 (1588/3004): texlive-hfbright-svn29349.0-19.fc3 52 MB/s | 834 kB 00:00 (1589/3004): texlive-heuristica-svn51362-19.fc3 37 MB/s | 1.1 MB 00:00 (1590/3004): texlive-hfoldsty-svn29349.1.15-19. 37 MB/s | 329 kB 00:00 (1591/3004): texlive-hhtensor-svn24981.0.61-19. 3.9 MB/s | 18 kB 00:00 (1592/3004): texlive-histogr-svn15878.1.01-19.f 4.2 MB/s | 21 kB 00:00 (1593/3004): texlive-historische-zeitschrift-sv 3.5 MB/s | 23 kB 00:00 (1594/3004): texlive-hitec-svn15878.0.0_beta_-1 4.3 MB/s | 23 kB 00:00 (1595/3004): texlive-hletter-svn30002.4.2-19.fc 4.7 MB/s | 28 kB 00:00 (1596/3004): texlive-hobby-svn44474-19.fc31.noa 3.2 MB/s | 30 kB 00:00 (1597/3004): texlive-hithesis-svn50062-19.fc31. 31 MB/s | 1.0 MB 00:00 (1598/3004): texlive-hobete-svn27036.0-19.fc31. 1.6 MB/s | 24 kB 00:00 (1599/3004): texlive-hpsdiss-svn15878.1.0-19.fc 4.1 MB/s | 24 kB 00:00 (1600/3004): texlive-hrefhide-svn22255.1.0f-19. 3.9 MB/s | 22 kB 00:00 (1601/3004): texlive-hu-berlin-bundle-svn51477- 31 MB/s | 452 kB 00:00 (1602/3004): texlive-hustthesis-svn42547-19.fc3 39 MB/s | 794 kB 00:00 (1603/3004): texlive-hvfloat-svn51123-19.fc31.n 4.1 MB/s | 24 kB 00:00 (1604/3004): texlive-hvindex-svn46051-19.fc31.n 3.3 MB/s | 19 kB 00:00 (1605/3004): texlive-hypdvips-svn51798-19.fc31. 8.2 MB/s | 44 kB 00:00 (1606/3004): texlive-hyper-svn17357.4.2d-19.fc3 6.5 MB/s | 36 kB 00:00 (1607/3004): texlive-hyperbar-svn48147-19.fc31. 53 MB/s | 453 kB 00:00 (1608/3004): texlive-hypernat-svn17358.1.0b-19. 3.7 MB/s | 20 kB 00:00 (1609/3004): texlive-hyperxmp-svn50812-19.fc31. 5.8 MB/s | 30 kB 00:00 (1610/3004): texlive-hyperref-svn51742-19.fc31. 16 MB/s | 134 kB 00:00 (1611/3004): texlive-hyph-utf8-svn51186-19.fc31 6.5 MB/s | 32 kB 00:00 (1612/3004): texlive-hyphen-polish-svn51186-19. 5.8 MB/s | 30 kB 00:00 (1613/3004): texlive-hyphenat-svn15878.2.3c-19. 2.7 MB/s | 21 kB 00:00 (1614/3004): texlive-hyphenex-svn37354.0-19.fc3 4.9 MB/s | 21 kB 00:00 (1615/3004): texlive-icite-svn50429-19.fc31.noa 38 MB/s | 307 kB 00:00 (1616/3004): texlive-icsv-svn15878.0.2-19.fc31. 2.1 MB/s | 21 kB 00:00 (1617/3004): texlive-identkey-svn49018-19.fc31. 5.4 MB/s | 26 kB 00:00 (1618/3004): texlive-idxcmds-svn38115.0.2c-19.f 4.1 MB/s | 22 kB 00:00 (1619/3004): texlive-idxlayout-svn25821.0.4d-19 4.3 MB/s | 20 kB 00:00 (1620/3004): texlive-ieeepes-svn17359.4.0-19.fc 4.5 MB/s | 27 kB 00:00 (1621/3004): texlive-ietfbibs-doc-svn41332-19.f 3.8 MB/s | 19 kB 00:00 (1622/3004): texlive-hyphen-base-svn51200-19.fc 252 kB/s | 36 kB 00:00 (1623/3004): texlive-iffont-svn38823-19.fc31.no 4.1 MB/s | 21 kB 00:00 (1624/3004): texlive-ifluatex-svn51770-19.fc31. 4.1 MB/s | 22 kB 00:00 (1625/3004): texlive-ifmslide-svn20727.0.47-19. 33 MB/s | 291 kB 00:00 (1626/3004): texlive-ifmtarg-svn47544-19.fc31.n 3.0 MB/s | 17 kB 00:00 (1627/3004): texlive-ifnextok-svn23379.0.3-19.f 5.8 MB/s | 30 kB 00:00 (1628/3004): texlive-ifoddpage-svn40726-19.fc31 3.9 MB/s | 21 kB 00:00 (1629/3004): texlive-ifetex-svn47231-19.fc31.no 156 kB/s | 21 kB 00:00 (1630/3004): texlive-ifsym-svn24868.0-19.fc31.n 5.0 MB/s | 29 kB 00:00 (1631/3004): texlive-helvetic-svn31835.0-19.fc3 1.5 MB/s | 620 kB 00:00 (1632/3004): texlive-ifthenx-svn25819.0.1a-19.f 4.3 MB/s | 21 kB 00:00 (1633/3004): texlive-ifxetex-svn19685.0.5-19.fc 3.8 MB/s | 18 kB 00:00 (1634/3004): texlive-iitem-svn29613.1.0-19.fc31 4.0 MB/s | 18 kB 00:00 (1635/3004): texlive-ijmart-svn30958.1.7-19.fc3 4.4 MB/s | 28 kB 00:00 (1636/3004): texlive-ijqc-svn15878.1.2-19.fc31. 4.5 MB/s | 23 kB 00:00 (1637/3004): texlive-ijsra-svn44886-19.fc31.noa 45 MB/s | 709 kB 00:00 (1638/3004): texlive-imac-svn17347.0-19.fc31.no 4.5 MB/s | 25 kB 00:00 (1639/3004): texlive-image-gallery-svn15878.v1. 3.9 MB/s | 19 kB 00:00 (1640/3004): texlive-imakeidx-svn42287-19.fc31. 5.1 MB/s | 24 kB 00:00 (1641/3004): texlive-iftex-svn29654.0.2-19.fc31 220 kB/s | 21 kB 00:00 (1642/3004): texlive-imfellenglish-svn38547-19. 78 MB/s | 2.9 MB 00:00 (1643/3004): texlive-import-svn17361.5.1-19.fc3 1.2 MB/s | 17 kB 00:00 (1644/3004): texlive-imtekda-svn17667.1.7-19.fc 4.5 MB/s | 21 kB 00:00 (1645/3004): texlive-incgraph-svn36500.1.12-19. 4.7 MB/s | 24 kB 00:00 (1646/3004): texlive-includernw-svn47557-19.fc3 20 MB/s | 176 kB 00:00 (1647/3004): texlive-inconsolata-svn51433-19.fc 36 MB/s | 341 kB 00:00 (1648/3004): texlive-index-svn24099.4.1beta-19. 4.5 MB/s | 26 kB 00:00 (1649/3004): texlive-indextools-svn38931-19.fc3 3.8 MB/s | 24 kB 00:00 (1650/3004): texlive-inkpaper-svn51447-19.fc31. 22 MB/s | 300 kB 00:00 (1651/3004): texlive-ifplatform-svn45533-19.fc3 100 kB/s | 19 kB 00:00 (1652/3004): texlive-initials-svn15878.0-19.fc3 83 MB/s | 3.0 MB 00:00 (1653/3004): texlive-inline-images-svn48415-19. 6.5 MB/s | 147 kB 00:00 (1654/3004): texlive-inlinedef-svn15878.1.0-19. 3.9 MB/s | 21 kB 00:00 (1655/3004): texlive-inlinebib-svn22018.0-19.fc 3.4 MB/s | 24 kB 00:00 (1656/3004): texlive-inputtrc-svn28019.0.3-19.f 3.4 MB/s | 22 kB 00:00 (1657/3004): texlive-interactiveworkbook-svn158 4.3 MB/s | 22 kB 00:00 (1658/3004): texlive-interfaces-svn21474.3.1-19 6.2 MB/s | 51 kB 00:00 (1659/3004): texlive-inriafonts-svn49826-19.fc3 89 MB/s | 2.5 MB 00:00 (1660/3004): texlive-interval-svn50265-19.fc31. 1.1 MB/s | 21 kB 00:00 (1661/3004): texlive-intopdf-svn51247-19.fc31.n 25 MB/s | 409 kB 00:00 (1662/3004): texlive-inversepath-svn15878.0.2-1 4.0 MB/s | 21 kB 00:00 (1663/3004): texlive-invoice-svn48359-19.fc31.n 4.1 MB/s | 27 kB 00:00 (1664/3004): texlive-invoice-class-svn49749-19. 8.7 MB/s | 124 kB 00:00 (1665/3004): texlive-invoice2-svn46364-19.fc31. 41 MB/s | 628 kB 00:00 (1666/3004): texlive-ionumbers-svn33457.0.3.3-1 3.2 MB/s | 24 kB 00:00 (1667/3004): texlive-iopart-num-svn15878.2.1-19 4.5 MB/s | 24 kB 00:00 (1668/3004): texlive-iscram-svn45801-19.fc31.no 21 MB/s | 286 kB 00:00 (1669/3004): texlive-iodhbwm-svn51175-19.fc31.n 51 MB/s | 3.0 MB 00:00 (1670/3004): texlive-iso-svn15878.2.4-19.fc31.n 1.2 MB/s | 33 kB 00:00 (1671/3004): texlive-iso10303-svn15878.1.5-19.f 3.2 MB/s | 67 kB 00:00 (1672/3004): texlive-isodate-svn16613.2.28-19.f 1.7 MB/s | 25 kB 00:00 (1673/3004): texlive-ipaex-type1-svn47700-19.fc 79 MB/s | 13 MB 00:00 (1674/3004): texlive-isodoc-svn47868-19.fc31.no 364 kB/s | 30 kB 00:00 (1675/3004): texlive-isomath-svn27654.0.6.1-19. 286 kB/s | 22 kB 00:00 (1676/3004): texlive-isonums-svn17362.1.0-19.fc 3.5 MB/s | 19 kB 00:00 (1677/3004): texlive-isopt-svn45509-19.fc31.noa 11 MB/s | 81 kB 00:00 (1678/3004): texlive-isorot-svn15878.0-19.fc31. 3.0 MB/s | 19 kB 00:00 (1679/3004): texlive-isotope-svn23711.v0.3-19.f 3.3 MB/s | 18 kB 00:00 (1680/3004): texlive-issuulinks-svn25742.1.1-19 3.8 MB/s | 22 kB 00:00 (1681/3004): texlive-itnumpar-svn15878.1.0-19.f 3.6 MB/s | 19 kB 00:00 (1682/3004): texlive-iwhdp-svn37552.0.50-19.fc3 4.0 MB/s | 30 kB 00:00 (1683/3004): texlive-istgame-svn49848-19.fc31.n 33 MB/s | 765 kB 00:00 (1684/3004): texlive-jablantile-svn16364.0-19.f 1.9 MB/s | 18 kB 00:00 (1685/3004): texlive-jacow-svn50870-19.fc31.noa 4.3 MB/s | 26 kB 00:00 (1686/3004): texlive-jamtimes-svn20408.1.12-19. 10 MB/s | 83 kB 00:00 (1687/3004): texlive-jkmath-svn47109-19.fc31.no 21 MB/s | 146 kB 00:00 (1688/3004): texlive-jknapltx-svn19440.0-19.fc3 4.1 MB/s | 30 kB 00:00 (1689/3004): texlive-iwona-svn19611.0.995b-19.f 68 MB/s | 4.0 MB 00:00 (1690/3004): texlive-jlabels-svn24858.0-19.fc31 551 kB/s | 15 kB 00:00 (1691/3004): texlive-jmlr-svn44935-19.fc31.noar 1.9 MB/s | 44 kB 00:00 (1692/3004): texlive-jneurosci-svn17346.1.00-19 3.9 MB/s | 24 kB 00:00 (1693/3004): texlive-jpsj-svn15878.1.2.2-19.fc3 3.8 MB/s | 24 kB 00:00 (1694/3004): texlive-jnuexam-svn49212-19.fc31.n 54 MB/s | 641 kB 00:00 (1695/3004): texlive-jslectureplanner-svn49963- 4.0 MB/s | 32 kB 00:00 (1696/3004): texlive-jumplines-svn37553.0.2-19. 4.4 MB/s | 24 kB 00:00 (1697/3004): texlive-jurabib-svn15878.0.6-19.fc 10 MB/s | 73 kB 00:00 (1698/3004): texlive-jvlisting-svn24638.0.7-19. 2.5 MB/s | 19 kB 00:00 (1699/3004): texlive-junicode-svn28286.0.7.7-19 58 MB/s | 915 kB 00:00 (1700/3004): texlive-kantlipsum-svn51727-19.fc3 6.8 MB/s | 44 kB 00:00 (1701/3004): texlive-kalendarium-svn48744-19.fc 33 MB/s | 379 kB 00:00 (1702/3004): texlive-karnaugh-svn21338.0-19.fc3 4.2 MB/s | 21 kB 00:00 (1703/3004): texlive-karnaugh-map-svn44131-19.f 28 MB/s | 251 kB 00:00 (1704/3004): texlive-karnaughmap-svn36989.2.0-1 3.6 MB/s | 22 kB 00:00 (1705/3004): texlive-kastrup-svn15878.0-19.fc31 2.9 MB/s | 15 kB 00:00 (1706/3004): texlive-kdgdocs-svn24498.1.0-19.fc 4.7 MB/s | 24 kB 00:00 (1707/3004): texlive-kerntest-svn15878.1.32-19. 5.6 MB/s | 30 kB 00:00 (1708/3004): texlive-keycommand-svn18042.3.1415 4.0 MB/s | 22 kB 00:00 (1709/3004): texlive-keyreader-svn28195.0.5b-19 5.7 MB/s | 29 kB 00:00 (1710/3004): texlive-keystroke-svn17992.v1.6-19 5.5 MB/s | 26 kB 00:00 (1711/3004): texlive-keyval2e-svn23698.0.0.2-19 4.4 MB/s | 23 kB 00:00 (1712/3004): texlive-keyfloat-svn50534-19.fc31. 32 MB/s | 512 kB 00:00 (1713/3004): texlive-kix-svn21606.0-19.fc31.noa 3.4 MB/s | 18 kB 00:00 (1714/3004): texlive-keyvaltable-svn51288-19.fc 2.1 MB/s | 25 kB 00:00 (1715/3004): texlive-kixfont-svn18488.0-19.fc31 2.3 MB/s | 16 kB 00:00 (1716/3004): texlive-kluwer-svn45756-19.fc31.no 8.4 MB/s | 70 kB 00:00 (1717/3004): texlive-knittingpattern-svn17205.0 3.9 MB/s | 21 kB 00:00 (1718/3004): texlive-knitting-svn50782-19.fc31. 44 MB/s | 594 kB 00:00 (1719/3004): texlive-knuth-lib-svn35820.0-19.fc 6.3 MB/s | 49 kB 00:00 (1720/3004): texlive-knowledge-svn50031-19.fc31 49 MB/s | 733 kB 00:00 (1721/3004): texlive-knuth-local-svn38627-19.fc 6.2 MB/s | 42 kB 00:00 (1722/3004): texlive-koma-moderncvclassic-svn25 4.3 MB/s | 24 kB 00:00 (1723/3004): texlive-koma-script-sfs-svn26137.1 4.5 MB/s | 20 kB 00:00 (1724/3004): texlive-komacv-rg-svn49064-19.fc31 50 MB/s | 852 kB 00:00 (1725/3004): texlive-komacv-svn43902-19.fc31.no 1.8 MB/s | 27 kB 00:00 (1726/3004): texlive-koma-script-svn49929-19.fc 78 MB/s | 6.7 MB 00:00 (1727/3004): texlive-kpfonts-svn29803.3.31-19.f 27 MB/s | 2.4 MB 00:00 (1728/3004): texlive-ksfh_nat-svn24825.1.1-19.f 3.9 MB/s | 23 kB 00:00 (1729/3004): texlive-ksp-thesis-svn39080-19.fc3 3.3 MB/s | 24 kB 00:00 (1730/3004): texlive-ktv-texdata-svn27369.05.34 3.9 MB/s | 21 kB 00:00 (1731/3004): texlive-ku-template-svn45935-19.fc 31 MB/s | 237 kB 00:00 (1732/3004): texlive-kvmap-svn48708-19.fc31.noa 18 MB/s | 128 kB 00:00 (1733/3004): texlive-l3backend-svn52343-19.fc31 35 MB/s | 736 kB 00:00 (1734/3004): texlive-kurier-svn19612.0.995b-19. 42 MB/s | 3.9 MB 00:00 (1735/3004): texlive-l3build-20190410-8.fc31.no 11 MB/s | 726 kB 00:00 (1736/3004): texlive-l3experimental-svn51546-19 9.0 MB/s | 48 kB 00:00 (1737/3004): texlive-l3kernel-svn51745-19.fc31. 28 MB/s | 170 kB 00:00 (1738/3004): texlive-labbook-svn15878.0-19.fc31 3.9 MB/s | 21 kB 00:00 (1739/3004): texlive-labels-svn15878.13-19.fc31 4.2 MB/s | 22 kB 00:00 (1740/3004): texlive-labels4easylist-svn51124-1 6.4 MB/s | 39 kB 00:00 (1741/3004): texlive-labelschanged-svn46040-19. 23 MB/s | 204 kB 00:00 (1742/3004): texlive-ladder-svn44394-19.fc31.no 30 MB/s | 223 kB 00:00 (1743/3004): texlive-lambda-lists-svn31402.0-19 3.8 MB/s | 18 kB 00:00 (1744/3004): texlive-langcode-svn27764.0.2-19.f 4.8 MB/s | 23 kB 00:00 (1745/3004): texlive-langsci-svn50706-19.fc31.n 20 MB/s | 116 kB 00:00 (1746/3004): texlive-lapdf-svn23806.1.1-19.fc31 2.7 MB/s | 31 kB 00:00 (1747/3004): texlive-lastpackage-svn34481.0.1-1 3.9 MB/s | 21 kB 00:00 (1748/3004): texlive-lastpage-svn36680.1.2m-19. 3.7 MB/s | 23 kB 00:00 (1749/3004): texlive-latex-20190410-8.fc31.noar 70 MB/s | 13 MB 00:00 (1750/3004): texlive-l3packages-svn51546-19.fc3 149 kB/s | 41 kB 00:00 (1751/3004): texlive-latex-base-dev-svn51730-19 50 MB/s | 13 MB 00:00 (1752/3004): texlive-kpathsea-20190410-8.fc31.x 1.4 MB/s | 1.0 MB 00:00 (1753/3004): texlive-latex-bin-dev-svn51839-19. 99 kB/s | 27 kB 00:00 (1754/3004): texlive-latex-make-svn47869-19.fc3 6.1 MB/s | 29 kB 00:00 (1755/3004): texlive-latex-fonts-svn28888.0-19. 1.3 MB/s | 44 kB 00:00 (1756/3004): texlive-latex-graphics-dev-svn5173 40 MB/s | 1.9 MB 00:00 (1757/3004): texlive-latex-tds-doc-svn40613-19. 2.4 MB/s | 104 kB 00:00 (1758/3004): texlive-latex-uni8-svn49729-19.fc3 5.6 MB/s | 28 kB 00:00 (1759/3004): texlive-latexcolors-svn49888-19.fc 43 MB/s | 392 kB 00:00 (1760/3004): texlive-latexbug-svn49573-19.fc31. 17 MB/s | 206 kB 00:00 (1761/3004): texlive-latexdemo-svn34481.0.1-19. 2.2 MB/s | 22 kB 00:00 (1762/3004): texlive-latexgit-svn41920-19.fc31. 37 MB/s | 443 kB 00:00 (1763/3004): texlive-layouts-svn42428-19.fc31.n 5.9 MB/s | 33 kB 00:00 (1764/3004): texlive-lazylist-svn17691.1.0a-19. 1.6 MB/s | 20 kB 00:00 (1765/3004): texlive-lccaps-svn46432-19.fc31.no 9.7 MB/s | 167 kB 00:00 (1766/3004): texlive-lato-svn51462-19.fc31.noar 51 MB/s | 13 MB 00:00 (1767/3004): texlive-lcd-svn16549.0.3-19.fc31.n 105 kB/s | 20 kB 00:00 (1768/3004): texlive-latexconfig-svn45777-19.fc 72 kB/s | 20 kB 00:00 (1769/3004): texlive-lcg-svn31474.1.3-19.fc31.n 3.9 MB/s | 19 kB 00:00 (1770/3004): texlive-leading-svn15878.0.3-19.fc 3.5 MB/s | 18 kB 00:00 (1771/3004): texlive-leaflet-svn43523-19.fc31.n 4.0 MB/s | 22 kB 00:00 (1772/3004): texlive-lectures-svn49863-19.fc31. 3.7 MB/s | 21 kB 00:00 (1773/3004): texlive-leipzig-svn51357-19.fc31.n 4.7 MB/s | 26 kB 00:00 (1774/3004): texlive-leftidx-svn15878.0-19.fc31 2.1 MB/s | 18 kB 00:00 (1775/3004): texlive-lengthconvert-svn30867.1.0 3.8 MB/s | 22 kB 00:00 (1776/3004): texlive-lettre-svn44950-19.fc31.no 6.2 MB/s | 34 kB 00:00 (1777/3004): texlive-lettrine-svn50847-19.fc31. 5.0 MB/s | 28 kB 00:00 (1778/3004): texlive-lewis-svn15878.0.1-19.fc31 2.7 MB/s | 15 kB 00:00 (1779/3004): texlive-lhelp-svn23638.2.0-19.fc31 4.9 MB/s | 24 kB 00:00 (1780/3004): texlive-lfb-svn15878.1.0-19.fc31.n 5.5 MB/s | 44 kB 00:00 (1781/3004): texlive-lib-20190410-8.fc31.x86_64 21 MB/s | 478 kB 00:00 (1782/3004): texlive-libertinegc-svn44616-19.fc 4.0 MB/s | 83 kB 00:00 (1783/3004): texlive-libertinus-otf-svn51277-19 51 MB/s | 2.0 MB 00:00 (1784/3004): texlive-libertinus-fonts-svn51614- 47 MB/s | 5.4 MB 00:00 (1785/3004): texlive-libertinus-svn47488-19.fc3 257 kB/s | 18 kB 00:00 (1786/3004): texlive-libertine-svn51174-19.fc31 34 MB/s | 14 MB 00:00 (1787/3004): texlive-libertinus-type1-svn51261- 21 MB/s | 9.7 MB 00:00 (1788/3004): texlive-libertinust1math-svn48077- 2.3 MB/s | 1.0 MB 00:00 (1789/3004): texlive-libgreek-svn27789.1.0-19.f 1.0 MB/s | 22 kB 00:00 (1790/3004): texlive-librebaskerville-svn31741. 25 MB/s | 630 kB 00:00 (1791/3004): texlive-librebodoni-svn39375-19.fc 26 MB/s | 596 kB 00:00 (1792/3004): texlive-librecaslon-svn51456-19.fc 22 MB/s | 856 kB 00:00 (1793/3004): texlive-librefranklin-svn51100-19. 33 MB/s | 3.1 MB 00:00 (1794/3004): texlive-libris-svn19409.1.007-19.f 3.6 MB/s | 273 kB 00:00 (1795/3004): texlive-limap-svn44863-19.fc31.noa 2.7 MB/s | 22 kB 00:00 (1796/3004): texlive-lineara-svn15878.0-19.fc31 18 MB/s | 168 kB 00:00 (1797/3004): texlive-limecv-svn45906-19.fc31.no 41 MB/s | 538 kB 00:00 (1798/3004): texlive-linegoal-svn21523.2.9-19.f 4.4 MB/s | 22 kB 00:00 (1799/3004): texlive-lineno-svn21442.4.41-19.fc 12 MB/s | 79 kB 00:00 (1800/3004): texlive-linguex-svn30815.4.3-19.fc 4.0 MB/s | 24 kB 00:00 (1801/3004): texlive-linop-svn41304-19.fc31.noa 3.5 MB/s | 21 kB 00:00 (1802/3004): texlive-linguisticspro-svn51457-19 46 MB/s | 1.5 MB 00:00 (1803/3004): texlive-lion-msc-svn51143-19.fc31. 25 MB/s | 1.4 MB 00:00 (1804/3004): texlive-lipsum-svn49610-19.fc31.no 1.7 MB/s | 93 kB 00:00 (1805/3004): texlive-lisp-on-tex-svn38722-19.fc 5.8 MB/s | 29 kB 00:00 (1806/3004): texlive-listing-svn17373.1.2-19.fc 3.0 MB/s | 19 kB 00:00 (1807/3004): texlive-listbib-20190410-8.fc31.no 37 MB/s | 361 kB 00:00 (1808/3004): texlive-listings-svn50175-19.fc31. 23 MB/s | 170 kB 00:00 (1809/3004): texlive-listlbls-svn34893.1.03-19. 3.2 MB/s | 22 kB 00:00 (1810/3004): texlive-listliketab-svn15878.0-19. 3.2 MB/s | 18 kB 00:00 (1811/3004): texlive-llncsconf-svn46707-19.fc31 22 MB/s | 144 kB 00:00 (1812/3004): texlive-listofsymbols-svn16134.0.2 2.0 MB/s | 20 kB 00:00 (1813/3004): texlive-lkproof-svn20021.3.1-19.fc 1.8 MB/s | 21 kB 00:00 (1814/3004): texlive-lm-math-svn36915.1.959-19. 49 MB/s | 457 kB 00:00 (1815/3004): texlive-lmake-svn25552.1.0-19.fc31 2.8 MB/s | 20 kB 00:00 (1816/3004): texlive-lni-svn50754-19.fc31.noarc 36 MB/s | 385 kB 00:00 (1817/3004): texlive-locality-svn20422.0.2-19.f 1.6 MB/s | 22 kB 00:00 (1818/3004): texlive-lobster2-svn32617.0-19.fc3 28 MB/s | 863 kB 00:00 (1819/3004): texlive-localloc-svn21934.0-19.fc3 1.4 MB/s | 16 kB 00:00 (1820/3004): texlive-logbox-svn24499.1.0-19.fc3 1.5 MB/s | 21 kB 00:00 (1821/3004): texlive-logical-markup-utils-svn15 2.0 MB/s | 27 kB 00:00 (1822/3004): texlive-lm-svn48145-19.fc31.noarch 47 MB/s | 12 MB 00:00 (1823/3004): texlive-logicproof-svn33254.0-19.f 121 kB/s | 22 kB 00:00 (1824/3004): texlive-logpap-svn15878.0.6-19.fc3 130 kB/s | 23 kB 00:00 (1825/3004): texlive-logreq-svn19640.1.0-19.fc3 4.2 MB/s | 23 kB 00:00 (1826/3004): texlive-longdivision-svn50586-19.f 16 MB/s | 149 kB 00:00 (1827/3004): texlive-longfbox-svn39028-19.fc31. 3.5 MB/s | 37 kB 00:00 (1828/3004): texlive-longfigure-svn34302.1.0-19 2.5 MB/s | 24 kB 00:00 (1829/3004): texlive-longnamefilelist-svn27889. 4.3 MB/s | 23 kB 00:00 (1830/3004): texlive-loops-svn30704.1.3-19.fc31 5.3 MB/s | 31 kB 00:00 (1831/3004): texlive-lpform-svn36918.0-19.fc31. 3.5 MB/s | 19 kB 00:00 (1832/3004): texlive-lpic-svn20843.0.8-19.fc31. 4.2 MB/s | 25 kB 00:00 (1833/3004): texlive-lplfitch-svn31077.0.9-19.f 1.1 MB/s | 22 kB 00:00 (1834/3004): texlive-lps-svn21322.0.7-19.fc31.n 1.1 MB/s | 19 kB 00:00 (1835/3004): texlive-lroundrect-svn39804-19.fc3 1.4 MB/s | 22 kB 00:00 (1836/3004): texlive-lsc-svn15878.0-19.fc31.noa 5.8 MB/s | 31 kB 00:00 (1837/3004): texlive-lstaddons-svn26196.0.1-19. 3.8 MB/s | 22 kB 00:00 (1838/3004): texlive-lstbayes-svn48160-19.fc31. 3.3 MB/s | 22 kB 00:00 (1839/3004): texlive-lt3graph-svn45913-19.fc31. 6.2 MB/s | 31 kB 00:00 (1840/3004): texlive-lstfiracode-svn49503-19.fc 8.3 MB/s | 77 kB 00:00 (1841/3004): texlive-ltablex-svn34923.1.1-19.fc 2.7 MB/s | 19 kB 00:00 (1842/3004): texlive-ltabptch-svn17533.1.74d-19 4.4 MB/s | 21 kB 00:00 (1843/3004): texlive-ltxdockit-svn21869.1.2d-19 4.8 MB/s | 24 kB 00:00 (1844/3004): texlive-ltb2bib-svn43746-19.fc31.n 8.4 MB/s | 64 kB 00:00 (1845/3004): texlive-ltxguidex-svn50992-19.fc31 16 MB/s | 90 kB 00:00 (1846/3004): texlive-ltxindex-svn15878.0.1c-19. 4.4 MB/s | 21 kB 00:00 (1847/3004): texlive-ltxkeys-svn28332.0.0.3c-19 8.0 MB/s | 42 kB 00:00 (1848/3004): texlive-ltxmisc-svn21927.0-19.fc31 5.6 MB/s | 31 kB 00:00 (1849/3004): texlive-ltxnew-svn21586.1.3-19.fc3 4.6 MB/s | 23 kB 00:00 (1850/3004): texlive-ltxtools-svn24897.0.0.1a-1 12 MB/s | 72 kB 00:00 (1851/3004): texlive-lua-alt-getopt-svn29349.0. 2.9 MB/s | 15 kB 00:00 (1852/3004): texlive-lua-check-hyphen-svn47527- 3.6 MB/s | 18 kB 00:00 (1853/3004): texlive-luabibentry-svn31783.0.1a- 4.0 MB/s | 22 kB 00:00 (1854/3004): texlive-luabidi-svn30790.0.2-19.fc 4.1 MB/s | 22 kB 00:00 (1855/3004): texlive-luacode-svn25193.1.2a-19.f 3.8 MB/s | 22 kB 00:00 (1856/3004): texlive-lualatex-math-svn49904-19. 5.1 MB/s | 23 kB 00:00 (1857/3004): texlive-lualibs-svn51642-19.fc31.n 22 MB/s | 143 kB 00:00 (1858/3004): texlive-luainputenc-svn20491.0.973 1.8 MB/s | 20 kB 00:00 (1859/3004): texlive-luasseq-svn37877.0-19.fc31 4.3 MB/s | 27 kB 00:00 (1860/3004): texlive-luamesh-svn43814-19.fc31.n 15 MB/s | 249 kB 00:00 (1861/3004): texlive-luaotfload-20190410-8.fc31 53 MB/s | 997 kB 00:00 (1862/3004): texlive-luatexbase-svn38550-19.fc3 2.6 MB/s | 19 kB 00:00 (1863/3004): texlive-luatextra-svn20747.1.0.1-1 1.7 MB/s | 15 kB 00:00 (1864/3004): texlive-luatodonotes-svn45454-19.f 5.0 MB/s | 45 kB 00:00 (1865/3004): texlive-luatex-20190410-8.fc31.x86 65 MB/s | 4.0 MB 00:00 (1866/3004): texlive-lxfonts-svn32354.2.0b-19.f 25 MB/s | 936 kB 00:00 (1867/3004): texlive-ly1-svn47848-19.fc31.noarc 13 MB/s | 93 kB 00:00 (1868/3004): texlive-macroswap-svn31498.1.1-19. 3.1 MB/s | 19 kB 00:00 (1869/3004): texlive-magaz-svn24694.0.4-19.fc31 3.1 MB/s | 18 kB 00:00 (1870/3004): texlive-mailmerge-svn15878.1.0-19. 3.7 MB/s | 20 kB 00:00 (1871/3004): texlive-mailing-svn15878.0-19.fc31 1.3 MB/s | 19 kB 00:00 (1872/3004): texlive-makebarcode-svn15878.1.0-1 4.1 MB/s | 22 kB 00:00 (1873/3004): texlive-make4ht-20190410-8.fc31.no 14 MB/s | 179 kB 00:00 (1874/3004): texlive-makebase-svn41012-19.fc31. 4.2 MB/s | 21 kB 00:00 (1875/3004): texlive-makebox-svn15878.0.1-19.fc 3.0 MB/s | 18 kB 00:00 (1876/3004): texlive-makecell-svn15878.0.1e-19. 4.2 MB/s | 21 kB 00:00 (1877/3004): texlive-makecirc-svn15878.0-19.fc3 6.1 MB/s | 29 kB 00:00 (1878/3004): texlive-makecookbook-svn49311-19.f 43 MB/s | 481 kB 00:00 (1879/3004): texlive-makecmds-svn15878.0-19.fc3 1.2 MB/s | 18 kB 00:00 (1880/3004): texlive-makeglos-svn15878.0-19.fc3 3.4 MB/s | 19 kB 00:00 (1881/3004): texlive-makedtx-20190410-8.fc31.no 31 MB/s | 286 kB 00:00 (1882/3004): texlive-makeindex-20190410-8.fc31. 33 MB/s | 436 kB 00:00 (1883/3004): texlive-maker-svn44823-19.fc31.noa 2.0 MB/s | 25 kB 00:00 (1884/3004): texlive-makeshape-svn28973.2.1-19. 3.4 MB/s | 21 kB 00:00 (1885/3004): texlive-mandi-svn49720-19.fc31.noa 5.8 MB/s | 36 kB 00:00 (1886/3004): texlive-manfnt-font-svn45777-19.fc 7.0 MB/s | 40 kB 00:00 (1887/3004): texlive-manfnt-svn42428-19.fc31.no 2.7 MB/s | 18 kB 00:00 (1888/3004): texlive-manuscript-svn36110.1.7-19 3.5 MB/s | 19 kB 00:00 (1889/3004): texlive-manyind-svn49874-19.fc31.n 24 MB/s | 176 kB 00:00 (1890/3004): texlive-marcellus-svn52367-19.fc31 17 MB/s | 234 kB 00:00 (1891/3004): texlive-margbib-svn15878.1.0c-19.f 1.2 MB/s | 20 kB 00:00 (1892/3004): texlive-marginfit-svn48281-19.fc31 22 MB/s | 230 kB 00:00 (1893/3004): texlive-marginfix-svn31598.1.1-19. 3.2 MB/s | 21 kB 00:00 (1894/3004): texlive-marginnote-svn48383-19.fc3 4.1 MB/s | 20 kB 00:00 (1895/3004): texlive-markdown-svn50906-19.fc31. 9.7 MB/s | 54 kB 00:00 (1896/3004): texlive-marvosym-svn29349.2.2a-19. 27 MB/s | 152 kB 00:00 (1897/3004): texlive-matc3-svn29845.1.0.1-19.fc 3.6 MB/s | 21 kB 00:00 (1898/3004): texlive-matc3mem-svn35773.1.1-19.f 4.6 MB/s | 22 kB 00:00 (1899/3004): texlive-mathabx-svn15878.0-19.fc31 16 MB/s | 137 kB 00:00 (1900/3004): texlive-mathalpha-svn51179-19.fc31 4.6 MB/s | 24 kB 00:00 (1901/3004): texlive-mathabx-type1-svn21129.0-1 73 MB/s | 1.8 MB 00:00 (1902/3004): texlive-mathastext-svn42447-19.fc3 2.8 MB/s | 35 kB 00:00 (1903/3004): texlive-mathcomp-svn15878.0.1f-19. 2.9 MB/s | 18 kB 00:00 (1904/3004): texlive-mathcommand-svn51542-19.fc 43 MB/s | 457 kB 00:00 (1905/3004): texlive-mathexam-svn15878.1.00-19. 3.0 MB/s | 19 kB 00:00 (1906/3004): texlive-mathfam256-svn46412-19.fc3 15 MB/s | 101 kB 00:00 (1907/3004): texlive-mathfixs-svn49547-19.fc31. 39 MB/s | 307 kB 00:00 (1908/3004): texlive-mathfont-svn51017-19.fc31. 42 MB/s | 405 kB 00:00 (1909/3004): texlive-mathpartir-svn39864-19.fc3 1.6 MB/s | 25 kB 00:00 (1910/3004): texlive-mathpazo-svn15878.1.003-19 7.3 MB/s | 88 kB 00:00 (1911/3004): texlive-mathpunctspace-svn46754-19 25 MB/s | 201 kB 00:00 (1912/3004): texlive-mathspec-svn42773-19.fc31. 5.0 MB/s | 27 kB 00:00 (1913/3004): texlive-mathspic-20190410-8.fc31.n 99 MB/s | 2.4 MB 00:00 (1914/3004): texlive-mathtools-svn51788-19.fc31 6.5 MB/s | 39 kB 00:00 (1915/3004): texlive-matlab-prettifier-svn34323 4.4 MB/s | 24 kB 00:00 (1916/3004): texlive-mattens-svn17582.1.3-19.fc 2.1 MB/s | 20 kB 00:00 (1917/3004): texlive-maybemath-svn15878.0-19.fc 4.2 MB/s | 19 kB 00:00 (1918/3004): texlive-mcaption-svn15878.3.0-19.f 3.7 MB/s | 19 kB 00:00 (1919/3004): texlive-mceinleger-svn15878.0-19.f 3.5 MB/s | 19 kB 00:00 (1920/3004): texlive-mcexam-svn46155-19.fc31.no 29 MB/s | 265 kB 00:00 (1921/3004): texlive-mcite-svn18173.1.6-19.fc31 3.9 MB/s | 21 kB 00:00 (1922/3004): texlive-mciteplus-svn31648.1.2-19. 9.7 MB/s | 51 kB 00:00 (1923/3004): texlive-mcmthesis-svn49825-19.fc31 4.8 MB/s | 24 kB 00:00 (1924/3004): texlive-mdframed-svn31075.1.9b-19. 7.5 MB/s | 39 kB 00:00 (1925/3004): texlive-mdputu-svn20298.1.2-19.fc3 6.9 MB/s | 36 kB 00:00 (1926/3004): texlive-mdsymbol-svn28399.0.5-19.f 38 MB/s | 939 kB 00:00 (1927/3004): texlive-mdwtools-svn15878.1.05.4-1 7.9 MB/s | 39 kB 00:00 (1928/3004): texlive-media9-svn51619-19.fc31.no 87 MB/s | 1.8 MB 00:00 (1929/3004): texlive-medstarbeamer-svn38828-19. 2.7 MB/s | 22 kB 00:00 (1930/3004): texlive-meetingmins-svn31878.1.6-1 4.0 MB/s | 22 kB 00:00 (1931/3004): texlive-memexsupp-svn15878.0.1-19. 3.9 MB/s | 19 kB 00:00 (1932/3004): texlive-memoir-svn49394-19.fc31.no 15 MB/s | 96 kB 00:00 (1933/3004): texlive-memory-svn30452.1.2-19.fc3 3.8 MB/s | 21 kB 00:00 (1934/3004): texlive-memorygraphs-svn49631-19.f 19 MB/s | 207 kB 00:00 (1935/3004): texlive-mensa-tex-svn45997-19.fc31 18 MB/s | 188 kB 00:00 (1936/3004): texlive-mentis-svn15878.1.5-19.fc3 3.9 MB/s | 21 kB 00:00 (1937/3004): texlive-menu-svn15878.0.994-19.fc3 2.9 MB/s | 16 kB 00:00 (1938/3004): texlive-menukeys-svn41823-19.fc31. 4.4 MB/s | 24 kB 00:00 (1939/3004): texlive-lwarp-20190410-8.fc31.noar 4.5 MB/s | 2.4 MB 00:00 (1940/3004): texlive-metafont-20190410-8.fc31.x 31 MB/s | 259 kB 00:00 (1941/3004): texlive-merriweather-svn51304-19.f 54 MB/s | 2.3 MB 00:00 (1942/3004): texlive-metalogo-svn18611.0.12-19. 1.7 MB/s | 19 kB 00:00 (1943/3004): texlive-method-svn17485.2.0b-19.fc 3.3 MB/s | 20 kB 00:00 (1944/3004): texlive-metalogox-svn49774-19.fc31 44 MB/s | 439 kB 00:00 (1945/3004): texlive-metre-svn18489.1.0-19.fc31 5.4 MB/s | 28 kB 00:00 (1946/3004): texlive-mfirstuc-svn45803-19.fc31. 4.4 MB/s | 24 kB 00:00 (1947/3004): texlive-mflogo-font-svn36898.1.002 6.3 MB/s | 35 kB 00:00 (1948/3004): texlive-mflogo-svn42428-19.fc31.no 3.4 MB/s | 19 kB 00:00 (1949/3004): texlive-mfnfss-svn46036-19.fc31.no 3.6 MB/s | 20 kB 00:00 (1950/3004): texlive-mftinc-svn15878.1.0a-19.fc 4.1 MB/s | 20 kB 00:00 (1951/3004): texlive-mfware-20190410-8.fc31.x86 18 MB/s | 107 kB 00:00 (1952/3004): texlive-mhchem-svn48088-19.fc31.no 11 MB/s | 73 kB 00:00 (1953/3004): texlive-mhequ-svn38224.1.7-19.fc31 2.8 MB/s | 18 kB 00:00 (1954/3004): texlive-mgltex-svn41676-19.fc31.no 61 MB/s | 1.7 MB 00:00 (1955/3004): texlive-mi-solns-svn49651-19.fc31. 20 MB/s | 471 kB 00:00 (1956/3004): texlive-microtype-svn50187-19.fc31 11 MB/s | 67 kB 00:00 (1957/3004): texlive-miama-svn51395-19.fc31.noa 43 MB/s | 559 kB 00:00 (1958/3004): texlive-midpage-svn17484.1.1a-19.f 3.3 MB/s | 18 kB 00:00 (1959/3004): texlive-miller-svn18789.1.2-19.fc3 3.8 MB/s | 18 kB 00:00 (1960/3004): texlive-minibox-svn30914.0.2a-19.f 2.9 MB/s | 18 kB 00:00 (1961/3004): texlive-milsymb-svn51566-19.fc31.n 87 MB/s | 1.5 MB 00:00 (1962/3004): texlive-minidocument-svn43752-19.f 22 MB/s | 204 kB 00:00 (1963/3004): texlive-minifp-svn32559.0.96-19.fc 5.4 MB/s | 30 kB 00:00 (1964/3004): texlive-minipage-marginpar-svn1587 3.3 MB/s | 19 kB 00:00 (1965/3004): texlive-miniplot-svn17483.0-19.fc3 4.9 MB/s | 23 kB 00:00 (1966/3004): texlive-minitoc-svn48196-19.fc31.n 15 MB/s | 89 kB 00:00 (1967/3004): texlive-minorrevision-svn32165.1.1 3.7 MB/s | 20 kB 00:00 (1968/3004): texlive-minted-svn44855-19.fc31.no 5.3 MB/s | 29 kB 00:00 (1969/3004): texlive-minutes-svn42186-19.fc31.n 4.4 MB/s | 23 kB 00:00 (1970/3004): texlive-mintspirit-svn32069.0-19.f 74 MB/s | 1.2 MB 00:00 (1971/3004): texlive-mismath-svn51436-19.fc31.n 45 MB/s | 537 kB 00:00 (1972/3004): texlive-mkpic-20190410-8.fc31.noar 26 MB/s | 219 kB 00:00 (1973/3004): texlive-mla-paper-svn20885.0-19.fc 3.8 MB/s | 19 kB 00:00 (1974/3004): texlive-mlacls-svn51157-19.fc31.no 26 MB/s | 378 kB 00:00 (1975/3004): texlive-mlist-svn15878.0.6a-19.fc3 1.8 MB/s | 20 kB 00:00 (1976/3004): texlive-missaali-svn42810-19.fc31. 77 MB/s | 7.6 MB 00:00 (1977/3004): texlive-mmap-svn15878.1.03-19.fc31 1.0 MB/s | 44 kB 00:00 (1978/3004): texlive-mathdesign-svn31639.2.31-1 3.9 MB/s | 2.4 MB 00:00 (1979/3004): texlive-mnotes-svn35521.0.8-19.fc3 1.4 MB/s | 22 kB 00:00 (1980/3004): texlive-mnras-svn37579.3.0-19.fc31 3.5 MB/s | 45 kB 00:00 (1981/3004): texlive-moderncv-svn37992.2.0.0-19 4.4 MB/s | 47 kB 00:00 (1982/3004): texlive-modernposter-svn47269-19.f 19 MB/s | 396 kB 00:00 (1983/3004): texlive-mnsymbol-svn18651.1.4-19.f 87 MB/s | 4.4 MB 00:00 (1984/3004): texlive-moderntimeline-svn50228-19 613 kB/s | 22 kB 00:00 (1985/3004): texlive-modiagram-svn38448-19.fc31 760 kB/s | 29 kB 00:00 (1986/3004): texlive-modroman-svn29803.1-19.fc3 1.3 MB/s | 19 kB 00:00 (1987/3004): texlive-modref-svn15878.1.0-19.fc3 1.2 MB/s | 19 kB 00:00 (1988/3004): texlive-monofill-svn28140.0.2-19.f 4.5 MB/s | 24 kB 00:00 (1989/3004): texlive-modular-svn44142-19.fc31.n 15 MB/s | 163 kB 00:00 (1990/3004): texlive-moodle-svn39367-19.fc31.no 4.6 MB/s | 36 kB 00:00 (1991/3004): texlive-moreenum-svn24479.1.03-19. 2.3 MB/s | 23 kB 00:00 (1992/3004): texlive-morefloats-svn37927.1.0h-1 2.5 MB/s | 25 kB 00:00 (1993/3004): texlive-morehype-svn38815-19.fc31. 6.3 MB/s | 83 kB 00:00 (1994/3004): texlive-montserrat-svn43347-19.fc3 52 MB/s | 11 MB 00:00 (1995/3004): texlive-moresize-svn17513.1.9-19.f 111 kB/s | 18 kB 00:00 (1996/3004): texlive-moreverb-svn22126.2.3a-19. 121 kB/s | 19 kB 00:00 (1997/3004): texlive-morewrites-svn49531-19.fc3 4.9 MB/s | 25 kB 00:00 (1998/3004): texlive-mpostinl-svn49559-19.fc31. 35 MB/s | 346 kB 00:00 (1999/3004): texlive-mptopdf-20190410-8.fc31.no 7.2 MB/s | 55 kB 00:00 (2000/3004): texlive-ms-svn51784-19.fc31.noarch 4.0 MB/s | 22 kB 00:00 (2001/3004): texlive-msc-svn15878.1.16-19.fc31. 6.0 MB/s | 35 kB 00:00 (2002/3004): texlive-msg-svn49578-19.fc31.noarc 5.4 MB/s | 25 kB 00:00 (2003/3004): texlive-mslapa-svn17514.0-19.fc31. 3.7 MB/s | 23 kB 00:00 (2004/3004): texlive-msu-thesis-svn46106-19.fc3 6.5 MB/s | 28 kB 00:00 (2005/3004): texlive-mtgreek-svn17967.1.1+-19.f 3.7 MB/s | 19 kB 00:00 (2006/3004): texlive-mugsthesis-svn34878.0-19.f 4.2 MB/s | 22 kB 00:00 (2007/3004): texlive-mucproc-svn43445-19.fc31.n 24 MB/s | 350 kB 00:00 (2008/3004): texlive-multenum-svn21775.0-19.fc3 3.2 MB/s | 19 kB 00:00 (2009/3004): texlive-multibbl-svn15878.v1.1-19. 4.0 MB/s | 19 kB 00:00 (2010/3004): texlive-multiaudience-svn38035.1.0 2.1 MB/s | 21 kB 00:00 (2011/3004): texlive-multibib-svn15878.1.4-19.f 6.9 MB/s | 34 kB 00:00 (2012/3004): texlive-multibibliography-20190410 46 MB/s | 470 kB 00:00 (2013/3004): texlive-multicap-svn15878.0-19.fc3 1.9 MB/s | 19 kB 00:00 (2014/3004): texlive-multidef-svn40637-19.fc31. 4.4 MB/s | 22 kB 00:00 (2015/3004): texlive-multicolrule-svn49579-19.f 41 MB/s | 606 kB 00:00 (2016/3004): texlive-multido-svn18302.1.42-19.f 2.5 MB/s | 21 kB 00:00 (2017/3004): texlive-multienv-svn26544.1.0-19.f 3.9 MB/s | 21 kB 00:00 (2018/3004): texlive-multiexpand-svn45943-19.fc 2.4 MB/s | 21 kB 00:00 (2019/3004): texlive-multilang-svn49065-19.fc31 43 MB/s | 423 kB 00:00 (2020/3004): texlive-multiobjective-svn15878.1. 2.7 MB/s | 18 kB 00:00 (2021/3004): texlive-munich-svn15878.0-19.fc31. 4.2 MB/s | 24 kB 00:00 (2022/3004): texlive-multirow-svn51278-19.fc31. 2.8 MB/s | 20 kB 00:00 (2023/3004): texlive-muthesis-svn23861.0-19.fc3 4.7 MB/s | 25 kB 00:00 (2024/3004): texlive-musuos-svn24857.1.1d-19.fc 2.8 MB/s | 21 kB 00:00 (2025/3004): texlive-mversion-svn29370.1.0.1-19 3.2 MB/s | 19 kB 00:00 (2026/3004): texlive-mweights-svn43647-19.fc31. 3.6 MB/s | 18 kB 00:00 (2027/3004): texlive-mwe-svn47194-19.fc31.noarc 44 MB/s | 834 kB 00:00 (2028/3004): texlive-mychemistry-svn28611.1.99b 3.7 MB/s | 29 kB 00:00 (2029/3004): texlive-mycv-svn26807.1.5.6-19.fc3 4.4 MB/s | 29 kB 00:00 (2030/3004): texlive-mylatexformat-svn21392.3.4 3.9 MB/s | 24 kB 00:00 (2031/3004): texlive-nag-svn24741.0.7-19.fc31.n 2.4 MB/s | 25 kB 00:00 (2032/3004): texlive-mynsfc-svn41996-19.fc31.no 1.9 MB/s | 23 kB 00:00 (2033/3004): texlive-nameauth-svn43586-19.fc31. 5.6 MB/s | 26 kB 00:00 (2034/3004): texlive-namespc-svn15878.0-19.fc31 3.1 MB/s | 18 kB 00:00 (2035/3004): texlive-natbib-svn20668.8.31b-19.f 6.7 MB/s | 34 kB 00:00 (2036/3004): texlive-nar-svn38100.3.19-19.fc31. 2.3 MB/s | 20 kB 00:00 (2037/3004): texlive-natded-svn32693.0.1-19.fc3 4.4 MB/s | 23 kB 00:00 (2038/3004): texlive-nath-svn15878.0-19.fc31.no 7.2 MB/s | 40 kB 00:00 (2039/3004): texlive-mparhack-svn15878.1.4-19.f 120 kB/s | 21 kB 00:00 (2040/3004): texlive-nature-svn21819.1.0-19.fc3 4.3 MB/s | 23 kB 00:00 (2041/3004): texlive-ncclatex-svn15878.1.5-19.f 6.2 MB/s | 39 kB 00:00 (2042/3004): texlive-ncctools-svn51810-19.fc31. 6.2 MB/s | 45 kB 00:00 (2043/3004): texlive-navydocs-svn41643-19.fc31. 57 MB/s | 1.0 MB 00:00 (2044/3004): texlive-ncntrsbk-svn31835.0-19.fc3 32 MB/s | 348 kB 00:00 (2045/3004): texlive-nddiss-svn45107-19.fc31.no 2.9 MB/s | 29 kB 00:00 (2046/3004): texlive-needspace-svn29601.1.3d-19 3.6 MB/s | 18 kB 00:00 (2047/3004): texlive-ndsu-thesis-svn46639-19.fc 1.8 MB/s | 23 kB 00:00 (2048/3004): texlive-neuralnetwork-svn31500.1.0 4.0 MB/s | 22 kB 00:00 (2049/3004): texlive-nestquot-svn27323.0-19.fc3 1.4 MB/s | 16 kB 00:00 (2050/3004): texlive-newenviron-svn29331.1.0-19 4.1 MB/s | 22 kB 00:00 (2051/3004): texlive-newfile-svn15878.1.0c-19.f 3.1 MB/s | 19 kB 00:00 (2052/3004): texlive-newcommand-doc-svn18704.2. 40 MB/s | 413 kB 00:00 (2053/3004): texlive-newspaper-svn15878.1.0-19. 4.0 MB/s | 19 kB 00:00 (2054/3004): texlive-newpx-svn49438-19.fc31.noa 35 MB/s | 1.5 MB 00:00 (2055/3004): texlive-newtx-svn53549-19.fc31.noa 74 MB/s | 4.5 MB 00:00 (2056/3004): texlive-newtxsf-svn47958-19.fc31.n 19 MB/s | 125 kB 00:00 (2057/3004): texlive-newtxtt-svn44510-19.fc31.n 18 MB/s | 162 kB 00:00 (2058/3004): texlive-newunicodechar-svn47382-19 4.2 MB/s | 22 kB 00:00 (2059/3004): texlive-newvbtm-svn23996.1.1-19.fc 4.0 MB/s | 20 kB 00:00 (2060/3004): texlive-newverbs-svn26258.1.3a-19. 4.4 MB/s | 22 kB 00:00 (2061/3004): texlive-nextpage-svn15878.1.1a-19. 2.0 MB/s | 18 kB 00:00 (2062/3004): texlive-nfssext-cfr-svn43640-19.fc 4.0 MB/s | 25 kB 00:00 (2063/3004): texlive-nicefilelist-svn28527.0.7a 5.1 MB/s | 26 kB 00:00 (2064/3004): texlive-niceframe-svn36086.1.1c-19 9.8 MB/s | 56 kB 00:00 (2065/3004): texlive-niceframe-type1-svn44671-1 38 MB/s | 291 kB 00:00 (2066/3004): texlive-nicematrix-svn51680-19.fc3 63 MB/s | 838 kB 00:00 (2067/3004): texlive-nicetext-svn38914-19.fc31. 14 MB/s | 74 kB 00:00 (2068/3004): texlive-nih-svn15878.0-19.fc31.noa 4.2 MB/s | 20 kB 00:00 (2069/3004): texlive-nidanfloat-svn48295-19.fc3 27 MB/s | 238 kB 00:00 (2070/3004): texlive-nihbiosketch-svn39460-19.f 4.9 MB/s | 22 kB 00:00 (2071/3004): texlive-nkarta-svn16437.0.2-19.fc3 6.9 MB/s | 44 kB 00:00 (2072/3004): texlive-nlctdoc-svn44353-19.fc31.n 3.7 MB/s | 22 kB 00:00 (2073/3004): texlive-nimbus15-svn39343-19.fc31. 90 MB/s | 3.8 MB 00:00 (2074/3004): texlive-nmbib-svn37984.1.04-19.fc3 1.3 MB/s | 29 kB 00:00 (2075/3004): texlive-noconflict-svn30140.1.0-19 4.5 MB/s | 21 kB 00:00 (2076/3004): texlive-noindentafter-svn35709.0.2 4.2 MB/s | 22 kB 00:00 (2077/3004): texlive-noitcrul-svn15878.0.2-19.f 3.4 MB/s | 18 kB 00:00 (2078/3004): texlive-nolbreaks-svn26786.1.2-19. 3.2 MB/s | 17 kB 00:00 (2079/3004): texlive-nomencl-svn51025-19.fc31.n 4.2 MB/s | 21 kB 00:00 (2080/3004): texlive-nomentbl-svn16549.0.4-19.f 4.0 MB/s | 20 kB 00:00 (2081/3004): texlive-nonfloat-svn17598.1.0-19.f 2.9 MB/s | 15 kB 00:00 (2082/3004): texlive-nonumonpart-svn22114.1-19. 3.8 MB/s | 19 kB 00:00 (2083/3004): texlive-newlfm-svn15878.9.4-19.fc3 201 kB/s | 40 kB 00:00 (2084/3004): texlive-nopageno-svn18128.0-19.fc3 3.3 MB/s | 18 kB 00:00 (2085/3004): texlive-norasi-c90-svn37675.0-19.f 4.2 MB/s | 25 kB 00:00 (2086/3004): texlive-normalcolor-svn40125-19.fc 3.8 MB/s | 21 kB 00:00 (2087/3004): texlive-nostarch-svn15878.1.3-19.f 5.1 MB/s | 26 kB 00:00 (2088/3004): texlive-notes-svn42428-19.fc31.noa 5.1 MB/s | 25 kB 00:00 (2089/3004): texlive-notes2bib-svn31162.2.0k-19 3.8 MB/s | 21 kB 00:00 (2090/3004): texlive-notex-bst-svn42361-19.fc31 2.8 MB/s | 18 kB 00:00 (2091/3004): texlive-notespages-svn41906-19.fc3 47 MB/s | 891 kB 00:00 (2092/3004): texlive-notestex-svn45396-19.fc31. 28 MB/s | 549 kB 00:00 (2093/3004): texlive-notoccite-svn18129.0-19.fc 2.8 MB/s | 16 kB 00:00 (2094/3004): texlive-nowidow-svn24066.1.0-19.fc 834 kB/s | 21 kB 00:00 (2095/3004): texlive-novel-svn47492-19.fc31.noa 63 MB/s | 4.6 MB 00:00 (2096/3004): texlive-nox-svn30991.1.0-19.fc31.n 427 kB/s | 21 kB 00:00 (2097/3004): texlive-nrc-svn29027.2.01a-19.fc31 1.1 MB/s | 32 kB 00:00 (2098/3004): texlive-ntgclass-svn15878.2.1a-19. 1.4 MB/s | 38 kB 00:00 (2099/3004): texlive-ntheorem-svn27609.1.33-19. 1.2 MB/s | 26 kB 00:00 (2100/3004): texlive-nuc-svn22256.0.1-19.fc31.n 1.0 MB/s | 19 kB 00:00 (2101/3004): texlive-nucleardata-svn47307-19.fc 7.7 MB/s | 156 kB 00:00 (2102/3004): texlive-numberedblock-svn33109.1.1 1.1 MB/s | 22 kB 00:00 (2103/3004): texlive-numericplots-svn31729.2.0. 1.9 MB/s | 35 kB 00:00 (2104/3004): texlive-numname-svn18130.0-19.fc31 883 kB/s | 20 kB 00:00 (2105/3004): texlive-numprint-svn27498.1.39-19. 1.1 MB/s | 28 kB 00:00 (2106/3004): texlive-noto-svn53105-19.fc31.noar 49 MB/s | 66 MB 00:01 (2107/3004): texlive-numspell-svn45441-19.fc31. 232 kB/s | 248 kB 00:01 (2108/3004): texlive-nwejm-svn50447-19.fc31.noa 3.4 MB/s | 3.9 MB 00:01 (2109/3004): texlive-objectz-svn19389.0-19.fc31 352 kB/s | 27 kB 00:00 (2110/3004): texlive-ocg-p-svn28803.0.4-19.fc31 3.9 MB/s | 21 kB 00:00 (2111/3004): texlive-obnov-svn33355.0.11-19.fc3 10 MB/s | 82 kB 00:00 (2112/3004): texlive-ocgx-svn28492.0.5-19.fc31. 3.2 MB/s | 19 kB 00:00 (2113/3004): texlive-ocgx2-svn51552-19.fc31.noa 5.4 MB/s | 33 kB 00:00 (2114/3004): texlive-ocherokee-svn25689.0-19.fc 14 MB/s | 92 kB 00:00 (2115/3004): texlive-ocr-b-outline-svn20969.0-1 21 MB/s | 252 kB 00:00 (2116/3004): texlive-ocr-b-svn20852.0-19.fc31.n 4.0 MB/s | 27 kB 00:00 (2117/3004): texlive-octavo-svn15878.1.2-19.fc3 5.0 MB/s | 24 kB 00:00 (2118/3004): texlive-ocr-latex-svn15878.0-19.fc 2.2 MB/s | 21 kB 00:00 (2119/3004): texlive-ogham-svn24876.0-19.fc31.n 1.8 MB/s | 16 kB 00:00 (2120/3004): texlive-oinuit-svn28668.0-19.fc31. 22 MB/s | 166 kB 00:00 (2121/3004): texlive-old-arrows-svn42872-19.fc3 7.8 MB/s | 59 kB 00:00 (2122/3004): texlive-oldlatin-svn17932.1.00-19. 8.6 MB/s | 66 kB 00:00 (2123/3004): texlive-oldstyle-svn15878.0.2-19.f 3.2 MB/s | 19 kB 00:00 (2124/3004): texlive-oldstandard-svn51741-19.fc 76 MB/s | 1.6 MB 00:00 (2125/3004): texlive-onlyamsmath-svn42927-19.fc 1.3 MB/s | 18 kB 00:00 (2126/3004): texlive-onrannual-svn17474.1.1-19. 4.1 MB/s | 23 kB 00:00 (2127/3004): texlive-opcit-svn15878.1.1-19.fc31 4.0 MB/s | 24 kB 00:00 (2128/3004): texlive-oplotsymbl-svn44951-19.fc3 30 MB/s | 248 kB 00:00 (2129/3004): texlive-opteng-svn27331.1.0-19.fc3 1.9 MB/s | 23 kB 00:00 (2130/3004): texlive-opensans-svn51458-19.fc31. 58 MB/s | 2.3 MB 00:00 (2131/3004): texlive-optidef-svn50941-19.fc31.n 1.4 MB/s | 25 kB 00:00 (2132/3004): texlive-optional-svn18131.2.2b-19. 4.4 MB/s | 20 kB 00:00 (2133/3004): texlive-options-svn39030-19.fc31.n 5.5 MB/s | 30 kB 00:00 (2134/3004): texlive-orkhun-svn15878.0-19.fc31. 3.8 MB/s | 21 kB 00:00 (2135/3004): texlive-oscola-svn49772-19.fc31.no 7.0 MB/s | 43 kB 00:00 (2136/3004): texlive-ot-tableau-svn44889-19.fc3 3.1 MB/s | 19 kB 00:00 (2137/3004): texlive-oubraces-svn21833.0-19.fc3 2.8 MB/s | 15 kB 00:00 (2138/3004): texlive-outline-svn18360.0-19.fc31 3.6 MB/s | 18 kB 00:00 (2139/3004): texlive-outliner-svn21095.0.94-19. 3.8 MB/s | 21 kB 00:00 (2140/3004): texlive-outlines-svn25192.1.1-19.f 3.4 MB/s | 19 kB 00:00 (2141/3004): texlive-outlining-svn45601-19.fc31 21 MB/s | 156 kB 00:00 (2142/3004): texlive-overlays-svn46122-19.fc31. 18 MB/s | 119 kB 00:00 (2143/3004): texlive-overpic-svn45500-19.fc31.n 3.5 MB/s | 19 kB 00:00 (2144/3004): texlive-overlock-svn51294-19.fc31. 33 MB/s | 564 kB 00:00 (2145/3004): texlive-pacioli-svn24947.0-19.fc31 3.3 MB/s | 34 kB 00:00 (2146/3004): texlive-padcount-svn47621-19.fc31. 26 MB/s | 159 kB 00:00 (2147/3004): texlive-pagecolor-svn44487-19.fc31 4.5 MB/s | 23 kB 00:00 (2148/3004): texlive-pagecont-svn15878.1.0-19.f 3.5 MB/s | 18 kB 00:00 (2149/3004): texlive-pagenote-svn15878.1.1a-19. 4.1 MB/s | 22 kB 00:00 (2150/3004): texlive-pagerange-svn16915.0.5-19. 4.2 MB/s | 21 kB 00:00 (2151/3004): texlive-pageslts-svn39164-19.fc31. 5.0 MB/s | 29 kB 00:00 (2152/3004): texlive-palatino-svn31835.0-19.fc3 52 MB/s | 397 kB 00:00 (2153/3004): texlive-paper-svn34521.1.0l-19.fc3 4.6 MB/s | 27 kB 00:00 (2154/3004): texlive-papercdcase-svn15878.0-19. 4.1 MB/s | 21 kB 00:00 (2155/3004): texlive-papermas-svn23667.1.0h-19. 4.1 MB/s | 23 kB 00:00 (2156/3004): texlive-papertex-svn19230.1.2b-19. 4.2 MB/s | 23 kB 00:00 (2157/3004): texlive-paracol-svn49560-19.fc31.n 5.8 MB/s | 36 kB 00:00 (2158/3004): texlive-paralist-svn43021-19.fc31. 4.0 MB/s | 20 kB 00:00 (2159/3004): texlive-parades-svn40042-19.fc31.n 1.7 MB/s | 21 kB 00:00 (2160/3004): texlive-parallel-svn15878.0-19.fc3 3.9 MB/s | 21 kB 00:00 (2161/3004): texlive-paresse-svn29803.4.1-19.fc 3.3 MB/s | 20 kB 00:00 (2162/3004): texlive-parnotes-svn51720-19.fc31. 1.8 MB/s | 23 kB 00:00 (2163/3004): texlive-parselines-svn21475.1.4-19 2.7 MB/s | 21 kB 00:00 (2164/3004): texlive-parskip-svn49730-19.fc31.n 3.4 MB/s | 20 kB 00:00 (2165/3004): texlive-paratype-svn32859.0-19.fc3 65 MB/s | 4.6 MB 00:00 (2166/3004): texlive-pas-cours-svn42036-19.fc31 694 kB/s | 19 kB 00:00 (2167/3004): texlive-pas-cv-svn32263.2.01-19.fc 5.1 MB/s | 28 kB 00:00 (2168/3004): texlive-pas-tableur-svn39542-19.fc 3.2 MB/s | 20 kB 00:00 (2169/3004): texlive-patchcmd-svn41379-19.fc31. 3.0 MB/s | 16 kB 00:00 (2170/3004): texlive-path-svn22045.3.05-19.fc31 3.7 MB/s | 19 kB 00:00 (2171/3004): texlive-pauldoc-svn16005.0.5-19.fc 3.5 MB/s | 19 kB 00:00 (2172/3004): texlive-pawpict-svn21629.1.0-19.fc 3.7 MB/s | 19 kB 00:00 (2173/3004): texlive-pax-20190410-8.fc31.noarch 6.0 MB/s | 37 kB 00:00 (2174/3004): texlive-pb-diagram-svn15878.5.0-19 5.2 MB/s | 30 kB 00:00 (2175/3004): texlive-pbox-svn24807.1.2-19.fc31. 4.1 MB/s | 21 kB 00:00 (2176/3004): texlive-pbsheet-svn24830.0.1-19.fc 3.8 MB/s | 22 kB 00:00 (2177/3004): texlive-pdfcomment-svn49047-19.fc3 5.3 MB/s | 31 kB 00:00 (2178/3004): texlive-pdf14-svn17583.0.1-19.fc31 1.7 MB/s | 21 kB 00:00 (2179/3004): texlive-pdfcprot-svn18735.1.7a-19. 4.8 MB/s | 24 kB 00:00 (2180/3004): texlive-pdfmarginpar-svn23492.0.92 3.7 MB/s | 21 kB 00:00 (2181/3004): texlive-pdfoverlay-svn47657-19.fc3 56 MB/s | 552 kB 00:00 (2182/3004): texlive-pdfpagediff-svn37946.1.4-1 2.9 MB/s | 20 kB 00:00 (2183/3004): texlive-pdfpages-svn45659-19.fc31. 6.9 MB/s | 34 kB 00:00 (2184/3004): texlive-pdfpc-movie-svn48245-19.fc 17 MB/s | 95 kB 00:00 (2185/3004): texlive-pdfprivacy-svn45985-19.fc3 35 MB/s | 273 kB 00:00 (2186/3004): texlive-pdfreview-svn50100-19.fc31 44 MB/s | 588 kB 00:00 (2187/3004): texlive-pdfscreen-svn42428-19.fc31 8.7 MB/s | 103 kB 00:00 (2188/3004): texlive-pdfslide-svn15878.0-19.fc3 6.5 MB/s | 62 kB 00:00 (2189/3004): texlive-pdfsync-svn20373.0-19.fc31 3.6 MB/s | 21 kB 00:00 (2190/3004): texlive-pdfwin-svn45797-19.fc31.no 6.2 MB/s | 30 kB 00:00 (2191/3004): texlive-pdftex-20190410-8.fc31.x86 67 MB/s | 1.8 MB 00:00 (2192/3004): texlive-pdfx-svn50338-19.fc31.noar 6.1 MB/s | 119 kB 00:00 (2193/3004): texlive-pecha-svn15878.0.1-19.fc31 4.9 MB/s | 29 kB 00:00 (2194/3004): texlive-perception-svn42683-19.fc3 3.9 MB/s | 23 kB 00:00 (2195/3004): texlive-penrose-svn48202-19.fc31.n 41 MB/s | 893 kB 00:00 (2196/3004): texlive-perfectcut-svn51744-19.fc3 2.7 MB/s | 24 kB 00:00 (2197/3004): texlive-permute-svn15878.0-19.fc31 3.3 MB/s | 19 kB 00:00 (2198/3004): texlive-perltex-20190410-8.fc31.no 27 MB/s | 289 kB 00:00 (2199/3004): texlive-petiteannonce-svn25915.1.0 3.7 MB/s | 20 kB 00:00 (2200/3004): texlive-pgf-blur-svn31693.1.01-19. 4.3 MB/s | 19 kB 00:00 (2201/3004): texlive-petri-nets-20190410-8.fc31 21 MB/s | 212 kB 00:00 (2202/3004): texlive-pgf-soroban-svn32269.1.1-1 3.3 MB/s | 19 kB 00:00 (2203/3004): texlive-pgf-cmykshadings-svn48982- 42 MB/s | 483 kB 00:00 (2204/3004): texlive-pgf-spectra-svn42986-19.fc 13 MB/s | 102 kB 00:00 (2205/3004): texlive-pgf-svn51817-19.fc31.noarc 70 MB/s | 803 kB 00:00 (2206/3004): texlive-pgf-umlcd-svn33307.0.2.1.1 2.3 MB/s | 21 kB 00:00 (2207/3004): texlive-pgf-umlsd-svn33045.0.7-19. 4.0 MB/s | 22 kB 00:00 (2208/3004): texlive-pgfgantt-svn46280-19.fc31. 4.8 MB/s | 27 kB 00:00 (2209/3004): texlive-pgfkeyx-svn26093.0.0.1-19. 5.2 MB/s | 27 kB 00:00 (2210/3004): texlive-pgfmolbio-svn35152.0.21-19 6.0 MB/s | 35 kB 00:00 (2211/3004): texlive-pgfmorepages-svn51051-19.f 13 MB/s | 87 kB 00:00 (2212/3004): texlive-pgfopts-svn34573.2.1a-19.f 1.6 MB/s | 22 kB 00:00 (2213/3004): texlive-pgfornament-svn39988-19.fc 58 MB/s | 595 kB 00:00 (2214/3004): texlive-pgfplots-svn47373-19.fc31. 52 MB/s | 571 kB 00:00 (2215/3004): texlive-phaistos-svn18651.1.0-19.f 16 MB/s | 201 kB 00:00 (2216/3004): texlive-phffullpagefigure-svn41857 25 MB/s | 259 kB 00:00 (2217/3004): texlive-phfnote-svn41858-19.fc31.n 37 MB/s | 656 kB 00:00 (2218/3004): texlive-phfparen-svn41859-19.fc31. 26 MB/s | 320 kB 00:00 (2219/3004): texlive-phfqit-svn45084-19.fc31.no 48 MB/s | 408 kB 00:00 (2220/3004): texlive-phfquotetext-svn41869-19.f 24 MB/s | 215 kB 00:00 (2221/3004): texlive-phfsvnwatermark-svn41870-1 27 MB/s | 221 kB 00:00 (2222/3004): texlive-phfthm-svn41871-19.fc31.no 42 MB/s | 391 kB 00:00 (2223/3004): texlive-philex-svn36396.1.3-19.fc3 4.4 MB/s | 22 kB 00:00 (2224/3004): texlive-philosophersimprint-svn417 4.1 MB/s | 22 kB 00:00 (2225/3004): texlive-phonetic-svn21871.0-19.fc3 8.3 MB/s | 45 kB 00:00 (2226/3004): texlive-phonenumbers-svn48355-19.f 75 MB/s | 1.2 MB 00:00 (2227/3004): texlive-photo-svn18739.0-19.fc31.n 2.6 MB/s | 20 kB 00:00 (2228/3004): texlive-physics-svn28590.1.3-19.fc 4.3 MB/s | 23 kB 00:00 (2229/3004): texlive-picinpar-svn20374.1.2a-19. 4.3 MB/s | 23 kB 00:00 (2230/3004): texlive-pict2e-svn39591-19.fc31.no 4.7 MB/s | 26 kB 00:00 (2231/3004): texlive-pictex-svn21943.1.1-19.fc3 9.0 MB/s | 60 kB 00:00 (2232/3004): texlive-pictex2-svn15878.0-19.fc31 4.0 MB/s | 21 kB 00:00 (2233/3004): texlive-piff-svn21894.0-19.fc31.no 3.3 MB/s | 17 kB 00:00 (2234/3004): texlive-pinlabel-svn24769.1.2-19.f 6.0 MB/s | 28 kB 00:00 (2235/3004): texlive-pigpen-svn15878.0.2-19.fc3 2.2 MB/s | 26 kB 00:00 (2236/3004): texlive-pittetd-svn15878.1.618-19. 4.9 MB/s | 26 kB 00:00 (2237/3004): texlive-pixelart-svn46740-19.fc31. 26 MB/s | 165 kB 00:00 (2238/3004): texlive-pkgloader-svn47486-19.fc31 2.9 MB/s | 31 kB 00:00 (2239/3004): texlive-pkuthss-svn48124-19.fc31.n 4.3 MB/s | 32 kB 00:00 (2240/3004): texlive-placeins-svn19848.2.2-19.f 3.5 MB/s | 16 kB 00:00 (2241/3004): texlive-pl-svn36012.1.09-19.fc31.n 73 MB/s | 1.9 MB 00:00 (2242/3004): texlive-plain-svn43076-19.fc31.noa 6.3 MB/s | 118 kB 00:00 (2243/3004): texlive-plainpkg-svn27765.0.4a-19. 4.6 MB/s | 23 kB 00:00 (2244/3004): texlive-plantslabels-svn29803.1.0- 2.9 MB/s | 18 kB 00:00 (2245/3004): texlive-plates-svn15878.0.1-19.fc3 4.4 MB/s | 23 kB 00:00 (2246/3004): texlive-playfair-svn34236.0-19.fc3 79 MB/s | 2.0 MB 00:00 (2247/3004): texlive-plex-otf-svn47562-19.fc31. 23 MB/s | 556 kB 00:00 (2248/3004): texlive-plweb-svn15878.3.0-19.fc31 3.0 MB/s | 18 kB 00:00 (2249/3004): texlive-pm-isomath-svn46402-19.fc3 29 MB/s | 600 kB 00:00 (2250/3004): texlive-plex-svn51295-19.fc31.noar 86 MB/s | 11 MB 00:00 (2251/3004): texlive-pmgraph-svn15878.1.0-19.fc 330 kB/s | 28 kB 00:00 (2252/3004): texlive-pnas2009-svn16287.1.0-19.f 3.1 MB/s | 17 kB 00:00 (2253/3004): texlive-poiretone-svn51396-19.fc31 25 MB/s | 217 kB 00:00 (2254/3004): texlive-polexpr-svn50013-19.fc31.n 13 MB/s | 84 kB 00:00 (2255/3004): texlive-polski-svn44213-19.fc31.no 5.3 MB/s | 29 kB 00:00 (2256/3004): texlive-oberdiek-20190410-8.fc31.n 12 MB/s | 14 MB 00:01 (2257/3004): texlive-polyglossia-svn50787-19.fc 534 kB/s | 117 kB 00:00 (2258/3004): texlive-poltawski-svn20075.1.101-1 20 MB/s | 6.9 MB 00:00 (2259/3004): texlive-polynom-svn44832-19.fc31.n 207 kB/s | 28 kB 00:00 (2260/3004): texlive-polynomial-svn15878.1.0-19 159 kB/s | 19 kB 00:00 (2261/3004): texlive-postcards-svn21641.0-19.fc 4.0 MB/s | 19 kB 00:00 (2262/3004): texlive-polytable-svn31235.0.8.2-1 2.7 MB/s | 23 kB 00:00 (2263/3004): texlive-postage-svn47893-19.fc31.n 29 MB/s | 322 kB 00:00 (2264/3004): texlive-poster-mac-svn18305.1.1-19 4.0 MB/s | 20 kB 00:00 (2265/3004): texlive-powerdot-FUBerlin-svn15878 4.1 MB/s | 20 kB 00:00 (2266/3004): texlive-powerdot-svn45165-19.fc31. 9.2 MB/s | 49 kB 00:00 (2267/3004): texlive-ppr-prv-svn15878.0.13c-19. 4.5 MB/s | 23 kB 00:00 (2268/3004): texlive-pracjourn-svn15878.0.4n-19 3.8 MB/s | 23 kB 00:00 (2269/3004): texlive-preprint-svn30447.2011-19. 4.0 MB/s | 21 kB 00:00 (2270/3004): texlive-powerdot-tuliplab-svn47963 39 MB/s | 1.3 MB 00:00 (2271/3004): texlive-prerex-svn45940-19.fc31.no 1.2 MB/s | 27 kB 00:00 (2272/3004): texlive-pressrelease-svn35147.1.0- 1.2 MB/s | 24 kB 00:00 (2273/3004): texlive-prftree-svn51404-19.fc31.n 4.9 MB/s | 30 kB 00:00 (2274/3004): texlive-prettyref-svn15878.3.0-19. 1.7 MB/s | 15 kB 00:00 (2275/3004): texlive-printlen-svn19847.1.1a-19. 2.4 MB/s | 19 kB 00:00 (2276/3004): texlive-proba-svn15878.0-19.fc31.n 3.3 MB/s | 18 kB 00:00 (2277/3004): texlive-procIAGssymp-svn51771-19.f 3.8 MB/s | 19 kB 00:00 (2278/3004): texlive-probsoln-svn44783-19.fc31. 3.6 MB/s | 24 kB 00:00 (2279/3004): texlive-prodint-svn21893.0-19.fc31 3.6 MB/s | 19 kB 00:00 (2280/3004): texlive-productbox-svn20886.1.1-19 3.3 MB/s | 19 kB 00:00 (2281/3004): texlive-program-svn44214-19.fc31.n 5.0 MB/s | 36 kB 00:00 (2282/3004): texlive-progress-svn19519.1.10-19. 4.1 MB/s | 21 kB 00:00 (2283/3004): texlive-progressbar-svn33822.v1.0b 3.2 MB/s | 19 kB 00:00 (2284/3004): texlive-proofread-svn50938-19.fc31 3.7 MB/s | 22 kB 00:00 (2285/3004): texlive-prooftrees-svn43184-19.fc3 4.9 MB/s | 29 kB 00:00 (2286/3004): texlive-proof-at-the-end-svn51194- 26 MB/s | 386 kB 00:00 (2287/3004): texlive-properties-svn15878.0.2-19 2.4 MB/s | 18 kB 00:00 (2288/3004): texlive-proposal-svn40538-19.fc31. 5.5 MB/s | 36 kB 00:00 (2289/3004): texlive-prosper-svn33033.1.0h-19.f 18 MB/s | 126 kB 00:00 (2290/3004): texlive-protex-svn41633-19.fc31.no 4.7 MB/s | 31 kB 00:00 (2291/3004): texlive-protocol-svn25562.1.13-19. 3.3 MB/s | 22 kB 00:00 (2292/3004): texlive-pseudocode-svn15878.0-19.f 3.8 MB/s | 19 kB 00:00 (2293/3004): texlive-prtec-svn50915-19.fc31.noa 29 MB/s | 376 kB 00:00 (2294/3004): texlive-pseudo-svn51641-19.fc31.no 38 MB/s | 595 kB 00:00 (2295/3004): texlive-pslatex-svn16416.0-19.fc31 5.1 MB/s | 25 kB 00:00 (2296/3004): texlive-psnfss-svn33946.9.2a-19.fc 7.4 MB/s | 45 kB 00:00 (2297/3004): texlive-pspicture-svn15878.0-19.fc 4.3 MB/s | 19 kB 00:00 (2298/3004): texlive-pst-3d-svn17257.1.10-19.fc 4.4 MB/s | 21 kB 00:00 (2299/3004): texlive-pst-blur-svn15878.2.0-19.f 3.6 MB/s | 20 kB 00:00 (2300/3004): texlive-pst-coil-svn37377.1.07-19. 3.5 MB/s | 21 kB 00:00 (2301/3004): texlive-pst-eps-svn15878.1.0-19.fc 4.1 MB/s | 20 kB 00:00 (2302/3004): texlive-pst-fill-svn15878.1.01-19. 3.8 MB/s | 21 kB 00:00 (2303/3004): texlive-pst-grad-svn15878.1.06-19. 2.2 MB/s | 21 kB 00:00 (2304/3004): texlive-pst-math-svn49425-19.fc31. 2.1 MB/s | 23 kB 00:00 (2305/3004): texlive-psfrag-svn15878.3.04-19.fc 239 kB/s | 18 kB 00:00 (2306/3004): texlive-pst-node-svn50215-19.fc31. 8.9 MB/s | 43 kB 00:00 (2307/3004): texlive-pst-pdf-20190410-8.fc31.no 61 MB/s | 956 kB 00:00 (2308/3004): texlive-pst-plot-svn51650-19.fc31. 8.7 MB/s | 40 kB 00:00 (2309/3004): texlive-pst-slpe-svn24391.1.31-19. 1.5 MB/s | 21 kB 00:00 (2310/3004): texlive-pst-text-svn49542-19.fc31. 1.7 MB/s | 22 kB 00:00 (2311/3004): texlive-pst-ovl-svn45506-19.fc31.n 240 kB/s | 19 kB 00:00 (2312/3004): texlive-pst-tree-svn43272-19.fc31. 4.4 MB/s | 24 kB 00:00 (2313/3004): texlive-pstool-svn46393-19.fc31.no 4.6 MB/s | 24 kB 00:00 (2314/3004): texlive-pstricks-add-svn49680-19.f 7.0 MB/s | 39 kB 00:00 (2315/3004): texlive-pstricks-svn51102-19.fc31. 16 MB/s | 103 kB 00:00 (2316/3004): texlive-pstring-svn42857-19.fc31.n 19 MB/s | 142 kB 00:00 (2317/3004): texlive-ptolemaicastronomy-svn5081 30 MB/s | 260 kB 00:00 (2318/3004): texlive-ptptex-svn19440.0.91-19.fc 2.5 MB/s | 27 kB 00:00 (2319/3004): texlive-punk-latex-svn27389.1.1-19 4.5 MB/s | 19 kB 00:00 (2320/3004): texlive-punk-svn27388.0-19.fc31.no 4.0 MB/s | 23 kB 00:00 (2321/3004): texlive-punknova-svn24649.1.003-19 60 MB/s | 915 kB 00:00 (2322/3004): texlive-pxfonts-svn15878.0-19.fc31 51 MB/s | 499 kB 00:00 (2323/3004): texlive-pxgreeks-svn21838.1.0-19.f 4.3 MB/s | 22 kB 00:00 (2324/3004): texlive-pxpgfmark-svn30212.0.2-19. 3.1 MB/s | 15 kB 00:00 (2325/3004): texlive-pxtxalfa-svn23682.1-19.fc3 5.1 MB/s | 31 kB 00:00 (2326/3004): texlive-pygmentex-20190410-8.fc31. 43 MB/s | 609 kB 00:00 (2327/3004): texlive-python-svn27064.0.21-19.fc 3.7 MB/s | 20 kB 00:00 (2328/3004): texlive-pythonhighlight-svn43191-1 3.5 MB/s | 18 kB 00:00 (2329/3004): texlive-qcircuit-svn48400-19.fc31. 3.7 MB/s | 24 kB 00:00 (2330/3004): texlive-qcm-svn15878.2.1-19.fc31.n 3.9 MB/s | 21 kB 00:00 (2331/3004): texlive-qrcode-svn36065.1.51-19.fc 7.8 MB/s | 39 kB 00:00 (2332/3004): texlive-qsharp-svn49722-19.fc31.no 4.6 MB/s | 22 kB 00:00 (2333/3004): texlive-qstest-svn15878.0-19.fc31. 3.4 MB/s | 22 kB 00:00 (2334/3004): texlive-qsymbols-svn15878.0-19.fc3 5.4 MB/s | 26 kB 00:00 (2335/3004): texlive-qtree-svn15878.3.1b-19.fc3 4.8 MB/s | 25 kB 00:00 (2336/3004): texlive-quantikz-svn50934-19.fc31. 46 MB/s | 471 kB 00:00 (2337/3004): texlive-psfragx-svn26243.1.1-19.fc 61 kB/s | 21 kB 00:00 (2338/3004): texlive-quattrocento-svn50729-19.f 79 MB/s | 1.6 MB 00:00 (2339/3004): texlive-quotchap-svn51591-19.fc31. 4.7 MB/s | 21 kB 00:00 (2340/3004): texlive-quicktype-svn42183-19.fc31 25 MB/s | 198 kB 00:00 (2341/3004): texlive-quoting-svn32818.v0.1c-19. 4.4 MB/s | 22 kB 00:00 (2342/3004): texlive-quotmark-svn15878.1.0-19.f 5.0 MB/s | 28 kB 00:00 (2343/3004): texlive-ragged2e-svn51780-19.fc31. 57 MB/s | 641 kB 00:00 (2344/3004): texlive-raleway-svn42629-19.fc31.n 91 MB/s | 2.5 MB 00:00 (2345/3004): texlive-pst-tools-svn45978-19.fc31 81 kB/s | 22 kB 00:00 (2346/3004): texlive-ran_toks-svn44429-19.fc31. 1.6 MB/s | 21 kB 00:00 (2347/3004): texlive-randbild-svn15878.0.2-19.f 3.7 MB/s | 18 kB 00:00 (2348/3004): texlive-randomwalk-svn49513-19.fc3 3.6 MB/s | 19 kB 00:00 (2349/3004): texlive-randtext-svn15878.0-19.fc3 3.1 MB/s | 20 kB 00:00 (2350/3004): texlive-rccol-svn15878.1.2c-19.fc3 2.7 MB/s | 16 kB 00:00 (2351/3004): texlive-rank-2-roots-svn48515-19.f 35 MB/s | 414 kB 00:00 (2352/3004): texlive-rcs-multi-svn21939.0.1a-19 2.1 MB/s | 20 kB 00:00 (2353/3004): texlive-rcs-svn15878.0-19.fc31.noa 4.2 MB/s | 31 kB 00:00 (2354/3004): texlive-rcsinfo-svn15878.1.11-19.f 3.6 MB/s | 20 kB 00:00 (2355/3004): texlive-readarray-svn42467-19.fc31 3.1 MB/s | 24 kB 00:00 (2356/3004): texlive-realboxes-svn23581.0.2-19. 4.5 MB/s | 23 kB 00:00 (2357/3004): texlive-realscripts-svn39706-19.fc 3.9 MB/s | 22 kB 00:00 (2358/3004): texlive-rec-thy-svn50047-19.fc31.n 4.5 MB/s | 25 kB 00:00 (2359/3004): texlive-recipe-svn15878.0.9-19.fc3 3.1 MB/s | 16 kB 00:00 (2360/3004): texlive-recipebook-svn37026.0-19.f 4.6 MB/s | 24 kB 00:00 (2361/3004): texlive-recipecard-svn15878.2.0-19 3.6 MB/s | 19 kB 00:00 (2362/3004): texlive-rectopma-svn19980.0-19.fc3 3.2 MB/s | 18 kB 00:00 (2363/3004): texlive-recycle-svn15878.0-19.fc31 6.0 MB/s | 29 kB 00:00 (2364/3004): texlive-refcheck-svn29128.1.9.1-19 3.5 MB/s | 22 kB 00:00 (2365/3004): texlive-refenums-svn44131-19.fc31. 3.8 MB/s | 22 kB 00:00 (2366/3004): texlive-reflectgraphics-svn40612-1 3.5 MB/s | 22 kB 00:00 (2367/3004): texlive-refman-svn15878.2.0e-19.fc 3.1 MB/s | 26 kB 00:00 (2368/3004): texlive-refstyle-svn20318.0.5-19.f 3.1 MB/s | 23 kB 00:00 (2369/3004): texlive-regcount-svn19979.1.0-19.f 2.1 MB/s | 19 kB 00:00 (2370/3004): texlive-regexpatch-svn47601-19.fc3 3.1 MB/s | 23 kB 00:00 (2371/3004): texlive-register-svn49581-19.fc31. 2.6 MB/s | 21 kB 00:00 (2372/3004): texlive-realhats-svn51004-19.fc31. 86 MB/s | 14 MB 00:00 (2373/3004): texlive-regstats-svn25050.1.0h-19. 272 kB/s | 23 kB 00:00 (2374/3004): texlive-relenc-svn22050.0-19.fc31. 362 kB/s | 31 kB 00:00 (2375/3004): texlive-repeatindex-svn24305.0.01- 3.7 MB/s | 19 kB 00:00 (2376/3004): texlive-reotex-svn34924.1.1-19.fc3 3.1 MB/s | 21 kB 00:00 (2377/3004): texlive-relsize-svn30707.4.1-19.fc 1.8 MB/s | 20 kB 00:00 (2378/3004): texlive-repltext-svn33442.1.0-19.f 4.1 MB/s | 21 kB 00:00 (2379/3004): texlive-resumecls-svn38427-19.fc31 4.1 MB/s | 21 kB 00:00 (2380/3004): texlive-revquantum-svn43505-19.fc3 32 MB/s | 269 kB 00:00 (2381/3004): texlive-revtex-svn49751-19.fc31.no 14 MB/s | 99 kB 00:00 (2382/3004): texlive-revtex4-svn45873-19.fc31.n 10 MB/s | 62 kB 00:00 (2383/3004): texlive-ribbonproofs-svn31137.1.0- 2.4 MB/s | 31 kB 00:00 (2384/3004): texlive-rjlparshap-svn15878.1.0-19 2.2 MB/s | 20 kB 00:00 (2385/3004): texlive-rlepsf-svn19082.0-19.fc31. 4.0 MB/s | 20 kB 00:00 (2386/3004): texlive-rmathbr-svn40415-19.fc31.n 5.2 MB/s | 27 kB 00:00 (2387/3004): texlive-rmpage-svn20002.0.92-19.fc 14 MB/s | 81 kB 00:00 (2388/3004): texlive-robustcommand-svn15878.0.1 3.0 MB/s | 18 kB 00:00 (2389/3004): texlive-robustindex-svn49877-19.fc 2.2 MB/s | 22 kB 00:00 (2390/3004): texlive-romanbar-svn25005.1.0f-19. 1.3 MB/s | 22 kB 00:00 (2391/3004): texlive-roboto-svn51315-19.fc31.no 89 MB/s | 11 MB 00:00 (2392/3004): texlive-romanbarpagenumber-svn3623 324 kB/s | 21 kB 00:00 (2393/3004): texlive-romanneg-svn20087.0-19.fc3 2.9 MB/s | 15 kB 00:00 (2394/3004): texlive-romande-svn19537.1.008_v7_ 47 MB/s | 507 kB 00:00 (2395/3004): texlive-romannum-svn15878.1.0b-19. 3.6 MB/s | 19 kB 00:00 (2396/3004): texlive-rotfloat-svn18292.1.2-19.f 3.1 MB/s | 19 kB 00:00 (2397/3004): texlive-rosario-svn51688-19.fc31.n 78 MB/s | 1.5 MB 00:00 (2398/3004): texlive-rotpages-svn18740.3.0-19.f 2.5 MB/s | 19 kB 00:00 (2399/3004): texlive-rsc-svn41923-19.fc31.noarc 4.6 MB/s | 26 kB 00:00 (2400/3004): texlive-roundbox-svn29675.0.2-19.f 2.6 MB/s | 21 kB 00:00 (2401/3004): texlive-rsfs-svn15878.0-19.fc31.no 12 MB/s | 73 kB 00:00 (2402/3004): texlive-rsfso-svn37965.1.02-19.fc3 3.6 MB/s | 23 kB 00:00 (2403/3004): texlive-rterface-svn30084.0-19.fc3 3.7 MB/s | 20 kB 00:00 (2404/3004): texlive-rtkinenc-svn20003.1.0-19.f 3.5 MB/s | 20 kB 00:00 (2405/3004): texlive-rulercompass-svn32392.1-19 4.5 MB/s | 23 kB 00:00 (2406/3004): texlive-rulerbox-svn50984-19.fc31. 9.6 MB/s | 79 kB 00:00 (2407/3004): texlive-rviewport-svn23739.v1.0-19 2.9 MB/s | 19 kB 00:00 (2408/3004): texlive-rutitlepage-svn51073-19.fc 90 MB/s | 2.7 MB 00:00 (2409/3004): texlive-rvwrite-svn19614.1.2-19.fc 1.4 MB/s | 19 kB 00:00 (2410/3004): texlive-resphilosophica-svn50935-1 126 kB/s | 32 kB 00:00 (2411/3004): texlive-ryethesis-svn33945.1.36-19 3.8 MB/s | 24 kB 00:00 (2412/3004): texlive-ryersonsgsthesis-svn50119- 9.6 MB/s | 85 kB 00:00 (2413/3004): texlive-sa-tikz-svn32815.0.7a-19.f 4.7 MB/s | 25 kB 00:00 (2414/3004): texlive-sageep-svn15878.1.0-19.fc3 3.7 MB/s | 25 kB 00:00 (2415/3004): texlive-sanitize-umlaut-svn41365-1 3.2 MB/s | 21 kB 00:00 (2416/3004): texlive-sansmathaccent-svn30187.0- 6.4 MB/s | 36 kB 00:00 (2417/3004): texlive-sansmathfonts-svn51356-19. 92 MB/s | 4.1 MB 00:00 (2418/3004): texlive-sapthesis-svn48365-19.fc31 2.7 MB/s | 67 kB 00:00 (2419/3004): texlive-sasnrdisplay-svn45963-19.f 5.0 MB/s | 27 kB 00:00 (2420/3004): texlive-sauerj-svn15878.0-19.fc31. 3.6 MB/s | 23 kB 00:00 (2421/3004): texlive-sauter-svn13293.2.4-19.fc3 6.4 MB/s | 36 kB 00:00 (2422/3004): texlive-sauterfonts-svn15878.0-19. 4.6 MB/s | 26 kB 00:00 (2423/3004): texlive-savefnmark-svn15878.1.0-19 4.1 MB/s | 20 kB 00:00 (2424/3004): texlive-savesym-svn31565.1.2-19.fc 3.6 MB/s | 18 kB 00:00 (2425/3004): texlive-savetrees-svn40525-19.fc31 4.5 MB/s | 29 kB 00:00 (2426/3004): texlive-scale-svn15878.1.1.2-19.fc 3.5 MB/s | 20 kB 00:00 (2427/3004): texlive-scalebar-svn15878.1.0-19.f 4.2 MB/s | 18 kB 00:00 (2428/3004): texlive-scalerel-svn42809-19.fc31. 4.3 MB/s | 23 kB 00:00 (2429/3004): texlive-sansmath-svn17997.1.1-19.f 207 kB/s | 17 kB 00:00 (2430/3004): texlive-scanpages-svn42633-19.fc31 4.1 MB/s | 26 kB 00:00 (2431/3004): texlive-schedule-svn51805-19.fc31. 34 MB/s | 261 kB 00:00 (2432/3004): texlive-schemabloc-svn15878.1.5-19 3.2 MB/s | 19 kB 00:00 (2433/3004): texlive-schulschriften-svn35730.4- 6.3 MB/s | 90 kB 00:00 (2434/3004): texlive-scientific-thesis-cover-sv 9.7 MB/s | 153 kB 00:00 (2435/3004): texlive-sciposter-svn15878.1.18-19 4.4 MB/s | 25 kB 00:00 (2436/3004): texlive-sclang-prettifier-svn35087 3.9 MB/s | 22 kB 00:00 (2437/3004): texlive-schule-svn37277.0.6-19.fc3 52 MB/s | 2.2 MB 00:00 (2438/3004): texlive-scontents-svn51779-19.fc31 9.2 MB/s | 185 kB 00:00 (2439/3004): texlive-scratch-svn50073-19.fc31.n 29 MB/s | 522 kB 00:00 (2440/3004): texlive-scratch3-svn51537-19.fc31. 46 MB/s | 525 kB 00:00 (2441/3004): texlive-scrjrnl-svn27810.0.1-19.fc 3.2 MB/s | 22 kB 00:00 (2442/3004): texlive-scratchx-svn44906-19.fc31. 27 MB/s | 444 kB 00:00 (2443/3004): texlive-scrlttr2copy-svn39734-19.f 2.4 MB/s | 21 kB 00:00 (2444/3004): texlive-scsnowman-svn47953-19.fc31 50 MB/s | 493 kB 00:00 (2445/3004): texlive-secdot-svn20208.1.0-19.fc3 3.2 MB/s | 18 kB 00:00 (2446/3004): texlive-sdrt-svn15878.1.0-19.fc31. 1.3 MB/s | 20 kB 00:00 (2447/3004): texlive-sduthesis-svn41401-19.fc31 73 MB/s | 1.2 MB 00:00 (2448/3004): texlive-section-svn20180.0-19.fc31 2.5 MB/s | 26 kB 00:00 (2449/3004): texlive-sectionbox-svn37749.1.01-1 3.6 MB/s | 19 kB 00:00 (2450/3004): texlive-sectionbreak-svn50339-19.f 12 MB/s | 71 kB 00:00 (2451/3004): texlive-sectsty-svn15878.2.0.2-19. 3.2 MB/s | 22 kB 00:00 (2452/3004): texlive-seealso-svn43595-19.fc31.n 4.7 MB/s | 22 kB 00:00 (2453/3004): texlive-selectp-svn20185.1.0-19.fc 3.1 MB/s | 18 kB 00:00 (2454/3004): texlive-semantic-markup-svn47837-1 34 MB/s | 292 kB 00:00 (2455/3004): texlive-semantic-svn15878.2.0-19.f 4.3 MB/s | 24 kB 00:00 (2456/3004): texlive-seminar-svn34011.1.62-19.f 8.9 MB/s | 50 kB 00:00 (2457/3004): texlive-semaphor-svn18651.0-19.fc3 23 MB/s | 281 kB 00:00 (2458/3004): texlive-semioneside-svn15878.v0.41 2.4 MB/s | 18 kB 00:00 (2459/3004): texlive-semproc-svn37568.0.1-19.fc 3.9 MB/s | 22 kB 00:00 (2460/3004): texlive-sepfootnotes-svn41732-19.f 3.9 MB/s | 22 kB 00:00 (2461/3004): texlive-sepnum-svn20186.2.0-19.fc3 3.2 MB/s | 20 kB 00:00 (2462/3004): texlive-seqsplit-svn15878.0.1-19.f 4.1 MB/s | 18 kB 00:00 (2463/3004): texlive-sesamanuel-svn36613.0.6-19 9.6 MB/s | 50 kB 00:00 (2464/3004): texlive-sesstime-svn49750-19.fc31. 39 MB/s | 328 kB 00:00 (2465/3004): texlive-setdeck-svn40613-19.fc31.n 3.4 MB/s | 27 kB 00:00 (2466/3004): texlive-setspace-svn24881.6.7a-19. 4.0 MB/s | 21 kB 00:00 (2467/3004): texlive-seuthesis-svn33042.2.1.2-1 5.7 MB/s | 35 kB 00:00 (2468/3004): texlive-sf298-svn41653-19.fc31.noa 5.1 MB/s | 24 kB 00:00 (2469/3004): texlive-seuthesix-svn40088-19.fc31 3.6 MB/s | 35 kB 00:00 (2470/3004): texlive-sffms-svn15878.2.0-19.fc31 3.9 MB/s | 20 kB 00:00 (2471/3004): texlive-sfg-svn20209.0.91-19.fc31. 4.4 MB/s | 23 kB 00:00 (2472/3004): texlive-sfmath-svn15878.0.8-19.fc3 3.6 MB/s | 21 kB 00:00 (2473/3004): texlive-shadethm-svn20319.0-19.fc3 4.7 MB/s | 24 kB 00:00 (2474/3004): texlive-shadow-svn20312.0-19.fc31. 3.2 MB/s | 19 kB 00:00 (2475/3004): texlive-shadowtext-svn26522.0.3-19 2.5 MB/s | 18 kB 00:00 (2476/3004): texlive-shapepar-svn30708.2.2-19.f 4.4 MB/s | 31 kB 00:00 (2477/3004): texlive-shdoc-svn41991-19.fc31.noa 3.5 MB/s | 23 kB 00:00 (2478/3004): texlive-shipunov-svn29349.1.1-19.f 7.1 MB/s | 40 kB 00:00 (2479/3004): texlive-shorttoc-svn15878.1.3-19.f 2.3 MB/s | 18 kB 00:00 (2480/3004): texlive-shobhika-svn50555-19.fc31. 40 MB/s | 499 kB 00:00 (2481/3004): texlive-show2e-svn15878.1.0-19.fc3 2.5 MB/s | 18 kB 00:00 (2482/3004): texlive-showcharinbox-svn29803.0.1 3.9 MB/s | 22 kB 00:00 (2483/3004): texlive-showdim-svn28918.1.2-19.fc 3.4 MB/s | 18 kB 00:00 (2484/3004): texlive-showexpl-svn42677-19.fc31. 4.3 MB/s | 21 kB 00:00 (2485/3004): texlive-showhyphens-svn39787-19.fc 2.8 MB/s | 15 kB 00:00 (2486/3004): texlive-showlabels-svn41322-19.fc3 4.1 MB/s | 22 kB 00:00 (2487/3004): texlive-showtags-svn20336.1.05-19. 2.7 MB/s | 16 kB 00:00 (2488/3004): texlive-shuffle-svn15878.1.0-19.fc 3.6 MB/s | 19 kB 00:00 (2489/3004): texlive-sidecap-svn15878.1.6f-19.f 3.7 MB/s | 20 kB 00:00 (2490/3004): texlive-signchart-svn39707-19.fc31 4.4 MB/s | 21 kB 00:00 (2491/3004): texlive-sidenotes-svn40658-19.fc31 3.9 MB/s | 25 kB 00:00 (2492/3004): texlive-simplecd-svn29260.1.4-19.f 5.2 MB/s | 25 kB 00:00 (2493/3004): texlive-simplecv-svn35537.1.6a-19. 3.2 MB/s | 19 kB 00:00 (2494/3004): texlive-silence-svn27028.1.5b-19.f 1.6 MB/s | 21 kB 00:00 (2495/3004): texlive-simpleinvoice-svn45673-19. 21 MB/s | 236 kB 00:00 (2496/3004): texlive-simpler-wick-svn39074-19.f 2.9 MB/s | 22 kB 00:00 (2497/3004): texlive-simplekv-svn44987-19.fc31. 27 MB/s | 351 kB 00:00 (2498/3004): texlive-simplewick-svn15878.1.2a-1 3.8 MB/s | 20 kB 00:00 (2499/3004): texlive-sitem-svn22136.1.0-19.fc31 3.0 MB/s | 20 kB 00:00 (2500/3004): texlive-siunitx-svn47746-19.fc31.n 9.2 MB/s | 55 kB 00:00 (2501/3004): texlive-skak-svn46259-19.fc31.noar 5.1 MB/s | 41 kB 00:00 (2502/3004): texlive-skdoc-svn47526-19.fc31.noa 4.8 MB/s | 30 kB 00:00 (2503/3004): texlive-skb-svn22781.0.52-19.fc31. 3.1 MB/s | 28 kB 00:00 (2504/3004): texlive-skeycommand-svn24652.0.4-1 3.7 MB/s | 24 kB 00:00 (2505/3004): texlive-skmath-svn49550-19.fc31.no 3.3 MB/s | 23 kB 00:00 (2506/3004): texlive-skeyval-svn30560.1.3-19.fc 12 MB/s | 119 kB 00:00 (2507/3004): texlive-skrapport-svn49540-19.fc31 6.8 MB/s | 34 kB 00:00 (2508/3004): texlive-skull-svn25608.0.1-19.fc31 4.1 MB/s | 20 kB 00:00 (2509/3004): texlive-slantsc-svn25007.2.11-19.f 3.6 MB/s | 18 kB 00:00 (2510/3004): texlive-smalltableof-svn20333.0-19 3.3 MB/s | 18 kB 00:00 (2511/3004): texlive-smartdiagram-svn42781-19.f 5.0 MB/s | 27 kB 00:00 (2512/3004): texlive-smartref-svn20311.1.9-19.f 1.9 MB/s | 20 kB 00:00 (2513/3004): texlive-snapshot-svn15878.1.14-19. 2.8 MB/s | 21 kB 00:00 (2514/3004): texlive-smartunits-svn39592-19.fc3 2.4 MB/s | 23 kB 00:00 (2515/3004): texlive-sort-by-letters-svn27128.0 4.7 MB/s | 26 kB 00:00 (2516/3004): texlive-snotez-svn30355.0.3-19.fc3 2.9 MB/s | 22 kB 00:00 (2517/3004): texlive-soton-svn16215.0.1-19.fc31 1.9 MB/s | 18 kB 00:00 (2518/3004): texlive-soul-svn15878.2.4-19.fc31. 3.7 MB/s | 23 kB 00:00 (2519/3004): texlive-soulpos-svn51479-19.fc31.n 21 MB/s | 175 kB 00:00 (2520/3004): texlive-sourcesanspro-svn42852-19. 66 MB/s | 3.7 MB 00:00 (2521/3004): texlive-sourcecodepro-svn51163-19. 43 MB/s | 3.7 MB 00:00 (2522/3004): texlive-sourceserifpro-svn49120-19 37 MB/s | 3.9 MB 00:00 (2523/3004): texlive-spacingtricks-svn51495-19. 2.6 MB/s | 117 kB 00:00 (2524/3004): texlive-spalign-svn42225-19.fc31.n 2.0 MB/s | 140 kB 00:00 (2525/3004): texlive-sparklines-svn42821-19.fc3 293 kB/s | 20 kB 00:00 (2526/3004): texlive-spark-otf-svn51005-19.fc31 1.7 MB/s | 124 kB 00:00 (2527/3004): texlive-spath3-svn50018-19.fc31.no 5.8 MB/s | 32 kB 00:00 (2528/3004): texlive-sphack-svn20842.0-19.fc31. 2.6 MB/s | 16 kB 00:00 (2529/3004): texlive-sphdthesis-svn34374.1.0-19 3.1 MB/s | 21 kB 00:00 (2530/3004): texlive-spectralsequences-svn50072 85 MB/s | 2.6 MB 00:00 (2531/3004): texlive-spie-svn15878.3.25-19.fc31 1.4 MB/s | 27 kB 00:00 (2532/3004): texlive-splitbib-svn15878.1.17-19. 1.5 MB/s | 22 kB 00:00 (2533/3004): texlive-spot-svn22408.1.1-19.fc31. 4.1 MB/s | 22 kB 00:00 (2534/3004): texlive-spotcolor-svn15878.1.2-19. 4.2 MB/s | 28 kB 00:00 (2535/3004): texlive-splitindex-20190410-8.fc31 40 MB/s | 438 kB 00:00 (2536/3004): texlive-spreadtab-svn50147-19.fc31 7.8 MB/s | 41 kB 00:00 (2537/3004): texlive-spverbatim-svn15878.v1.0-1 2.6 MB/s | 18 kB 00:00 (2538/3004): texlive-sr-vorl-svn39529-19.fc31.n 4.3 MB/s | 23 kB 00:00 (2539/3004): texlive-srbook-mem-svn45818-19.fc3 1.5 MB/s | 14 kB 00:00 (2540/3004): texlive-srcltx-svn15878.1.6-19.fc3 1.5 MB/s | 16 kB 00:00 (2541/3004): texlive-srdp-mathematik-svn51600-1 13 MB/s | 155 kB 00:00 (2542/3004): texlive-sseq-svn31585.2.01-19.fc31 2.9 MB/s | 25 kB 00:00 (2543/3004): texlive-sslides-svn32293.0-19.fc31 3.7 MB/s | 21 kB 00:00 (2544/3004): texlive-stack-svn15878.1.00-19.fc3 3.5 MB/s | 19 kB 00:00 (2545/3004): texlive-standalone-svn47136-19.fc3 6.4 MB/s | 32 kB 00:00 (2546/3004): texlive-stanli-svn42765-19.fc31.no 53 MB/s | 481 kB 00:00 (2547/3004): texlive-stackengine-svn43221-19.fc 1.7 MB/s | 25 kB 00:00 (2548/3004): texlive-starfont-svn19982.1.2-19.f 22 MB/s | 191 kB 00:00 (2549/3004): texlive-statex-svn20306.1.6-19.fc3 4.6 MB/s | 22 kB 00:00 (2550/3004): texlive-statex2-svn23961.2.1-19.fc 4.2 MB/s | 22 kB 00:00 (2551/3004): texlive-statistik-svn20334.0.03-19 2.8 MB/s | 20 kB 00:00 (2552/3004): texlive-statistics-svn48252-19.fc3 36 MB/s | 442 kB 00:00 (2553/3004): texlive-statmath-svn46925-19.fc31. 19 MB/s | 221 kB 00:00 (2554/3004): texlive-staves-svn15878.0-19.fc31. 18 MB/s | 136 kB 00:00 (2555/3004): texlive-stdclsdv-svn15878.1.1a-19. 3.4 MB/s | 18 kB 00:00 (2556/3004): texlive-stdpage-svn15878.0.6-19.fc 3.9 MB/s | 20 kB 00:00 (2557/3004): texlive-steinmetz-svn15878.1.0-19. 3.5 MB/s | 18 kB 00:00 (2558/3004): texlive-stellenbosch-svn36696.11a- 44 MB/s | 745 kB 00:00 (2559/3004): texlive-stex-svn50489-19.fc31.noar 4.3 MB/s | 65 kB 00:00 (2560/3004): texlive-stealcaps-svn46434-19.fc31 54 MB/s | 2.9 MB 00:00 (2561/3004): texlive-stix-svn47652-19.fc31.noar 33 MB/s | 2.6 MB 00:00 (2562/3004): texlive-stickstoo-svn47858-19.fc31 23 MB/s | 3.3 MB 00:00 (2563/3004): texlive-stix2-otf-svn50948-19.fc31 15 MB/s | 2.5 MB 00:00 (2564/3004): texlive-stix2-type1-svn50940-19.fc 23 MB/s | 4.3 MB 00:00 (2565/3004): texlive-stmaryrd-svn22027.0-19.fc3 2.4 MB/s | 186 kB 00:00 (2566/3004): texlive-storebox-svn24895.1.3a-19. 293 kB/s | 23 kB 00:00 (2567/3004): texlive-storecmd-svn24431.0.0.2-19 4.3 MB/s | 23 kB 00:00 (2568/3004): texlive-stringstrings-svn36203.1.2 4.9 MB/s | 29 kB 00:00 (2569/3004): texlive-structmech-svn47859-19.fc3 21 MB/s | 173 kB 00:00 (2570/3004): texlive-struktex-svn47931-19.fc31. 4.4 MB/s | 29 kB 00:00 (2571/3004): texlive-sttools-svn43684-19.fc31.n 6.2 MB/s | 34 kB 00:00 (2572/3004): texlive-stubs-svn19440.0.1.1-19.fc 4.3 MB/s | 20 kB 00:00 (2573/3004): texlive-subdepth-svn15878.0.1-19.f 3.8 MB/s | 18 kB 00:00 (2574/3004): texlive-studenthandouts-svn43516-1 32 MB/s | 336 kB 00:00 (2575/3004): texlive-subdocs-svn51480-19.fc31.n 2.4 MB/s | 17 kB 00:00 (2576/3004): texlive-subeqn-svn15878.2.0b-19.fc 3.5 MB/s | 18 kB 00:00 (2577/3004): texlive-subeqnarray-svn15878.2.1c- 3.9 MB/s | 19 kB 00:00 (2578/3004): texlive-subfig-svn15878.1.3-19.fc3 4.0 MB/s | 24 kB 00:00 (2579/3004): texlive-subfigure-svn15878.2.1.5-1 4.3 MB/s | 22 kB 00:00 (2580/3004): texlive-subfiles-svn48323-19.fc31. 2.7 MB/s | 21 kB 00:00 (2581/3004): texlive-subfigmat-svn20308.1.0-19. 1.5 MB/s | 19 kB 00:00 (2582/3004): texlive-subfloat-svn29349.2.14-19. 2.6 MB/s | 19 kB 00:00 (2583/3004): texlive-substances-svn40989-19.fc3 4.4 MB/s | 24 kB 00:00 (2584/3004): texlive-substitutefont-svn32066.0. 3.6 MB/s | 21 kB 00:00 (2585/3004): texlive-substr-svn16117.1.2-19.fc3 3.4 MB/s | 19 kB 00:00 (2586/3004): texlive-subsupscripts-svn16080.1.0 2.7 MB/s | 14 kB 00:00 (2587/3004): texlive-subtext-svn51273-19.fc31.n 7.0 MB/s | 58 kB 00:00 (2588/3004): texlive-suftesi-svn49138-19.fc31.n 4.7 MB/s | 33 kB 00:00 (2589/3004): texlive-sugconf-svn15878.0-19.fc31 3.2 MB/s | 20 kB 00:00 (2590/3004): texlive-superiors-svn36422.1.05-19 5.4 MB/s | 28 kB 00:00 (2591/3004): texlive-supertabular-svn15878.4.1a 4.6 MB/s | 23 kB 00:00 (2592/3004): texlive-susy-svn19440.0-19.fc31.no 3.3 MB/s | 18 kB 00:00 (2593/3004): texlive-svg-svn49148-19.fc31.noarc 6.1 MB/s | 33 kB 00:00 (2594/3004): texlive-svgcolor-svn15878.1.0-19.f 3.7 MB/s | 20 kB 00:00 (2595/3004): texlive-svn-multi-20190410-8.fc31. 41 MB/s | 420 kB 00:00 (2596/3004): texlive-svn-prov-svn18017.3.1862-1 2.5 MB/s | 19 kB 00:00 (2597/3004): texlive-svn-svn15878.43-19.fc31.no 2.8 MB/s | 21 kB 00:00 (2598/3004): texlive-svninfo-svn17554.0.7.4-19. 3.1 MB/s | 21 kB 00:00 (2599/3004): texlive-svrsymbols-svn50019-19.fc3 12 MB/s | 90 kB 00:00 (2600/3004): texlive-swimgraf-svn25446.0-19.fc3 4.4 MB/s | 29 kB 00:00 (2601/3004): texlive-syllogism-svn15878.1.2-19. 4.4 MB/s | 20 kB 00:00 (2602/3004): texlive-sympytexpackage-svn45818-1 3.1 MB/s | 17 kB 00:00 (2603/3004): texlive-symbol-svn31835.0-19.fc31. 8.1 MB/s | 58 kB 00:00 (2604/3004): texlive-syntax-svn15878.0-19.fc31. 3.1 MB/s | 21 kB 00:00 (2605/3004): texlive-syntrace-svn15878.1.1-19.f 3.0 MB/s | 18 kB 00:00 (2606/3004): texlive-synproof-svn15878.1.0-19.f 1.5 MB/s | 19 kB 00:00 (2607/3004): texlive-synttree-svn16252.1.4.2-19 3.7 MB/s | 20 kB 00:00 (2608/3004): texlive-tabfigures-svn25202.1.1-19 3.9 MB/s | 22 kB 00:00 (2609/3004): texlive-t-angles-svn15878.0-19.fc3 3.1 MB/s | 24 kB 00:00 (2610/3004): texlive-table-fct-svn41849-19.fc31 4.5 MB/s | 23 kB 00:00 (2611/3004): texlive-tableaux-svn42413-19.fc31. 3.7 MB/s | 20 kB 00:00 (2612/3004): texlive-tablefootnote-svn32804.1.1 4.1 MB/s | 24 kB 00:00 (2613/3004): texlive-tableof-svn36489.1.4a-19.f 4.1 MB/s | 22 kB 00:00 (2614/3004): texlive-tablestyles-svn34495.0-19. 3.1 MB/s | 15 kB 00:00 (2615/3004): texlive-tablists-svn15878.0.0e-19. 3.8 MB/s | 20 kB 00:00 (2616/3004): texlive-tablor-svn31855.4.07_g-19. 6.0 MB/s | 30 kB 00:00 (2617/3004): texlive-tabls-svn17255.3.5-19.fc31 3.6 MB/s | 18 kB 00:00 (2618/3004): texlive-tabriz-thesis-svn51729-19. 4.4 MB/s | 24 kB 00:00 (2619/3004): texlive-tabstackengine-svn46848-19 4.0 MB/s | 22 kB 00:00 (2620/3004): texlive-tabto-ltx-svn50188-19.fc31 3.8 MB/s | 20 kB 00:00 (2621/3004): texlive-tabu-svn49707-19.fc31.noar 7.8 MB/s | 44 kB 00:00 (2622/3004): texlive-tabularborder-svn17885.1.0 3.7 MB/s | 20 kB 00:00 (2623/3004): texlive-tabularcalc-svn15878.0.2-1 4.0 MB/s | 22 kB 00:00 (2624/3004): texlive-tabularew-svn15878.0.1-19. 3.6 MB/s | 19 kB 00:00 (2625/3004): texlive-tabulary-svn34368.0.10-19. 4.1 MB/s | 21 kB 00:00 (2626/3004): texlive-tagging-svn23761.0-19.fc31 4.0 MB/s | 21 kB 00:00 (2627/3004): texlive-tagpair-svn42138-19.fc31.n 3.8 MB/s | 21 kB 00:00 (2628/3004): texlive-talk-svn42428-19.fc31.noar 4.1 MB/s | 22 kB 00:00 (2629/3004): texlive-tamefloats-svn27345.v0.42- 3.5 MB/s | 24 kB 00:00 (2630/3004): texlive-tagpdf-svn51535-19.fc31.no 45 MB/s | 637 kB 00:00 (2631/3004): texlive-tapir-svn20484.0.2-19.fc31 12 MB/s | 71 kB 00:00 (2632/3004): texlive-tasks-svn41851-19.fc31.noa 4.5 MB/s | 26 kB 00:00 (2633/3004): texlive-tcldoc-svn22018.2.40-19.fc 4.9 MB/s | 24 kB 00:00 (2634/3004): texlive-tdclock-svn33043.v2.5-19.f 4.7 MB/s | 24 kB 00:00 (2635/3004): texlive-technics-svn29349.1.0-19.f 3.5 MB/s | 19 kB 00:00 (2636/3004): texlive-tcolorbox-svn50206-19.fc31 21 MB/s | 247 kB 00:00 (2637/3004): texlive-technion-thesis-template-s 19 MB/s | 139 kB 00:00 (2638/3004): texlive-ted-svn15878.1.06-19.fc31. 3.8 MB/s | 20 kB 00:00 (2639/3004): texlive-templatetools-svn34495.0-1 3.8 MB/s | 22 kB 00:00 (2640/3004): texlive-tengwarscript-svn34594.1.3 12 MB/s | 64 kB 00:00 (2641/3004): texlive-tensind-svn51481-19.fc31.n 31 MB/s | 228 kB 00:00 (2642/3004): texlive-tensor-svn15878.2.1-19.fc3 2.9 MB/s | 19 kB 00:00 (2643/3004): texlive-termcal-svn22514.1.8-19.fc 3.8 MB/s | 20 kB 00:00 (2644/3004): texlive-termlist-svn18923.1.1-19.f 3.1 MB/s | 18 kB 00:00 (2645/3004): texlive-testhyphens-svn38928-19.fc 3.5 MB/s | 22 kB 00:00 (2646/3004): texlive-tempora-svn39596-19.fc31.n 35 MB/s | 1.3 MB 00:00 (2647/3004): texlive-tetex-20190410-8.fc31.noar 23 MB/s | 392 kB 00:00 (2648/3004): texlive-tex-20190410-8.fc31.x86_64 12 MB/s | 195 kB 00:00 (2649/3004): texlive-testidx-svn45021-19.fc31.n 60 MB/s | 3.2 MB 00:00 (2650/3004): texlive-tex-ewd-svn15878.0-19.fc31 682 kB/s | 18 kB 00:00 (2651/3004): texlive-tex-gyre-math-svn41264-19. 48 MB/s | 1.4 MB 00:00 (2652/3004): texlive-tex-ini-files-svn40533-19. 2.0 MB/s | 18 kB 00:00 (2653/3004): texlive-tex-label-svn16372.0-19.fc 3.6 MB/s | 20 kB 00:00 (2654/3004): texlive-tex-locale-svn48500-19.fc3 23 MB/s | 947 kB 00:00 (2655/3004): texlive-tex4ht-20190410-8.fc31.x86 39 MB/s | 1.8 MB 00:00 (2656/3004): texlive-tex-gyre-svn48058-19.fc31. 47 MB/s | 7.9 MB 00:00 (2657/3004): texlive-texdraw-svn51030-19.fc31.n 587 kB/s | 57 kB 00:00 (2658/3004): texlive-texilikechaps-svn28553.1.0 3.7 MB/s | 19 kB 00:00 (2659/3004): texlive-texilikecover-svn15878.0.1 3.4 MB/s | 18 kB 00:00 (2660/3004): texlive-texlive-common-doc-svn5046 18 MB/s | 108 kB 00:00 (2661/3004): texlive-texlive-docindex-svn51813- 18 MB/s | 123 kB 00:00 (2662/3004): texlive-texlive-msg-translations-s 19 MB/s | 153 kB 00:00 (2663/3004): texlive-texlive-en-20190410-8.fc31 51 MB/s | 1.8 MB 00:00 (2664/3004): texlive-texlive-scripts-20190410-8 1.5 MB/s | 34 kB 00:00 (2665/3004): texlive-texlogos-svn19083.1.3.1-19 3.6 MB/s | 19 kB 00:00 (2666/3004): texlive-texmate-svn15878.2-19.fc31 4.1 MB/s | 23 kB 00:00 (2667/3004): texlive-texlive.infra-20190410-8.f 15 MB/s | 270 kB 00:00 (2668/3004): texlive-texments-svn15878.0.2.0-19 3.4 MB/s | 18 kB 00:00 (2669/3004): texlive-texshade-svn46559-19.fc31. 14 MB/s | 83 kB 00:00 (2670/3004): texlive-texpower-svn29349.0.2-19.f 6.8 MB/s | 60 kB 00:00 (2671/3004): texlive-textcase-svn15878.0-19.fc3 3.7 MB/s | 18 kB 00:00 (2672/3004): texlive-textfit-svn20591.5-19.fc31 4.2 MB/s | 22 kB 00:00 (2673/3004): texlive-textgreek-svn44192-19.fc31 3.4 MB/s | 19 kB 00:00 (2674/3004): texlive-textmerg-svn20677.2.01-19. 3.1 MB/s | 16 kB 00:00 (2675/3004): texlive-textopo-svn23796.1.5-19.fc 9.4 MB/s | 51 kB 00:00 (2676/3004): texlive-textpos-svn50988-19.fc31.n 3.6 MB/s | 22 kB 00:00 (2677/3004): texlive-textualicomma-svn48474-19. 19 MB/s | 136 kB 00:00 (2678/3004): texlive-tfrupee-svn20770.1.02-19.f 47 MB/s | 619 kB 00:00 (2679/3004): texlive-texconfig-20190410-8.fc31. 152 kB/s | 32 kB 00:00 (2680/3004): texlive-theoremref-svn30640.0-19.f 4.3 MB/s | 21 kB 00:00 (2681/3004): texlive-thesis-ekf-svn51026-19.fc3 13 MB/s | 80 kB 00:00 (2682/3004): texlive-thesis-gwu-svn48324-19.fc3 47 MB/s | 420 kB 00:00 (2683/3004): texlive-thesis-titlepage-fhac-svn1 4.1 MB/s | 21 kB 00:00 (2684/3004): texlive-thesis-qom-svn49124-19.fc3 56 MB/s | 1.2 MB 00:00 (2685/3004): texlive-thinsp-svn39669-19.fc31.no 2.2 MB/s | 19 kB 00:00 (2686/3004): texlive-thmbox-svn15878.0-19.fc31. 3.4 MB/s | 19 kB 00:00 (2687/3004): texlive-thmtools-svn51790-19.fc31. 5.7 MB/s | 34 kB 00:00 (2688/3004): texlive-threadcol-svn28754.1.0-19. 3.7 MB/s | 22 kB 00:00 (2689/3004): texlive-threeparttable-svn17383.0- 3.5 MB/s | 19 kB 00:00 (2690/3004): texlive-threeparttablex-svn34206.0 3.4 MB/s | 19 kB 00:00 (2691/3004): texlive-thuaslogos-svn51347-19.fc3 36 MB/s | 792 kB 00:00 (2692/3004): texlive-thucoursework-svn47781-19. 36 MB/s | 662 kB 00:00 (2693/3004): texlive-thumbpdf-20190410-8.fc31.n 8.2 MB/s | 44 kB 00:00 (2694/3004): texlive-thumb-svn16549.1.0-19.fc31 3.0 MB/s | 22 kB 00:00 (2695/3004): texlive-thumbs-svn33134.1.0q-19.fc 5.8 MB/s | 31 kB 00:00 (2696/3004): texlive-thumby-svn16736.0.1-19.fc3 5.0 MB/s | 28 kB 00:00 (2697/3004): texlive-thuthesis-svn50932-19.fc31 7.4 MB/s | 40 kB 00:00 (2698/3004): texlive-ticket-svn42280-19.fc31.no 3.9 MB/s | 23 kB 00:00 (2699/3004): texlive-ticollege-svn36306.1.0-19. 4.1 MB/s | 22 kB 00:00 (2700/3004): texlive-tikz-3dplot-svn25087.0-19. 4.6 MB/s | 28 kB 00:00 (2701/3004): texlive-tikz-bayesnet-svn38295.0.1 4.0 MB/s | 21 kB 00:00 (2702/3004): texlive-tikz-cd-svn49201-19.fc31.n 5.4 MB/s | 32 kB 00:00 (2703/3004): texlive-tikz-dependency-svn42454-1 5.9 MB/s | 30 kB 00:00 (2704/3004): texlive-tikz-dimline-svn35805.1.0- 3.0 MB/s | 16 kB 00:00 (2705/3004): texlive-tikz-feynman-svn39582-19.f 6.4 MB/s | 35 kB 00:00 (2706/3004): texlive-tikz-feynhand-svn46502-19. 34 MB/s | 337 kB 00:00 (2707/3004): texlive-tikz-inet-svn15878.0.1-19. 2.8 MB/s | 20 kB 00:00 (2708/3004): texlive-tikz-imagelabels-svn51490- 67 MB/s | 969 kB 00:00 (2709/3004): texlive-tikz-kalender-svn51329-19. 17 MB/s | 134 kB 00:00 (2710/3004): texlive-tikz-karnaugh-svn47026-19. 26 MB/s | 291 kB 00:00 (2711/3004): texlive-tikz-ladder-svn46555-19.fc 25 MB/s | 260 kB 00:00 (2712/3004): texlive-tikz-layers-svn46660-19.fc 3.6 MB/s | 21 kB 00:00 (2713/3004): texlive-tikz-nef-svn48240-19.fc31. 15 MB/s | 110 kB 00:00 (2714/3004): texlive-tikz-opm-svn32769.0.1.1-19 3.7 MB/s | 22 kB 00:00 (2715/3004): texlive-tikz-network-svn48314-19.f 56 MB/s | 803 kB 00:00 (2716/3004): texlive-tikz-page-svn42039-19.fc31 53 MB/s | 573 kB 00:00 (2717/3004): texlive-tikz-optics-svn43466-19.fc 22 MB/s | 414 kB 00:00 (2718/3004): texlive-tikz-palattice-svn43442-19 5.2 MB/s | 25 kB 00:00 (2719/3004): texlive-tikz-qtree-svn26108.1.2-19 5.3 MB/s | 26 kB 00:00 (2720/3004): texlive-tikz-relay-svn51355-19.fc3 32 MB/s | 502 kB 00:00 (2721/3004): texlive-tikz-sfc-svn49424-19.fc31. 22 MB/s | 353 kB 00:00 (2722/3004): texlive-tikz-timing-svn46111-19.fc 6.8 MB/s | 38 kB 00:00 (2723/3004): texlive-tikz-truchet-svn50020-19.f 20 MB/s | 132 kB 00:00 (2724/3004): texlive-tikzcodeblocks-svn47265-19 52 MB/s | 494 kB 00:00 (2725/3004): texlive-tikzducks-svn50840-19.fc31 42 MB/s | 588 kB 00:00 (2726/3004): texlive-tikzlings-svn50841-19.fc31 48 MB/s | 494 kB 00:00 (2727/3004): texlive-tikzmark-svn51050-19.fc31. 4.3 MB/s | 25 kB 00:00 (2728/3004): texlive-tikzmarmots-svn49114-19.fc 28 MB/s | 241 kB 00:00 (2729/3004): texlive-tikzorbital-svn36439.0-19. 1.9 MB/s | 20 kB 00:00 (2730/3004): texlive-tikzpagenodes-svn27723.1.1 3.7 MB/s | 18 kB 00:00 (2731/3004): texlive-tikzpeople-svn43978-19.fc3 43 MB/s | 517 kB 00:00 (2732/3004): texlive-texvc-svn46844-19.fc31.noa 70 kB/s | 21 kB 00:00 (2733/3004): texlive-tikzpfeile-svn25777.1.0-19 3.2 MB/s | 18 kB 00:00 (2734/3004): texlive-tikzscale-svn30637.0.2.6-1 4.9 MB/s | 24 kB 00:00 (2735/3004): texlive-tikzsymbols-svn49975-19.fc 6.2 MB/s | 34 kB 00:00 (2736/3004): texlive-timbreicmc-svn49740-19.fc3 53 MB/s | 729 kB 00:00 (2737/3004): texlive-times-svn35058.0-19.fc31.n 44 MB/s | 348 kB 00:00 (2738/3004): texlive-timing-diagrams-svn31491.0 4.1 MB/s | 22 kB 00:00 (2739/3004): texlive-tinos-svn42882-19.fc31.noa 84 MB/s | 3.2 MB 00:00 (2740/3004): texlive-tipa-svn29349.1.3-19.fc31. 64 MB/s | 2.8 MB 00:00 (2741/3004): texlive-tipfr-doc-svn38646-19.fc31 45 MB/s | 388 kB 00:00 (2742/3004): texlive-titlecaps-svn36170.1.2-19. 3.6 MB/s | 24 kB 00:00 (2743/3004): texlive-titlefoot-svn15878.0-19.fc 3.9 MB/s | 20 kB 00:00 (2744/3004): texlive-titlepic-svn43497-19.fc31. 2.8 MB/s | 16 kB 00:00 (2745/3004): texlive-titleref-svn18729.3.1-19.f 3.6 MB/s | 17 kB 00:00 (2746/3004): texlive-titlesec-svn51664-19.fc31. 6.9 MB/s | 37 kB 00:00 (2747/3004): texlive-titling-svn15878.2.1d-19.f 3.7 MB/s | 19 kB 00:00 (2748/3004): texlive-tkz-base-svn22961.1.16-19. 6.9 MB/s | 38 kB 00:00 (2749/3004): texlive-tkz-berge-svn22891.1.00c-1 4.8 MB/s | 25 kB 00:00 (2750/3004): texlive-tkz-doc-svn22959.1.1c-19.f 5.0 MB/s | 26 kB 00:00 (2751/3004): texlive-tkz-euclide-svn22830.1.16c 6.0 MB/s | 36 kB 00:00 (2752/3004): texlive-tkz-fct-svn22831.1.16c-19. 4.3 MB/s | 21 kB 00:00 (2753/3004): texlive-tkz-graph-svn22832.1.00-19 3.8 MB/s | 23 kB 00:00 (2754/3004): texlive-tkz-kiviat-svn22857.0.1-19 3.5 MB/s | 19 kB 00:00 (2755/3004): texlive-tkz-linknodes-svn22833.1.0 1.5 MB/s | 20 kB 00:00 (2756/3004): texlive-tkz-orm-svn39408-19.fc31.n 4.9 MB/s | 26 kB 00:00 (2757/3004): texlive-tikzinclude-svn28715.1.0-1 71 kB/s | 21 kB 00:00 (2758/3004): texlive-tkz-tab-svn49775-19.fc31.n 4.2 MB/s | 23 kB 00:00 (2759/3004): texlive-tlc-article-svn51431-19.fc 48 MB/s | 447 kB 00:00 (2760/3004): texlive-tocbibind-svn20085.1.5k-19 3.3 MB/s | 20 kB 00:00 (2761/3004): texlive-tocdata-svn51654-19.fc31.n 66 MB/s | 912 kB 00:00 (2762/3004): texlive-tocloft-svn45188-19.fc31.n 2.3 MB/s | 26 kB 00:00 (2763/3004): texlive-tocvsec2-svn33146.1.3a-19. 1.9 MB/s | 21 kB 00:00 (2764/3004): texlive-todo-svn17746.2.142-19.fc3 1.3 MB/s | 19 kB 00:00 (2765/3004): texlive-todonotes-svn49820-19.fc31 3.2 MB/s | 23 kB 00:00 (2766/3004): texlive-tikzposter-svn32732.2.0-19 112 kB/s | 31 kB 00:00 (2767/3004): texlive-tokenizer-svn15878.1.1.0-1 3.4 MB/s | 19 kB 00:00 (2768/3004): texlive-toolbox-svn32260.5.1-19.fc 3.1 MB/s | 20 kB 00:00 (2769/3004): texlive-topfloat-svn19084.0-19.fc3 2.5 MB/s | 20 kB 00:00 (2770/3004): texlive-topiclongtable-svn51601-19 45 MB/s | 387 kB 00:00 (2771/3004): texlive-topletter-svn48182-19.fc31 42 MB/s | 369 kB 00:00 (2772/3004): texlive-tools-svn49619-19.fc31.noa 3.5 MB/s | 65 kB 00:00 (2773/3004): texlive-toptesi-svn51743-19.fc31.n 6.0 MB/s | 41 kB 00:00 (2774/3004): texlive-totcount-svn21178.1.2-19.f 3.8 MB/s | 19 kB 00:00 (2775/3004): texlive-totpages-svn15878.2.00-19. 3.8 MB/s | 20 kB 00:00 (2776/3004): texlive-tpslifonts-svn42428-19.fc3 3.7 MB/s | 24 kB 00:00 (2777/3004): texlive-tqft-svn44455-19.fc31.noar 3.4 MB/s | 27 kB 00:00 (2778/3004): texlive-tracklang-svn47704-19.fc31 5.2 MB/s | 39 kB 00:00 (2779/3004): texlive-trajan-svn15878.1.1-19.fc3 9.8 MB/s | 65 kB 00:00 (2780/3004): texlive-translations-svn45189-19.f 6.5 MB/s | 33 kB 00:00 (2781/3004): texlive-translator-svn51279-19.fc3 36 MB/s | 269 kB 00:00 (2782/3004): texlive-trfsigns-svn15878.1.01-19. 4.1 MB/s | 20 kB 00:00 (2783/3004): texlive-tree-dvips-svn21751.91-19. 2.3 MB/s | 25 kB 00:00 (2784/3004): texlive-trimspaces-svn15878.1.1-19 3.4 MB/s | 18 kB 00:00 (2785/3004): texlive-trivfloat-svn15878.1.3b-19 3.5 MB/s | 19 kB 00:00 (2786/3004): texlive-trsym-svn18732.1.0-19.fc31 3.9 MB/s | 21 kB 00:00 (2787/3004): texlive-truncate-svn18921.3.6-19.f 3.2 MB/s | 17 kB 00:00 (2788/3004): texlive-tsemlines-svn23440.1.0-19. 2.9 MB/s | 15 kB 00:00 (2789/3004): texlive-tucv-svn20680.1.0-19.fc31. 2.9 MB/s | 16 kB 00:00 (2790/3004): texlive-ttfutils-20190410-8.fc31.x 31 MB/s | 312 kB 00:00 (2791/3004): texlive-tuda-ci-svn51822-19.fc31.n 66 MB/s | 968 kB 00:00 (2792/3004): texlive-tudscr-svn51675-19.fc31.no 15 MB/s | 189 kB 00:00 (2793/3004): texlive-tufte-latex-svn37649.3.5.2 5.0 MB/s | 39 kB 00:00 (2794/3004): texlive-tugboat-plain-svn51373-19. 10 MB/s | 46 kB 00:00 (2795/3004): texlive-tugboat-svn49415-19.fc31.n 8.0 MB/s | 46 kB 00:00 (2796/3004): texlive-tui-svn27253.1.9-19.fc31.n 3.4 MB/s | 21 kB 00:00 (2797/3004): texlive-turabian-svn36298.0.1.0-19 4.1 MB/s | 19 kB 00:00 (2798/3004): texlive-turabian-formatting-svn483 2.5 MB/s | 28 kB 00:00 (2799/3004): texlive-turnstile-svn15878.1.0-19. 1.4 MB/s | 19 kB 00:00 (2800/3004): texlive-turnthepage-svn29803.1.3a- 2.0 MB/s | 19 kB 00:00 (2801/3004): texlive-twoinone-svn17024.0-19.fc3 2.0 MB/s | 15 kB 00:00 (2802/3004): texlive-twoup-svn15878.1.3-19.fc31 3.6 MB/s | 19 kB 00:00 (2803/3004): texlive-txfonts-svn15878.0-19.fc31 48 MB/s | 769 kB 00:00 (2804/3004): texlive-txgreeks-svn21839.1.0-19.f 1.7 MB/s | 22 kB 00:00 (2805/3004): texlive-type1cm-svn21820.0-19.fc31 3.9 MB/s | 19 kB 00:00 (2806/3004): texlive-txuprcal-svn43327-19.fc31. 19 MB/s | 161 kB 00:00 (2807/3004): texlive-typed-checklist-svn49731-1 3.4 MB/s | 24 kB 00:00 (2808/3004): texlive-typeface-svn27046.0.1-19.f 8.9 MB/s | 64 kB 00:00 (2809/3004): texlive-txfontsb-svn21578.1.1-19.f 52 MB/s | 2.4 MB 00:00 (2810/3004): texlive-typehtml-svn17134.0-19.fc3 1.7 MB/s | 24 kB 00:00 (2811/3004): texlive-typicons-svn37623.2.0.7-19 6.3 MB/s | 83 kB 00:00 (2812/3004): texlive-typoaid-svn44238-19.fc31.n 46 MB/s | 350 kB 00:00 (2813/3004): texlive-typogrid-svn24994.0.21-19. 2.7 MB/s | 19 kB 00:00 (2814/3004): texlive-uaclasses-svn15878.0-19.fc 2.8 MB/s | 20 kB 00:00 (2815/3004): texlive-uafthesis-svn29349.12.12-1 4.3 MB/s | 24 kB 00:00 (2816/3004): texlive-uassign-svn38459-19.fc31.n 4.4 MB/s | 22 kB 00:00 (2817/3004): texlive-ucalgmthesis-svn50705-19.f 20 MB/s | 138 kB 00:00 (2818/3004): texlive-ucbthesis-svn51690-19.fc31 3.1 MB/s | 27 kB 00:00 (2819/3004): texlive-uantwerpendocs-svn51007-19 61 MB/s | 1.4 MB 00:00 (2820/3004): texlive-ucdavisthesis-svn40772-19. 2.3 MB/s | 28 kB 00:00 (2821/3004): texlive-ucs-svn35853.2.2-19.fc31.n 50 MB/s | 356 kB 00:00 (2822/3004): texlive-ucsmonograph-svn49389-19.f 39 MB/s | 425 kB 00:00 (2823/3004): texlive-ucthesis-svn15878.3.2-19.f 6.7 MB/s | 39 kB 00:00 (2824/3004): texlive-uebungsblatt-svn15878.1.5. 4.5 MB/s | 21 kB 00:00 (2825/3004): texlive-uestcthesis-svn36371.1.1.0 7.5 MB/s | 38 kB 00:00 (2826/3004): texlive-uhhassignment-svn44026-19. 39 MB/s | 392 kB 00:00 (2827/3004): texlive-uhc-svn16791.0-19.fc31.noa 87 MB/s | 3.9 MB 00:00 (2828/3004): texlive-uiucredborder-svn29974.1.0 901 kB/s | 20 kB 00:00 (2829/3004): texlive-ulem-svn26785.0-19.fc31.no 4.2 MB/s | 23 kB 00:00 (2830/3004): texlive-uiucthesis-svn15878.2.25-1 2.8 MB/s | 22 kB 00:00 (2831/3004): texlive-ulqda-20190410-8.fc31.noar 36 MB/s | 269 kB 00:00 (2832/3004): texlive-ulthese-svn51038-19.fc31.n 10 MB/s | 82 kB 00:00 (2833/3004): texlive-umich-thesis-svn15878.1.20 4.4 MB/s | 22 kB 00:00 (2834/3004): texlive-umbclegislation-svn41348-1 2.9 MB/s | 27 kB 00:00 (2835/3004): texlive-umoline-svn19085.0-19.fc31 3.5 MB/s | 20 kB 00:00 (2836/3004): texlive-umthesis-svn15878.0.2-19.f 4.8 MB/s | 23 kB 00:00 (2837/3004): texlive-umtypewriter-svn18651.001. 45 MB/s | 382 kB 00:00 (2838/3004): texlive-unam-thesis-svn51207-19.fc 4.0 MB/s | 29 kB 00:00 (2839/3004): texlive-unamthesis-svn43639-19.fc3 4.6 MB/s | 30 kB 00:00 (2840/3004): texlive-underlin-svn15878.1.01-19. 3.7 MB/s | 18 kB 00:00 (2841/3004): texlive-underoverlap-svn29019.0.0. 4.5 MB/s | 23 kB 00:00 (2842/3004): texlive-unamth-template-doc-svn336 84 MB/s | 4.8 MB 00:00 (2843/3004): texlive-underscore-svn18261.0-19.f 782 kB/s | 22 kB 00:00 (2844/3004): texlive-ucharcat-svn38907-19.fc31. 107 kB/s | 18 kB 00:00 (2845/3004): texlive-undolabl-svn36681.1.0l-19. 4.7 MB/s | 22 kB 00:00 (2846/3004): texlive-uni-wtal-ger-svn31541.0.2- 4.0 MB/s | 22 kB 00:00 (2847/3004): texlive-uni-wtal-lin-svn31409.0.2- 4.0 MB/s | 23 kB 00:00 (2848/3004): texlive-unicode-data-svn50303-19.f 31 MB/s | 294 kB 00:00 (2849/3004): texlive-unicode-math-svn50245-19.f 6.7 MB/s | 67 kB 00:00 (2850/3004): texlive-unitn-bimrep-svn45581-19.f 56 MB/s | 820 kB 00:00 (2851/3004): texlive-units-svn42428-19.fc31.noa 2.7 MB/s | 20 kB 00:00 (2852/3004): texlive-unitsdef-svn15878.0.2-19.f 2.6 MB/s | 23 kB 00:00 (2853/3004): texlive-universa-svn15878.2.0-19.f 6.5 MB/s | 49 kB 00:00 (2854/3004): texlive-universalis-svn33860.0-19. 44 MB/s | 591 kB 00:00 (2855/3004): texlive-unravel-svn50557-19.fc31.n 4.8 MB/s | 46 kB 00:00 (2856/3004): texlive-univie-ling-svn49785-19.fc 78 MB/s | 2.0 MB 00:00 (2857/3004): texlive-unswcover-svn29476.1.0-19. 1.5 MB/s | 22 kB 00:00 (2858/3004): texlive-uothesis-svn25355.2.5.6-19 2.6 MB/s | 29 kB 00:00 (2859/3004): texlive-uowthesistitlepage-svn4502 4.3 MB/s | 25 kB 00:00 (2860/3004): texlive-updmap-map-svn52454-19.fc3 34 MB/s | 328 kB 00:00 (2861/3004): texlive-upmethodology-svn51818-19. 7.7 MB/s | 53 kB 00:00 (2862/3004): texlive-uppunctlm-svn42334-19.fc31 25 MB/s | 192 kB 00:00 (2863/3004): texlive-upquote-svn26059.v1.3-19.f 3.2 MB/s | 20 kB 00:00 (2864/3004): texlive-urcls-svn49903-19.fc31.noa 5.7 MB/s | 31 kB 00:00 (2865/3004): texlive-uri-svn21608.1.0a-19.fc31. 4.0 MB/s | 23 kB 00:00 (2866/3004): texlive-url-svn32528.3.4-19.fc31.n 3.6 MB/s | 22 kB 00:00 (2867/3004): texlive-urlbst-20190410-8.fc31.noa 34 MB/s | 236 kB 00:00 (2868/3004): texlive-urwchancal-svn21701.1-19.f 3.8 MB/s | 20 kB 00:00 (2869/3004): texlive-usebib-svn25969.1.0a-19.fc 3.4 MB/s | 21 kB 00:00 (2870/3004): texlive-ushort-svn32261.2.2-19.fc3 3.5 MB/s | 19 kB 00:00 (2871/3004): texlive-uspace-svn42456-19.fc31.no 37 MB/s | 277 kB 00:00 (2872/3004): texlive-ut-thesis-svn38269.2.1-19. 4.4 MB/s | 25 kB 00:00 (2873/3004): texlive-uspatent-svn27744.1.0-19.f 1.9 MB/s | 24 kB 00:00 (2874/3004): texlive-utopia-svn15878.0-19.fc31. 31 MB/s | 234 kB 00:00 (2875/3004): texlive-uwthesis-svn15878.6.13-19. 4.8 MB/s | 25 kB 00:00 (2876/3004): texlive-vak-svn23431.0-19.fc31.noa 2.7 MB/s | 23 kB 00:00 (2877/3004): texlive-vancouver-svn34470.0-19.fc 4.9 MB/s | 28 kB 00:00 (2878/3004): texlive-varindex-svn32262.2.3-19.f 4.6 MB/s | 23 kB 00:00 (2879/3004): texlive-variablelm-svn46611-19.fc3 20 MB/s | 155 kB 00:00 (2880/3004): texlive-varsfromjobname-svn44154-1 3.7 MB/s | 18 kB 00:00 (2881/3004): texlive-varwidth-svn24104.0.92-19. 4.3 MB/s | 21 kB 00:00 (2882/3004): texlive-vdmlisting-svn29944.1.0-19 4.2 MB/s | 19 kB 00:00 (2883/3004): texlive-venn-svn15878.0-19.fc31.no 4.0 MB/s | 19 kB 00:00 (2884/3004): texlive-venndiagram-svn47952-19.fc 4.0 MB/s | 21 kB 00:00 (2885/3004): texlive-verbasef-svn21922.1.1-19.f 4.2 MB/s | 23 kB 00:00 (2886/3004): texlive-verbatimbox-svn33197.3.13- 3.4 MB/s | 20 kB 00:00 (2887/3004): texlive-venturisadf-svn19444.1.005 83 MB/s | 3.9 MB 00:00 (2888/3004): texlive-verbatimcopy-svn15878.0.06 862 kB/s | 19 kB 00:00 (2889/3004): texlive-verbdef-svn17177.0.2-19.fc 2.9 MB/s | 19 kB 00:00 (2890/3004): texlive-verbments-svn23670.1.2-19. 3.2 MB/s | 20 kB 00:00 (2891/3004): texlive-verse-svn34017.2.4b-19.fc3 4.1 MB/s | 20 kB 00:00 (2892/3004): texlive-version-svn21920.2.0-19.fc 1.6 MB/s | 16 kB 00:00 (2893/3004): texlive-versonotes-svn51568-19.fc3 5.5 MB/s | 22 kB 00:00 (2894/3004): texlive-versions-svn21921.0.55-19. 2.2 MB/s | 25 kB 00:00 (2895/3004): texlive-vgrid-svn32457.0.1-19.fc31 4.8 MB/s | 21 kB 00:00 (2896/3004): texlive-vertbars-svn49429-19.fc31. 3.2 MB/s | 21 kB 00:00 (2897/3004): texlive-vhistory-svn30080.1.6.1-19 5.5 MB/s | 26 kB 00:00 (2898/3004): texlive-vmargin-svn15878.2.5-19.fc 3.2 MB/s | 20 kB 00:00 (2899/3004): texlive-uowthesis-svn19700.1.0a-19 283 kB/s | 63 kB 00:00 (2900/3004): texlive-visualpstricks-doc-svn3979 100 MB/s | 13 MB 00:00 (2901/3004): texlive-vpe-20190410-8.fc31.noarch 5.7 MB/s | 30 kB 00:00 (2902/3004): texlive-vruler-svn21598.2.3-19.fc3 3.5 MB/s | 23 kB 00:00 (2903/3004): texlive-vtable-svn51126-19.fc31.no 10 MB/s | 75 kB 00:00 (2904/3004): texlive-vwcol-svn36254.0.2-19.fc31 3.0 MB/s | 23 kB 00:00 (2905/3004): texlive-wallcalendar-svn45568-19.f 69 MB/s | 2.6 MB 00:00 (2906/3004): texlive-volumes-svn15878.1.0-19.fc 107 kB/s | 20 kB 00:00 (2907/3004): texlive-wallpaper-svn15878.1.10-19 723 kB/s | 19 kB 00:00 (2908/3004): texlive-wadalab-svn42428-19.fc31.n 51 MB/s | 17 MB 00:00 (2909/3004): texlive-warning-svn22028.0.01-19.f 75 kB/s | 18 kB 00:00 (2910/3004): texlive-warpcol-svn15878.1.0c-19.f 75 kB/s | 18 kB 00:00 (2911/3004): texlive-was-svn21439.0-19.fc31.noa 3.2 MB/s | 17 kB 00:00 (2912/3004): texlive-wasy-svn35831.0-19.fc31.no 6.9 MB/s | 40 kB 00:00 (2913/3004): texlive-wasy2-ps-svn35830.0-19.fc3 24 MB/s | 227 kB 00:00 (2914/3004): texlive-widetable-svn51501-19.fc31 2.4 MB/s | 21 kB 00:00 (2915/3004): texlive-webquiz-20190410-8.fc31.no 56 MB/s | 6.6 MB 00:00 (2916/3004): texlive-widows-and-orphans-svn4919 7.0 MB/s | 752 kB 00:00 (2917/3004): texlive-williams-svn15878.0-19.fc3 1.0 MB/s | 19 kB 00:00 (2918/3004): texlive-wasysym-svn15878.2.0-19.fc 144 kB/s | 21 kB 00:00 (2919/3004): texlive-windycity-svn51668-19.fc31 47 MB/s | 469 kB 00:00 (2920/3004): texlive-withargs-svn42756-19.fc31. 2.4 MB/s | 26 kB 00:00 (2921/3004): texlive-wordlike-svn15878.1.2b-19. 2.7 MB/s | 19 kB 00:00 (2922/3004): texlive-wordcount-20190410-8.fc31. 2.1 MB/s | 21 kB 00:00 (2923/3004): texlive-witharrows-svn51793-19.fc3 21 MB/s | 833 kB 00:00 (2924/3004): texlive-wrapfig-svn22048.3.6-19.fc 1.3 MB/s | 26 kB 00:00 (2925/3004): texlive-worksheet-svn48423-19.fc31 4.9 MB/s | 117 kB 00:00 (2926/3004): texlive-wsemclassic-svn31532.1.0.1 3.7 MB/s | 19 kB 00:00 (2927/3004): texlive-xargs-svn15878.1.1-19.fc31 3.7 MB/s | 20 kB 00:00 (2928/3004): texlive-wsuipa-svn25469.0-19.fc31. 4.7 MB/s | 58 kB 00:00 (2929/3004): texlive-wtref-svn42981-19.fc31.noa 27 MB/s | 351 kB 00:00 (2930/3004): texlive-xassoccnt-svn49516-19.fc31 6.5 MB/s | 39 kB 00:00 (2931/3004): texlive-xcite-svn23783.1.0-19.fc31 3.3 MB/s | 21 kB 00:00 (2932/3004): texlive-xbmks-svn48138-19.fc31.noa 16 MB/s | 328 kB 00:00 (2933/3004): texlive-xcharter-svn51816-19.fc31. 57 MB/s | 1.6 MB 00:00 (2934/3004): texlive-xcjk2uni-svn50848-19.fc31. 16 MB/s | 333 kB 00:00 (2935/3004): texlive-xcntperchap-svn46236-19.fc 1.9 MB/s | 23 kB 00:00 (2936/3004): texlive-xcolor-solarized-svn41809- 4.3 MB/s | 21 kB 00:00 (2937/3004): texlive-xcolor-svn41044-19.fc31.no 5.4 MB/s | 35 kB 00:00 (2938/3004): texlive-xcomment-svn20031.1.3-19.f 3.8 MB/s | 20 kB 00:00 (2939/3004): texlive-xcolor-material-svn42289-1 37 MB/s | 586 kB 00:00 (2940/3004): texlive-xcookybooky-svn36435.1.5-1 3.6 MB/s | 26 kB 00:00 (2941/3004): texlive-xcpdftips-svn50449-19.fc31 8.6 MB/s | 166 kB 00:00 (2942/3004): texlive-xdoc-svn15878.prot2.5-19.f 1.7 MB/s | 30 kB 00:00 (2943/3004): texlive-xduthesis-svn39694-19.fc31 7.2 MB/s | 131 kB 00:00 (2944/3004): texlive-xdvi-20190410-8.fc31.x86_6 40 MB/s | 363 kB 00:00 (2945/3004): texlive-xellipsis-svn47546-19.fc31 2.0 MB/s | 21 kB 00:00 (2946/3004): texlive-xetexconfig-svn45845-19.fc 2.3 MB/s | 14 kB 00:00 (2947/3004): texlive-xetex-20190410-8.fc31.x86_ 55 MB/s | 1.2 MB 00:00 (2948/3004): texlive-xfakebold-svn48460-19.fc31 8.4 MB/s | 99 kB 00:00 (2949/3004): texlive-xfor-svn15878.1.05-19.fc31 2.3 MB/s | 19 kB 00:00 (2950/3004): texlive-xhfill-svn22575.1.01-19.fc 3.4 MB/s | 18 kB 00:00 (2951/3004): texlive-xint-svn50844-19.fc31.noar 14 MB/s | 89 kB 00:00 (2952/3004): texlive-xits-svn32763.1.108-19.fc3 55 MB/s | 596 kB 00:00 (2953/3004): texlive-xkeyval-svn35741.2.7a-19.f 3.9 MB/s | 35 kB 00:00 (2954/3004): texlive-xltxtra-svn49555-19.fc31.n 3.6 MB/s | 20 kB 00:00 (2955/3004): texlive-xltabular-svn49939-19.fc31 8.6 MB/s | 94 kB 00:00 (2956/3004): texlive-xmpincl-svn15878.2.2-19.fc 4.0 MB/s | 20 kB 00:00 (2957/3004): texlive-xnewcommand-svn15878.1.2-1 3.4 MB/s | 18 kB 00:00 (2958/3004): texlive-xoptarg-svn15878.1.0-19.fc 3.4 MB/s | 19 kB 00:00 (2959/3004): texlive-xpatch-svn27897.0.2-19.fc3 4.3 MB/s | 21 kB 00:00 (2960/3004): texlive-xpeek-svn27442.0.2-19.fc31 3.8 MB/s | 21 kB 00:00 (2961/3004): texlive-xpicture-svn28770.1.2a-19. 5.1 MB/s | 29 kB 00:00 (2962/3004): texlive-xprintlen-svn35928.1.0-19. 3.7 MB/s | 21 kB 00:00 (2963/3004): texlive-xpunctuate-svn26641.1.0-19 3.4 MB/s | 20 kB 00:00 (2964/3004): texlive-xsavebox-svn51448-19.fc31. 3.9 MB/s | 24 kB 00:00 (2965/3004): texlive-xstring-svn49946-19.fc31.n 5.1 MB/s | 28 kB 00:00 (2966/3004): texlive-xtab-svn23347.2.3f-19.fc31 4.2 MB/s | 21 kB 00:00 (2967/3004): texlive-xsim-svn46634-19.fc31.noar 73 MB/s | 2.4 MB 00:00 (2968/3004): texlive-xunicode-svn30466.0.981-19 3.1 MB/s | 47 kB 00:00 (2969/3004): texlive-xwatermark-svn28090.1.5.2d 5.2 MB/s | 36 kB 00:00 (2970/3004): texlive-xurl-svn49488-19.fc31.noar 6.8 MB/s | 65 kB 00:00 (2971/3004): texlive-xyling-svn15878.1.1-19.fc3 6.1 MB/s | 27 kB 00:00 (2972/3004): texlive-xymtex-svn32182.5.06-19.fc 17 MB/s | 113 kB 00:00 (2973/3004): texlive-xytree-svn15878.1.5-19.fc3 4.3 MB/s | 20 kB 00:00 (2974/3004): texlive-xypic-svn31859.3.8.9-19.fc 58 MB/s | 742 kB 00:00 (2975/3004): texlive-yafoot-svn19086.0-19.fc31. 3.9 MB/s | 21 kB 00:00 (2976/3004): texlive-yagusylo-svn29803.1.2-19.f 4.5 MB/s | 23 kB 00:00 (2977/3004): texlive-yathesis-svn50630-19.fc31. 8.1 MB/s | 43 kB 00:00 (2978/3004): texlive-ycbook-svn46201-19.fc31.no 2.7 MB/s | 24 kB 00:00 (2979/3004): texlive-yaletter-svn42830-19.fc31. 21 MB/s | 498 kB 00:00 (2980/3004): texlive-xifthen-svn38929-19.fc31.n 138 kB/s | 19 kB 00:00 (2981/3004): texlive-ydoc-svn26202.0.6alpha-19. 4.8 MB/s | 30 kB 00:00 (2982/3004): texlive-yfonts-svn50755-19.fc31.no 2.7 MB/s | 19 kB 00:00 (2983/3004): texlive-yfonts-t1-svn36013-19.fc31 23 MB/s | 192 kB 00:00 (2984/3004): texlive-yhmath-svn50127-19.fc31.no 7.1 MB/s | 58 kB 00:00 (2985/3004): texlive-yinit-otf-svn40207-19.fc31 36 MB/s | 274 kB 00:00 (2986/3004): texlive-york-thesis-svn23348.3.6-1 3.7 MB/s | 24 kB 00:00 (2987/3004): texlive-youngtab-svn17635.1.1-19.f 2.8 MB/s | 19 kB 00:00 (2988/3004): texlive-yplan-20190410-8.fc31.noar 5.4 MB/s | 26 kB 00:00 (2989/3004): texlive-ytableau-svn27430.1.3-19.f 4.2 MB/s | 21 kB 00:00 (2990/3004): texlive-zapfchan-svn31835.0-19.fc3 16 MB/s | 109 kB 00:00 (2991/3004): texlive-zebra-goodies-svn51554-19. 20 MB/s | 131 kB 00:00 (2992/3004): texlive-zed-csp-svn17258.0-19.fc31 3.3 MB/s | 21 kB 00:00 (2993/3004): texlive-zhnumber-svn50850-19.fc31. 5.4 MB/s | 28 kB 00:00 (2994/3004): texlive-ziffer-svn32279.2.1-19.fc3 3.4 MB/s | 19 kB 00:00 (2995/3004): texlive-zlmtt-svn51368-19.fc31.noa 4.8 MB/s | 25 kB 00:00 (2996/3004): texlive-zootaxa-bst-svn50619-19.fc 24 MB/s | 154 kB 00:00 (2997/3004): texlive-zwgetfdate-svn15878.0-19.f 4.1 MB/s | 21 kB 00:00 (2998/3004): texlive-zwpagelayout-svn28846.1.4d 5.0 MB/s | 26 kB 00:00 (2999/3004): tk-8.6.8-2.fc31.x86_64.rpm 81 MB/s | 1.6 MB 00:00 (3000/3004): vim-minimal-8.2.525-1.fc31.x86_64. 28 MB/s | 647 kB 00:00 (3001/3004): xorg-x11-font-utils-7.5-43.fc31.x8 21 MB/s | 104 kB 00:00 (3002/3004): xkeyboard-config-2.28-1.fc31.noarc 53 MB/s | 753 kB 00:00 (3003/3004): zlib-devel-1.2.11-20.fc31.x86_64.r 8.4 MB/s | 44 kB 00:00 (3004/3004): texlive-zapfding-svn31835.0-19.fc3 322 kB/s | 68 kB 00:00 -------------------------------------------------------------------------------- Total 47 MB/s | 1.3 GB 00:27 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: texlive-base-7:20190410-8.fc31.x86_64 1/1 Preparing : 1/1 Running scriptlet: texlive-base-7:20190410-8.fc31.x86_64 1/3004 Installing : texlive-base-7:20190410-8.fc31.x86_64 1/3004 Installing : perl-Exporter-5.74-1.fc31.noarch 2/3004 Installing : perl-libs-4:5.30.2-451.fc31.x86_64 3/3004 Installing : perl-Carp-1.50-439.fc31.noarch 4/3004 Installing : perl-Scalar-List-Utils-3:1.53-439.fc31.x86_64 5/3004 Installing : shared-mime-info-1.15-1.fc31.x86_64 6/3004 Running scriptlet: shared-mime-info-1.15-1.fc31.x86_64 6/3004 Installing : glib2-2.62.6-1.fc31.x86_64 7/3004 Installing : perl-parent-1:0.237-439.fc31.noarch 8/3004 Installing : texlive-lib-7:20190410-8.fc31.x86_64 9/3004 Installing : libpng-2:1.6.37-2.fc31.x86_64 10/3004 Installing : freetype-2.10.0-3.fc31.x86_64 11/3004 Installing : libjpeg-turbo-2.0.2-5.fc31.x86_64 12/3004 Installing : gdk-pixbuf2-2.40.0-1.fc31.x86_64 13/3004 Installing : lcms2-2.9-6.fc31.x86_64 14/3004 Installing : fonts-filesystem-2.0.3-1.fc31.noarch 15/3004 Installing : urw-base35-fonts-common-20170801-13.fc31.noarc 16/3004 Installing : nspr-4.25.0-1.fc31.x86_64 17/3004 Installing : dbus-libs-1:1.12.16-3.fc31.x86_64 18/3004 Installing : avahi-libs-0.7-20.fc31.x86_64 19/3004 Installing : perl-Module-Runtime-0.016-7.fc31.noarch 20/3004 Installing : nss-util-3.51.0-1.fc31.x86_64 21/3004 Installing : atk-2.34.1-1.fc31.x86_64 22/3004 Installing : perl-Unicode-Normalize-1.26-439.fc31.x86_64 23/3004 Installing : perl-Socket-4:2.029-4.fc31.x86_64 24/3004 Installing : perl-Errno-1.30-451.fc31.x86_64 25/3004 Installing : perl-Text-Tabs+Wrap-2013.0523-439.fc31.noarch 26/3004 Installing : perl-constant-1.33-440.fc31.noarch 27/3004 Installing : perl-PathTools-3.78-439.fc31.x86_64 28/3004 Installing : perl-threads-1:2.22-439.fc31.x86_64 29/3004 Installing : perl-threads-shared-1.60-440.fc31.x86_64 30/3004 Installing : perl-File-Path-2.16-439.fc31.noarch 31/3004 Installing : perl-IO-1.40-451.fc31.x86_64 32/3004 Installing : perl-macros-4:5.30.2-451.fc31.noarch 33/3004 Installing : perl-interpreter-4:5.30.2-451.fc31.x86_64 34/3004 Installing : perl-MIME-Base64-3.15-439.fc31.x86_64 35/3004 Installing : perl-Time-Local-2:1.300-1.fc31.noarch 36/3004 Installing : perl-Data-Dumper-2.174-440.fc31.x86_64 37/3004 Installing : perl-File-Temp-1:0.230.900-439.fc31.noarch 38/3004 Installing : perl-Storable-1:3.15-442.fc31.x86_64 39/3004 Installing : perl-Try-Tiny-0.30-7.fc31.noarch 40/3004 Installing : perl-version-7:0.99.24-441.fc31.x86_64 41/3004 Installing : perl-Module-Implementation-0.09-22.fc31.noarch 42/3004 Installing : perl-Dist-CheckConflicts-0.11-16.fc31.noarch 43/3004 Installing : perl-IO-Socket-IP-0.39-440.fc31.noarch 44/3004 Installing : libwayland-client-1.17.0-2.fc31.x86_64 45/3004 Installing : libicu-63.2-3.fc31.x86_64 46/3004 Installing : libICE-1.0.10-2.fc31.x86_64 47/3004 Installing : perl-TimeDate-1:2.30-19.fc31.noarch 48/3004 Installing : perl-HTTP-Date-6.05-1.fc31.noarch 49/3004 Installing : perl-Digest-1.17-439.fc31.noarch 50/3004 Installing : perl-Digest-MD5-2.55-439.fc31.x86_64 51/3004 Installing : perl-Compress-Raw-Zlib-2.087-1.fc31.x86_64 52/3004 Installing : perl-Params-Util-1.07-28.fc31.x86_64 53/3004 Installing : perl-Math-Complex-1.59-451.fc31.noarch 54/3004 Installing : perl-Mozilla-CA-20180117-6.fc31.noarch 55/3004 Installing : perl-Text-ParseWords-3.30-439.fc31.noarch 56/3004 Installing : perl-LaTeX-ToUnicode-0.05-10.fc31.noarch 57/3004 Installing : perl-Term-ANSIColor-4.06-440.fc31.noarch 58/3004 Installing : openjpeg2-2.3.1-6.fc31.x86_64 59/3004 Installing : libX11-xcb-1.6.9-2.fc31.x86_64 60/3004 Installing : fribidi-1.0.5-5.fc31.x86_64 61/3004 Installing : tcl-1:8.6.8-2.fc31.x86_64 62/3004 Installing : m4-1.4.18-11.fc31.x86_64 63/3004 Installing : libogg-2:1.3.3-3.fc31.x86_64 64/3004 Installing : libmpc-1.1.0-4.fc31.x86_64 65/3004 Installing : libglvnd-1:1.1.1-5.fc31.x86_64 66/3004 Installing : graphite2-1.3.13-1.fc31.x86_64 67/3004 Installing : harfbuzz-2.6.1-2.fc31.x86_64 68/3004 Installing : perl-Data-Dump-1.23-12.fc31.noarch 69/3004 Installing : perl-BibTeX-Parser-1.02-6.fc31.noarch 70/3004 Installing : libSM-1.2.3-4.fc31.x86_64 71/3004 Installing : libwayland-cursor-1.17.0-2.fc31.x86_64 72/3004 Installing : perl-Carp-Clan-6.08-1.fc31.noarch 73/3004 Installing : perl-Devel-StackTrace-1:2.04-4.fc31.noarch 74/3004 Installing : perl-List-MoreUtils-XS-0.428-7.fc31.x86_64 75/3004 Installing : perl-Sub-Install-0.928-21.fc31.noarch 76/3004 Installing : perl-XML-NamespaceSupport-1.12-9.fc31.noarch 77/3004 Installing : perl-XML-Parser-2.44-17.fc31.x86_64 78/3004 Installing : perl-XML-SAX-Base-1.09-9.fc31.noarch 79/3004 Installing : perl-Date-ISO8601-0.005-7.fc31.noarch 80/3004 Installing : google-droid-sans-fonts-20120715-16.fc31.noarc 81/3004 Installing : fontconfig-2.13.92-3.fc31.x86_64 82/3004 Running scriptlet: fontconfig-2.13.92-3.fc31.x86_64 82/3004 Installing : stix-fonts-1.1.0-15.fc31.noarch 83/3004 Installing : gtk-update-icon-cache-3.24.13-2.fc31.x86_64 84/3004 Installing : jasper-libs-2.0.14-9.fc31.x86_64 85/3004 Installing : gstreamer1-1.16.2-1.fc31.x86_64 86/3004 Installing : perl-Time-HiRes-1.9760-439.fc31.x86_64 87/3004 Installing : perl-PerlIO-utf8_strict-0.007-10.fc31.x86_64 88/3004 Installing : mesa-libglapi-19.2.8-1.fc31.x86_64 89/3004 Installing : emacs-filesystem-1:26.3-1.fc31.noarch 90/3004 Installing : cups-filesystem-1:2.2.12-6.fc31.noarch 91/3004 Installing : pixman-0.38.4-1.fc31.x86_64 92/3004 Installing : libxshmfence-1.3-5.fc31.x86_64 93/3004 Installing : libwebp-1.0.3-2.fc31.x86_64 94/3004 Installing : libwayland-server-1.17.0-2.fc31.x86_64 95/3004 Installing : libwayland-egl-1.17.0-2.fc31.x86_64 96/3004 Installing : libusbx-1.0.22-4.fc31.x86_64 97/3004 Installing : libgusb-0.3.4-1.fc31.x86_64 98/3004 Installing : colord-libs-1.4.4-2.fc31.x86_64 99/3004 Installing : libpaper-1.1.24-25.fc31.x86_64 100/3004 Installing : jbigkit-libs-2.1-17.fc31.x86_64 101/3004 Installing : libtiff-4.0.10-8.fc31.x86_64 102/3004 Installing : gdk-pixbuf2-modules-2.40.0-1.fc31.x86_64 103/3004 Installing : ilmbase-2.3.0-3.fc31.x86_64 104/3004 Installing : hicolor-icon-theme-0.17-7.fc31.noarch 105/3004 Installing : adobe-mappings-cmap-20171205-6.fc31.noarch 106/3004 Installing : acl-2.2.53-4.fc31.x86_64 107/3004 Installing : adobe-mappings-cmap-deprecated-20171205-6.fc31 108/3004 Installing : OpenEXR-libs-2.3.0-4.fc31.x86_64 109/3004 Installing : desktop-file-utils-0.24-1.fc31.x86_64 110/3004 Installing : xdg-utils-1.1.3-5.fc31.noarch 111/3004 Installing : libidn-1.35-6.fc31.x86_64 112/3004 Installing : LibRaw-0.19.5-1.fc31.x86_64 113/3004 Installing : stix-math-fonts-1.1.0-15.fc31.noarch 114/3004 Installing : perl-Data-OptList-0.110-11.fc31.noarch 115/3004 Installing : perl-Bit-Vector-7.4-16.fc31.x86_64 116/3004 Installing : perl-Date-Calc-6.4-13.fc31.noarch 117/3004 Installing : harfbuzz-icu-2.6.1-2.fc31.x86_64 118/3004 Installing : libraqm-0.7.0-4.fc31.x86_64 119/3004 Installing : cpp-9.3.1-2.fc31.x86_64 120/3004 Installing : libtheora-1:1.1.1-24.fc31.x86_64 121/3004 Installing : libvorbis-1:1.3.6-5.fc31.x86_64 122/3004 Installing : perl-Math-BigInt-1:1.9998.16-439.fc31.noarch 123/3004 Installing : perl-Data-Uniqid-0.12-20.fc31.noarch 124/3004 Installing : perl-Mail-Sendmail-0.80-7.fc31.noarch 125/3004 Installing : perl-Digest-SHA1-2.13-28.fc31.x86_64 126/3004 Installing : perl-File-Listing-6.04-22.fc31.noarch 127/3004 Installing : perl-List-SomeUtils-0.56-8.fc31.noarch 128/3004 Installing : perl-Params-Validate-1.29-11.fc31.x86_64 129/3004 Installing : perl-Module-CoreList-1:5.20200320-1.fc31.noarc 130/3004 Installing : perl-IPC-Run3-0.048-17.fc31.noarch 131/3004 Installing : perl-IO-String-1.08-36.fc31.noarch 132/3004 Installing : perl-Email-Date-Format-1.005-14.fc31.noarch 133/3004 Installing : perl-Net-SSLeay-1.88-3.fc31.x86_64 134/3004 Installing : perl-Business-ISBN-Data-20140910.003-12.fc31.n 135/3004 Installing : perl-Business-ISSN-1.003-6.fc31.noarch 136/3004 Installing : perl-Class-Accessor-0.51-7.fc31.noarch 137/3004 Installing : perl-Class-Data-Inheritable-0.08-33.fc31.noarc 138/3004 Installing : perl-Exception-Class-1.44-7.fc31.noarch 139/3004 Installing : perl-Class-Inspector-1.36-1.fc31.noarch 140/3004 Installing : perl-Class-Method-Modifiers-2.13-1.fc31.noarch 141/3004 Installing : perl-Role-Tiny-2.001004-1.fc31.noarch 142/3004 Installing : perl-Compress-Raw-Bzip2-2.087-1.fc31.x86_64 143/3004 Installing : perl-Crypt-RC4-2.02-23.fc31.noarch 144/3004 Installing : perl-Digest-Perl-MD5-1.9-17.fc31.noarch 145/3004 Installing : perl-DynaLoader-Functions-0.003-7.fc31.noarch 146/3004 Installing : perl-Devel-CallChecker-0.008-8.fc31.x86_64 147/3004 Installing : perl-Params-Classify-0.015-8.fc31.x86_64 148/3004 Installing : perl-DateTime-TimeZone-SystemV-0.010-8.fc31.no 149/3004 Installing : perl-DateTime-TimeZone-Tzfile-0.011-8.fc31.noa 150/3004 Installing : perl-HTML-Tagset-3.20-40.fc31.noarch 151/3004 Installing : perl-IO-stringy-2.111-14.fc31.noarch 152/3004 Installing : perl-OLE-Storage_Lite-0.19-26.fc31.noarch 153/3004 Installing : perl-IPC-SysV-2.07-440.fc31.x86_64 154/3004 Installing : perl-Locale-Maketext-1.29-440.fc31.noarch 155/3004 Installing : perl-Locale-Maketext-Simple-1:0.21-451.fc31.no 156/3004 Installing : perl-Params-Check-1:0.38-439.fc31.noarch 157/3004 Installing : perl-MIME-Types-2.17-7.fc31.noarch 158/3004 Installing : perl-MIME-Lite-3.030-16.fc31.noarch 159/3004 Installing : perl-Number-Compare-0.03-24.fc31.noarch 160/3004 Installing : perl-Package-Generator-1.106-16.fc31.noarch 161/3004 Installing : perl-Sub-Exporter-0.987-20.fc31.noarch 162/3004 Installing : perl-Sub-Exporter-Progressive-0.001013-10.fc31 163/3004 Installing : perl-Devel-GlobalDestruction-0.14-10.fc31.noar 164/3004 Installing : perl-PadWalker-2.3-7.fc31.x86_64 165/3004 Installing : perl-Devel-Caller-2.06-20.fc31.x86_64 166/3004 Installing : perl-Devel-LexAlias-0.05-21.fc31.x86_64 167/3004 Installing : perl-Pod-Escapes-1:1.07-439.fc31.noarch 168/3004 Installing : perl-Regexp-Common-2017060201-10.fc31.noarch 169/3004 Installing : perl-Sort-Key-1.33-16.fc31.x86_64 170/3004 Installing : perl-Sub-Identify-0.14-11.fc31.x86_64 171/3004 Installing : perl-Term-Cap-1.17-439.fc31.noarch 172/3004 Installing : perl-Text-CSV-2.00-2.fc31.noarch 173/3004 Installing : perl-Text-Glob-0.11-9.fc31.noarch 174/3004 Installing : perl-File-Find-Rule-0.34-13.fc31.noarch 175/3004 Installing : perl-Data-Compare-1.26-1.fc31.noarch 176/3004 Installing : perl-Text-Unidecode-1.30-10.fc31.noarch 177/3004 Installing : perl-UNIVERSAL-isa-1.20171012-7.fc31.noarch 178/3004 Installing : perl-Unicode-Collate-1.27-439.fc31.x86_64 179/3004 Installing : perl-Variable-Magic-0.62-8.fc31.x86_64 180/3004 Installing : perl-B-Hooks-EndOfScope-0.24-8.fc31.noarch 181/3004 Installing : perl-XML-Writer-0.625-15.fc31.noarch 182/3004 Installing : perl-ExtUtils-MM-Utils-2:7.44-1.fc31.noarch 183/3004 Installing : perl-Sys-Syslog-0.36-1.fc31.x86_64 184/3004 Installing : perl-SelfLoader-1.25-451.fc31.noarch 185/3004 Installing : perl-Text-Balanced-2.03-439.fc31.noarch 186/3004 Installing : perl-Parse-RecDescent-1.967015-9.fc31.noarch 187/3004 Installing : perl-File-Which-1.23-4.fc31.noarch 188/3004 Installing : perl-Module-Load-1:0.34-439.fc31.noarch 189/3004 Installing : perl-Tie-Cycle-1.225-8.fc31.noarch 190/3004 Installing : perl-Business-ISMN-1.201-4.fc31.noarch 191/3004 Installing : nss-softokn-freebl-3.51.0-1.fc31.x86_64 192/3004 Installing : nss-softokn-3.51.0-1.fc31.x86_64 193/3004 Installing : nss-3.51.0-1.fc31.x86_64 194/3004 Running scriptlet: nss-3.51.0-1.fc31.x86_64 194/3004 Installing : nss-sysinit-3.51.0-1.fc31.x86_64 195/3004 Installing : avahi-glib-0.7-20.fc31.x86_64 196/3004 Installing : fontawesome-fonts-4.7.0-7.fc31.noarch 197/3004 Installing : liberation-fonts-common-1:2.00.5-6.fc31.noarch 198/3004 Installing : liberation-mono-fonts-1:2.00.5-6.fc31.noarch 199/3004 Installing : oldstandard-sfd-fonts-2.0.2-26.fc31.noarch 200/3004 Installing : qpdf-libs-8.4.2-2.fc31.x86_64 201/3004 Installing : gsettings-desktop-schemas-3.34.0-1.fc31.x86_64 202/3004 Installing : json-glib-1.4.4-3.fc31.x86_64 203/3004 Installing : libcroco-0.6.13-2.fc31.x86_64 204/3004 Installing : libgudev-232-6.fc31.x86_64 205/3004 Installing : liblqr-1-0.4.2-13.fc31.x86_64 206/3004 Installing : libgee-0.20.3-1.fc31.x86_64 207/3004 Installing : polkit-libs-0.116-4.fc31.1.x86_64 208/3004 Installing : perl-Exporter-Tiny-1.002001-4.fc31.noarch 209/3004 Installing : perl-List-MoreUtils-0.428-7.fc31.noarch 210/3004 Installing : perl-File-ShareDir-1.116-6.fc31.noarch 211/3004 Installing : perl-Text-Roman-3.5-14.fc31.noarch 212/3004 Installing : perl-Class-Singleton-1.5-14.fc31.noarch 213/3004 Installing : perl-List-UtilsBy-0.11-7.fc31.noarch 214/3004 Installing : perl-List-AllUtils-0.16-1.fc31.noarch 215/3004 Installing : perl-MRO-Compat-0.13-9.fc31.noarch 216/3004 Installing : perl-Package-Stash-XS-0.29-4.fc31.x86_64 217/3004 Installing : perl-Ref-Util-XS-0.117-7.fc31.x86_64 218/3004 Installing : perl-Ref-Util-0.204-6.fc31.noarch 219/3004 Installing : perl-autovivification-0.18-7.fc31.x86_64 220/3004 Installing : texlive-bib2gls-7:20190410-8.fc31.noarch 221/3004 Installing : zlib-devel-1.2.11-20.fc31.x86_64 222/3004 Installing : xkeyboard-config-2.28-1.fc31.noarch 223/3004 Installing : libxkbcommon-0.9.1-3.fc31.x86_64 224/3004 Installing : vim-minimal-2:8.2.525-1.fc31.x86_64 225/3004 Installing : texlive-visualpstricks-doc-9:svn39799-19.fc31. 226/3004 Installing : texlive-unamth-template-doc-9:svn33625.2.0-19. 227/3004 Installing : texlive-uantwerpendocs-9:svn51007-19.fc31.noar 228/3004 Installing : texlive-tipfr-doc-9:svn38646-19.fc31.noarch 229/3004 Installing : texlive-texlive-common-doc-9:svn50466-19.fc31. 230/3004 Installing : texlive-newcommand-doc-9:svn18704.2.0-19.fc31. 231/3004 Installing : texlive-latex-tds-doc-9:svn40613-19.fc31.noarc 232/3004 Installing : texlive-ietfbibs-doc-9:svn41332-19.fc31.noarch 233/3004 Installing : texlive-dtxgallery-doc-9:svn49504-19.fc31.noar 234/3004 Installing : texlive-doc-pictex-doc-9:svn24927.0-19.fc31.no 235/3004 Installing : texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-1 236/3004 Installing : texlive-anufinalexam-doc-9:svn26053.0-19.fc31. 237/3004 Installing : systemd-rpm-macros-243.8-1.fc31.noarch 238/3004 Installing : python3-setuptools-41.6.0-1.fc31.noarch 239/3004 Installing : python3-pygments-2.4.2-2.fc31.noarch 240/3004 Installing : python-unversioned-command-3.7.6-2.fc31.noarch 241/3004 Installing : netpbm-10.90.00-1.fc31.x86_64 242/3004 Installing : gts-0.7.6-36.20121130.fc31.x86_64 243/3004 Installing : libxslt-1.1.34-1.fc31.x86_64 244/3004 Installing : libstdc++-devel-9.3.1-2.fc31.x86_64 245/3004 Installing : libseccomp-2.4.2-2.fc31.x86_64 246/3004 Installing : libquadmath-9.3.1-2.fc31.x86_64 247/3004 Installing : libgfortran-9.3.1-2.fc31.x86_64 248/3004 Installing : openblas-Rblas-0.3.9-2.fc31.x86_64 249/3004 Installing : libpcap-14:1.9.1-2.fc31.x86_64 250/3004 Installing : iptables-libs-1.8.3-7.fc31.x86_64 251/3004 Installing : libX11-common-1.6.9-2.fc31.noarch 252/3004 Installing : libRmath-3.6.3-1.fc31.x86_64 253/3004 Installing : kernel-headers-5.5.17-200.fc31.x86_64 254/3004 Running scriptlet: glibc-headers-2.30-11.fc31.x86_64 255/3004 Installing : glibc-headers-2.30-11.fc31.x86_64 255/3004 Installing : libxcrypt-devel-4.4.16-1.fc31.x86_64 256/3004 Installing : glibc-devel-2.30-11.fc31.x86_64 257/3004 Installing : json-c-0.13.1-8.fc31.x86_64 258/3004 Installing : hwdata-0.334-1.fc31.noarch 259/3004 Installing : libpciaccess-0.15-2.fc31.x86_64 260/3004 Installing : libdrm-2.4.100-1.fc31.x86_64 261/3004 Installing : mesa-libgbm-19.2.8-1.fc31.x86_64 262/3004 Installing : alsa-lib-1.2.2-2.fc31.x86_64 263/3004 Installing : adwaita-cursor-theme-3.34.3-2.fc31.noarch 264/3004 Installing : adwaita-icon-theme-3.34.3-2.fc31.noarch 265/3004 Installing : zziplib-0.13.69-6.fc31.x86_64 266/3004 Running scriptlet: xml-common-0.6.3-53.fc31.noarch 267/3004 Installing : xml-common-0.6.3-53.fc31.noarch 267/3004 Installing : iso-codes-4.3-2.fc31.noarch 268/3004 Installing : tre-common-0.8.0-26.20140228gitc2f5d13.fc31.no 269/3004 Installing : tre-0.8.0-26.20140228gitc2f5d13.fc31.x86_64 270/3004 Installing : teckit-2.5.9-2.fc31.x86_64 271/3004 Installing : qrencode-libs-4.0.2-4.fc31.x86_64 272/3004 Installing : poppler-data-0.4.9-4.fc31.noarch 273/3004 Installing : poppler-0.73.0-16.fc31.x86_64 274/3004 Installing : poppler-cpp-0.73.0-16.fc31.x86_64 275/3004 Installing : orc-0.4.30-1.fc31.x86_64 276/3004 Installing : opus-1.3.1-2.fc31.x86_64 277/3004 Installing : nettle-3.5.1-3.fc31.x86_64 278/3004 Installing : gnutls-3.6.13-1.fc31.x86_64 279/3004 Installing : cups-libs-1:2.2.12-6.fc31.x86_64 280/3004 Installing : cups-client-1:2.2.12-6.fc31.x86_64 281/3004 Running scriptlet: cups-client-1:2.2.12-6.fc31.x86_64 281/3004 Installing : cups-filters-libs-1.27.3-1.fc31.x86_64 282/3004 Installing : cups-ipptool-1:2.2.12-6.fc31.x86_64 283/3004 Installing : ncurses-c++-libs-6.1-12.20190803.fc31.x86_64 284/3004 Installing : ncurses-devel-6.1-12.20190803.fc31.x86_64 285/3004 Installing : mailcap-2.1.48-6.fc31.noarch 286/3004 Installing : perl-LWP-MediaTypes-6.04-3.fc31.noarch 287/3004 Installing : libwmf-lite-0.2.12-2.fc31.x86_64 288/3004 Installing : libvisual-1:0.4.0-27.fc31.x86_64 289/3004 Installing : libmodman-2.0.1-20.fc31.x86_64 290/3004 Installing : libproxy-0.4.15-14.fc31.x86_64 291/3004 Installing : glib-networking-2.62.3-1.fc31.x86_64 292/3004 Installing : libsoup-2.68.4-1.fc31.x86_64 293/3004 Installing : rest-0.8.1-6.fc31.x86_64 294/3004 Installing : libmcpp-2.7.2-24.fc31.x86_64 295/3004 Installing : mcpp-2.7.2-24.fc31.x86_64 296/3004 Installing : libimagequant-2.12.5-1.fc31.x86_64 297/3004 Installing : libijs-0.35-10.fc31.x86_64 298/3004 Installing : libfontenc-1.1.3-11.fc31.x86_64 299/3004 Installing : xorg-x11-font-utils-1:7.5-43.fc31.x86_64 300/3004 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-23.fc31.no 301/3004 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-23.fc31.no 301/3004 Installing : libepoxy-1.5.3-4.fc31.x86_64 302/3004 Installing : libdatrie-0.2.9-10.fc31.x86_64 303/3004 Installing : libthai-0.1.28-3.fc31.x86_64 304/3004 Installing : sombok-2.4.0-10.fc31.x86_64 305/3004 Installing : libdaemon-0.14-18.fc31.x86_64 306/3004 Installing : libargon2-20171227-3.fc31.x86_64 307/3004 Installing : libXau-1.0.9-2.fc31.x86_64 308/3004 Installing : libxcb-1.13.1-3.fc31.x86_64 309/3004 Installing : libX11-1.6.9-2.fc31.x86_64 310/3004 Installing : libXext-1.3.4-2.fc31.x86_64 311/3004 Installing : libXrender-0.9.10-10.fc31.x86_64 312/3004 Installing : cairo-1.16.0-6.fc31.x86_64 313/3004 Installing : libXt-1.1.5-12.20190424gitba4ec9376.fc31.x86_6 314/3004 Installing : libXmu-1.1.3-2.fc31.x86_64 315/3004 Installing : libXi-1.7.10-2.fc31.x86_64 316/3004 Installing : libXfixes-5.0.3-10.fc31.x86_64 317/3004 Installing : libXcursor-1.1.15-6.fc31.x86_64 318/3004 Installing : libXdamage-1.1.4-17.fc31.x86_64 319/3004 Installing : libXrandr-1.5.2-2.fc31.x86_64 320/3004 Installing : libXinerama-1.1.4-4.fc31.x86_64 321/3004 Installing : libXpm-3.5.12-10.fc31.x86_64 322/3004 Installing : libXaw-1.0.13-13.fc31.x86_64 323/3004 Installing : gd-2.2.5-12.fc31.x86_64 324/3004 Installing : cairo-gobject-1.16.0-6.fc31.x86_64 325/3004 Installing : libXft-2.3.3-2.fc31.x86_64 326/3004 Installing : pango-1.44.7-1.fc31.x86_64 327/3004 Installing : librsvg2-2.46.4-1.fc31.x86_64 328/3004 Running scriptlet: tk-1:8.6.8-2.fc31.x86_64 329/3004 Installing : tk-1:8.6.8-2.fc31.x86_64 329/3004 Installing : libXxf86vm-1.1.4-12.fc31.x86_64 330/3004 Installing : xorg-x11-server-utils-7.7-32.fc31.x86_64 331/3004 Installing : libXcomposite-0.4.4-17.fc31.x86_64 332/3004 Installing : libglvnd-egl-1:1.1.1-5.fc31.x86_64 333/3004 Installing : mesa-libEGL-19.2.8-1.fc31.x86_64 334/3004 Installing : libglvnd-gles-1:1.1.1-5.fc31.x86_64 335/3004 Installing : gtk2-2.24.32-6.fc31.x86_64 336/3004 Installing : urw-base35-bookman-fonts-20170801-13.fc31.noar 337/3004 Running scriptlet: urw-base35-bookman-fonts-20170801-13.fc31.noar 337/3004 Installing : urw-base35-c059-fonts-20170801-13.fc31.noarch 338/3004 Running scriptlet: urw-base35-c059-fonts-20170801-13.fc31.noarch 338/3004 Installing : urw-base35-d050000l-fonts-20170801-13.fc31.noa 339/3004 Running scriptlet: urw-base35-d050000l-fonts-20170801-13.fc31.noa 339/3004 Installing : urw-base35-gothic-fonts-20170801-13.fc31.noarc 340/3004 Running scriptlet: urw-base35-gothic-fonts-20170801-13.fc31.noarc 340/3004 Installing : urw-base35-nimbus-mono-ps-fonts-20170801-13.fc 341/3004 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20170801-13.fc 341/3004 Installing : urw-base35-nimbus-roman-fonts-20170801-13.fc31 342/3004 Running scriptlet: urw-base35-nimbus-roman-fonts-20170801-13.fc31 342/3004 Installing : urw-base35-nimbus-sans-fonts-20170801-13.fc31. 343/3004 Running scriptlet: urw-base35-nimbus-sans-fonts-20170801-13.fc31. 343/3004 Installing : urw-base35-p052-fonts-20170801-13.fc31.noarch 344/3004 Running scriptlet: urw-base35-p052-fonts-20170801-13.fc31.noarch 344/3004 Installing : urw-base35-standard-symbols-ps-fonts-20170801- 345/3004 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20170801- 345/3004 Installing : urw-base35-z003-fonts-20170801-13.fc31.noarch 346/3004 Running scriptlet: urw-base35-z003-fonts-20170801-13.fc31.noarch 346/3004 Installing : urw-base35-fonts-20170801-13.fc31.noarch 347/3004 Installing : libglvnd-glx-1:1.1.1-5.fc31.x86_64 348/3004 Installing : mesa-libGL-19.2.8-1.fc31.x86_64 349/3004 Installing : lasi-1.1.2-13.fc31.x86_64 350/3004 Installing : perl-GD-2.71-3.fc31.x86_64 351/3004 Installing : perl-GD-Barcode-1.15-32.fc31.noarch 352/3004 Installing : perl-Business-ISBN-3.004-9.fc31.noarch 353/3004 Installing : libXtst-1.2.3-10.fc31.x86_64 354/3004 Installing : poppler-glib-0.73.0-16.fc31.x86_64 355/3004 Installing : poppler-utils-0.73.0-16.fc31.x86_64 356/3004 Installing : libXv-1.0.11-10.fc31.x86_64 357/3004 Installing : less-551-2.fc31.x86_64 358/3004 Installing : kmod-libs-26-4.fc31.x86_64 359/3004 Installing : device-mapper-1.02.171-1.fc31.x86_64 360/3004 Installing : device-mapper-libs-1.02.171-1.fc31.x86_64 361/3004 Installing : cryptsetup-libs-2.3.0-1.fc31.x86_64 362/3004 Installing : dbus-1:1.12.16-3.fc31.x86_64 363/3004 Installing : systemd-pam-243.8-1.fc31.x86_64 364/3004 Running scriptlet: systemd-243.8-1.fc31.x86_64 365/3004 Installing : systemd-243.8-1.fc31.x86_64 365/3004 Running scriptlet: systemd-243.8-1.fc31.x86_64 365/3004 Installing : dbus-common-1:1.12.16-3.fc31.noarch 366/3004 Running scriptlet: dbus-common-1:1.12.16-3.fc31.noarch 366/3004 Created symlink /etc/systemd/system/sockets.target.wants/dbus.socket → /usr/lib/systemd/system/dbus.socket. Created symlink /etc/systemd/user/sockets.target.wants/dbus.socket → /usr/lib/systemd/user/dbus.socket. Running scriptlet: dbus-broker-21-6.fc31.x86_64 367/3004 Installing : dbus-broker-21-6.fc31.x86_64 367/3004 Running scriptlet: dbus-broker-21-6.fc31.x86_64 367/3004 Failed to get unit file state for dbus-daemon.service: No such file or directory Failed to get unit file state for dbus-daemon.service: No such file or directory Failed to disable unit, unit dbus-daemon.service does not exist. Failed to disable unit, unit dbus-daemon.service does not exist. Running scriptlet: avahi-0.7-20.fc31.x86_64 368/3004 Installing : avahi-0.7-20.fc31.x86_64 368/3004 Running scriptlet: avahi-0.7-20.fc31.x86_64 368/3004 Created symlink /etc/systemd/system/sockets.target.wants/avahi-daemon.socket → /usr/lib/systemd/system/avahi-daemon.socket. Created symlink /etc/systemd/system/dbus-org.freedesktop.Avahi.service → /usr/lib/systemd/system/avahi-daemon.service. Created symlink /etc/systemd/system/multi-user.target.wants/avahi-daemon.service → /usr/lib/systemd/system/avahi-daemon.service. Installing : nss-mdns-0.14.1-7.fc31.x86_64 369/3004 Running scriptlet: nss-mdns-0.14.1-7.fc31.x86_64 369/3004 Installing : at-spi2-core-2.34.0-1.fc31.x86_64 370/3004 Installing : at-spi2-atk-2.34.2-1.fc31.x86_64 371/3004 Installing : gtk3-3.24.13-2.fc31.x86_64 372/3004 Installing : jbig2dec-libs-0.16-1.fc31.x86_64 373/3004 Installing : isl-0.16.1-9.fc31.x86_64 374/3004 Installing : gcc-9.3.1-2.fc31.x86_64 375/3004 Installing : perl-Filter-2:1.59-440.fc31.x86_64 376/3004 Installing : groff-base-1.22.3-20.fc31.x86_64 377/3004 Installing : perl-Pod-Simple-1:3.39-2.fc31.noarch 378/3004 Installing : perl-Encode-4:3.05-443.fc31.x86_64 379/3004 Installing : perl-Getopt-Long-1:2.51-1.fc31.noarch 380/3004 Installing : perl-podlators-1:4.12-2.fc31.noarch 381/3004 Installing : perl-Pod-Usage-4:1.70-1.fc31.noarch 382/3004 Installing : perl-Pod-Perldoc-3.28.01-442.fc31.noarch 383/3004 Installing : perl-HTTP-Tiny-0.076-439.fc31.noarch 384/3004 Installing : perl-libnet-3.11-440.fc31.noarch 385/3004 Installing : perl-URI-1.76-5.fc31.noarch 386/3004 Installing : perl-IO-Socket-SSL-2.066-7.fc31.noarch 387/3004 Installing : perl-Encode-Locale-1.05-14.fc31.noarch 388/3004 Installing : perl-IO-Compress-2.087-1.fc31.noarch 389/3004 Installing : perl-Net-HTTP-6.19-3.fc31.noarch 390/3004 Installing : perl-Date-Manip-6.80-1.fc31.noarch 391/3004 Installing : perl-Spreadsheet-WriteExcel-2.40-16.fc31.noarc 392/3004 Installing : perl-Net-SMTP-SSL-1.04-10.fc31.noarch 393/3004 Installing : perl-MailTools-2.21-3.fc31.noarch 394/3004 Installing : perl-WWW-RobotRules-6.02-23.fc31.noarch 395/3004 Installing : perl-Digest-SHA-1:6.02-440.fc31.x86_64 396/3004 Installing : perl-Digest-HMAC-1.03-22.fc31.noarch 397/3004 Installing : perl-NTLM-1.09-21.fc31.noarch 398/3004 Installing : perl-Package-Stash-0.38-4.fc31.noarch 399/3004 Installing : perl-namespace-clean-0.27-12.fc31.noarch 400/3004 Installing : perl-namespace-autoclean-0.29-1.fc31.noarch 401/3004 Installing : perl-Pod-Html-1.24-451.fc31.noarch 402/3004 Installing : perl-Encode-EUCJPASCII-0.03-28.fc31.x86_64 403/3004 Installing : perl-Encode-HanExtra-0.23-28.fc31.x86_64 404/3004 Installing : perl-Encode-JIS2K-0.03-13.fc31.x86_64 405/3004 Installing : perl-File-Slurper-0.012-6.fc31.noarch 406/3004 Installing : perl-IO-HTML-1.001-15.fc31.noarch 407/3004 Installing : perl-HTTP-Message-6.22-1.fc31.noarch 408/3004 Installing : perl-HTML-Parser-3.72-19.fc31.x86_64 409/3004 Installing : perltidy-20190915-1.fc31.noarch 410/3004 Installing : perl-Eval-Closure-0.14-10.fc31.noarch 411/3004 Installing : perl-Params-ValidationCompiler-0.30-5.fc31.noa 412/3004 Installing : perl-Specio-0.44-2.fc31.noarch 413/3004 Installing : perl-DateTime-Locale-1.25-1.fc31.noarch 414/3004 Installing : perl-DateTime-2:1.51-4.fc31.x86_64 415/3004 Installing : perl-DateTime-TimeZone-2.38-1.fc31.noarch 416/3004 Installing : perl-DateTime-Format-Strptime-1:1.76-3.fc31.no 417/3004 Installing : perl-DateTime-Format-Builder-0.8200-3.fc31.noa 418/3004 Installing : perl-DateTime-Calendar-Julian-0.102-1.fc31.noa 419/3004 Installing : perl-HTTP-Cookies-6.04-7.fc31.noarch 420/3004 Installing : perl-HTTP-Negotiate-6.01-24.fc31.noarch 421/3004 Installing : perl-LWP-Protocol-https-6.07-9.fc31.noarch 422/3004 Installing : perl-libwww-perl-6.43-1.fc31.noarch 423/3004 Installing : perl-Unicode-Map-0.112-48.fc31.x86_64 424/3004 Installing : perl-XML-SAX-1.02-2.fc31.noarch 425/3004 Running scriptlet: perl-XML-SAX-1.02-2.fc31.noarch 425/3004 Installing : perl-XML-LibXML-1:2.0201-3.fc31.x86_64 426/3004 Running scriptlet: perl-XML-LibXML-1:2.0201-3.fc31.x86_64 426/3004 Installing : perl-XML-LibXML-Simple-0.99-7.fc31.noarch 427/3004 Installing : perl-XML-LibXSLT-1.96-6.fc31.x86_64 428/3004 Installing : texlive-crossrefware-7:20190410-8.fc31.noarch 429/3004 Installing : perl-Jcode-2.07-29.fc31.noarch 430/3004 Installing : perl-MIME-Charset-1.012.2-9.fc31.noarch 431/3004 Installing : perl-Unicode-LineBreak-2019.001-4.fc31.x86_64 432/3004 Installing : perl-Mail-Sender-1:0.903-10.fc31.noarch 433/3004 Installing : perl-Log-Dispatch-2.68-4.fc31.noarch 434/3004 Installing : perl-Log-Dispatch-FileRotate-1.36-4.fc31.noarc 435/3004 Installing : perl-Log-Log4perl-1.49-9.fc31.noarch 436/3004 Installing : perl-Module-Metadata-1.000037-1.fc31.noarch 437/3004 Installing : perl-Module-Load-Conditional-0.70-1.fc31.noarc 438/3004 Installing : perl-IPC-Cmd-2:1.04-2.fc31.noarch 439/3004 Installing : perl-Text-BibTeX-0.88-3.fc31.x86_64 440/3004 Installing : perl-Text-CSV_XS-1.40-1.fc31.x86_64 441/3004 Installing : perl-Spreadsheet-ParseExcel-0.6500-24.fc31.x86 442/3004 Installing : perl-encoding-4:2.22-443.fc31.x86_64 443/3004 Installing : perl-open-1.11-451.fc31.noarch 444/3004 Installing : perl-XML-XPath-1.44-4.fc31.noarch 445/3004 Installing : texlive-kpathsea-7:20190410-8.fc31.x86_64 446/3004 Installing : texlive-texlive.infra-7:20190410-8.fc31.noarch 447/3004 Installing : texlive-texconfig-7:20190410-8.fc31.noarch 448/3004 Installing : texlive-tetex-7:20190410-8.fc31.noarch 449/3004 Installing : texlive-amsmath-9:svn49390-19.fc31.noarch 450/3004 Installing : texlive-ifxetex-9:svn19685.0.5-19.fc31.noarch 451/3004 Installing : texlive-ifluatex-9:svn51770-19.fc31.noarch 452/3004 Installing : texlive-amsfonts-9:svn29208.3.04-19.fc31.noarc 453/3004 Installing : texlive-fancyhdr-9:svn49886-19.fc31.noarch 454/3004 Installing : texlive-babel-9:svn51681-19.fc31.noarch 455/3004 Installing : texlive-url-9:svn32528.3.4-19.fc31.noarch 456/3004 Installing : texlive-setspace-9:svn24881.6.7a-19.fc31.noarc 457/3004 Installing : texlive-booktabs-9:svn40846-19.fc31.noarch 458/3004 Installing : texlive-xstring-9:svn49946-19.fc31.noarch 459/3004 Installing : texlive-fontaxes-9:svn33276.1.0d-19.fc31.noarc 460/3004 Installing : texlive-natbib-9:svn20668.8.31b-19.fc31.noarch 461/3004 Installing : texlive-etex-pkg-9:svn41784-19.fc31.noarch 462/3004 Installing : texlive-etoolbox-9:svn46602-19.fc31.noarch 463/3004 Installing : texlive-fp-9:svn49719-19.fc31.noarch 464/3004 Installing : texlive-titlesec-9:svn51664-19.fc31.noarch 465/3004 Installing : texlive-enumitem-9:svn51423-19.fc31.noarch 466/3004 Installing : texlive-float-9:svn15878.1.3d-19.fc31.noarch 467/3004 Installing : texlive-mweights-9:svn43647-19.fc31.noarch 468/3004 Installing : texlive-lm-9:svn48145-19.fc31.noarch 469/3004 Installing : texlive-lastpage-9:svn36680.1.2m-19.fc31.noarc 470/3004 Installing : texlive-relsize-9:svn30707.4.1-19.fc31.noarch 471/3004 Installing : texlive-marginnote-9:svn48383-19.fc31.noarch 472/3004 Installing : texlive-tocloft-9:svn45188-19.fc31.noarch 473/3004 Installing : texlive-paralist-9:svn43021-19.fc31.noarch 474/3004 Installing : texlive-ulem-9:svn26785.0-19.fc31.noarch 475/3004 Installing : texlive-fancybox-9:svn18304.1.4-19.fc31.noarch 476/3004 Installing : texlive-framed-9:svn26789.0.96-19.fc31.noarch 477/3004 Installing : texlive-multido-9:svn18302.1.42-19.fc31.noarch 478/3004 Installing : texlive-textcase-9:svn15878.0-19.fc31.noarch 479/3004 Installing : texlive-marvosym-9:svn29349.2.2a-19.fc31.noarc 480/3004 Installing : texlive-footmisc-9:svn23330.5.5b-19.fc31.noarc 481/3004 Installing : texlive-soul-9:svn15878.2.4-19.fc31.noarch 482/3004 Installing : texlive-eurosym-9:svn17265.1.4_subrfix-19.fc31 483/3004 Installing : texlive-txfonts-9:svn15878.0-19.fc31.noarch 484/3004 Installing : texlive-changepage-9:svn15878.1.0c-19.fc31.noa 485/3004 Installing : texlive-cite-9:svn36428.5.5-19.fc31.noarch 486/3004 Installing : texlive-comment-9:svn41927-19.fc31.noarch 487/3004 Installing : texlive-multirow-9:svn51278-19.fc31.noarch 488/3004 Installing : texlive-tocbibind-9:svn20085.1.5k-19.fc31.noar 489/3004 Installing : texlive-ifmtarg-9:svn47544-19.fc31.noarch 490/3004 Installing : texlive-iftex-9:svn29654.0.2-19.fc31.noarch 491/3004 Installing : texlive-needspace-9:svn29601.1.3d-19.fc31.noar 492/3004 Installing : texlive-everypage-9:svn15878.1.1-19.fc31.noarc 493/3004 Installing : texlive-filecontents-9:svn47890-19.fc31.noarch 494/3004 Installing : texlive-varwidth-9:svn24104.0.92-19.fc31.noarc 495/3004 Installing : texlive-cleveref-9:svn47525-19.fc31.noarch 496/3004 Installing : texlive-cmap-9:svn42428-19.fc31.noarch 497/3004 Installing : texlive-eepic-9:svn15878.1.1e-19.fc31.noarch 498/3004 Installing : texlive-hyphen-base-9:svn51200-19.fc31.noarch 499/3004 Installing : texlive-ifetex-9:svn47231-19.fc31.noarch 500/3004 Installing : texlive-parskip-9:svn49730-19.fc31.noarch 501/3004 Installing : texlive-placeins-9:svn19848.2.2-19.fc31.noarch 502/3004 Installing : texlive-subfigure-9:svn15878.2.1.5-19.fc31.noa 503/3004 Installing : texlive-was-9:svn21439.0-19.fc31.noarch 504/3004 Installing : texlive-wrapfig-9:svn22048.3.6-19.fc31.noarch 505/3004 Installing : texlive-cm-9:svn49028-19.fc31.noarch 506/3004 Installing : texlive-boxedminipage-9:svn17087.2-19.fc31.noa 507/3004 Installing : texlive-ifoddpage-9:svn40726-19.fc31.noarch 508/3004 Installing : texlive-index-9:svn24099.4.1beta-19.fc31.noarc 509/3004 Installing : texlive-svn-prov-9:svn18017.3.1862-19.fc31.noa 510/3004 Installing : texlive-trimspaces-9:svn15878.1.1-19.fc31.noar 511/3004 Installing : texlive-environ-9:svn33821.0.3-19.fc31.noarch 512/3004 Installing : texlive-mfirstuc-9:svn45803-19.fc31.noarch 513/3004 Installing : texlive-pxfonts-9:svn15878.0-19.fc31.noarch 514/3004 Installing : texlive-bbding-9:svn17186.1.01-19.fc31.noarch 515/3004 Installing : texlive-cancel-9:svn32508.2.2-19.fc31.noarch 516/3004 Installing : texlive-endnotes-9:svn17197.0-19.fc31.noarch 517/3004 Installing : texlive-hyphenat-9:svn15878.2.3c-19.fc31.noarc 518/3004 Installing : texlive-knuth-lib-9:svn35820.0-19.fc31.noarch 519/3004 Installing : texlive-mdwtools-9:svn15878.1.05.4-19.fc31.noa 520/3004 Installing : texlive-nomencl-9:svn51025-19.fc31.noarch 521/3004 Installing : texlive-plain-9:svn43076-19.fc31.noarch 522/3004 Installing : texlive-preprint-9:svn30447.2011-19.fc31.noarc 523/3004 Installing : texlive-substr-9:svn16117.1.2-19.fc31.noarch 524/3004 Installing : texlive-truncate-9:svn18921.3.6-19.fc31.noarch 525/3004 Installing : texlive-ltxnew-9:svn21586.1.3-19.fc31.noarch 526/3004 Installing : texlive-babelbib-9:svn50354-19.fc31.noarch 527/3004 Installing : texlive-onlyamsmath-9:svn42927-19.fc31.noarch 528/3004 Installing : texlive-doublestroke-9:svn15878.1.111-19.fc31. 529/3004 Installing : texlive-esvect-9:svn32098.1.3-19.fc31.noarch 530/3004 Installing : texlive-stmaryrd-9:svn22027.0-19.fc31.noarch 531/3004 Installing : texlive-chngcntr-9:svn47577-19.fc31.noarch 532/3004 Installing : texlive-collectbox-9:svn26557.0.4b-19.fc31.noa 533/3004 Installing : texlive-genmisc-9:svn45851-19.fc31.noarch 534/3004 Installing : texlive-graphics-cfg-9:svn40269-19.fc31.noarch 535/3004 Installing : texlive-graphics-9:svn51733-19.fc31.noarch 536/3004 Installing : texlive-tools-9:svn49619-19.fc31.noarch 537/3004 Installing : texlive-xkeyval-9:svn35741.2.7a-19.fc31.noarch 538/3004 Installing : texlive-psnfss-9:svn33946.9.2a-19.fc31.noarch 539/3004 Installing : texlive-caption-9:svn47968-19.fc31.noarch 540/3004 Installing : texlive-microtype-9:svn50187-19.fc31.noarch 541/3004 Installing : texlive-csquotes-9:svn51091-19.fc31.noarch 542/3004 Installing : texlive-colortbl-9:svn49404-19.fc31.noarch 543/3004 Installing : texlive-xargs-9:svn15878.1.1-19.fc31.noarch 544/3004 Installing : texlive-mathtools-9:svn51788-19.fc31.noarch 545/3004 Installing : texlive-carlisle-9:svn47876-19.fc31.noarch 546/3004 Installing : texlive-pict2e-9:svn39591-19.fc31.noarch 547/3004 Installing : texlive-subfig-9:svn15878.1.3-19.fc31.noarch 548/3004 Installing : texlive-draftwatermark-9:svn37498.1.2-19.fc31. 549/3004 Installing : texlive-endfloat-9:svn51003-19.fc31.noarch 550/3004 Installing : texlive-bigfoot-9:svn38248.2.1-19.fc31.noarch 551/3004 Installing : texlive-ncctools-9:svn51810-19.fc31.noarch 552/3004 Installing : texlive-moreverb-9:svn22126.2.3a-19.fc31.noarc 553/3004 Installing : texlive-psfrag-9:svn15878.3.04-19.fc31.noarch 554/3004 Installing : texlive-sauerj-9:svn15878.0-19.fc31.noarch 555/3004 Installing : texlive-translator-9:svn51279-19.fc31.noarch 556/3004 Installing : texlive-ifsym-9:svn24868.0-19.fc31.noarch 557/3004 Installing : texlive-acronym-9:svn36582.1.41-19.fc31.noarch 558/3004 Installing : texlive-apacite-9:svn31264.6.03-19.fc31.noarch 559/3004 Installing : texlive-makecell-9:svn15878.0.1e-19.fc31.noarc 560/3004 Installing : texlive-sttools-9:svn43684-19.fc31.noarch 561/3004 Installing : texlive-graphics-def-9:svn46267-19.fc31.noarch 562/3004 Installing : texlive-kastrup-9:svn15878.0-19.fc31.noarch 563/3004 Installing : texlive-latex-fonts-9:svn28888.0-19.fc31.noarc 564/3004 Installing : texlive-lipsum-9:svn49610-19.fc31.noarch 565/3004 Installing : texlive-makecmds-9:svn15878.0-19.fc31.noarch 566/3004 Installing : texlive-sectsty-9:svn15878.2.0.2-19.fc31.noarc 567/3004 Installing : texlive-tex-ini-files-9:svn40533-19.fc31.noarc 568/3004 Installing : texlive-threeparttable-9:svn17383.0-19.fc31.no 569/3004 Installing : texlive-type1cm-9:svn21820.0-19.fc31.noarch 570/3004 Installing : texlive-vmargin-9:svn15878.2.5-19.fc31.noarch 571/3004 Installing : texlive-wasysym-9:svn15878.2.0-19.fc31.noarch 572/3004 Installing : texlive-xfor-9:svn15878.1.05-19.fc31.noarch 573/3004 Installing : texlive-dvips-7:20190410-8.fc31.x86_64 574/3004 Installing : texlive-floatrow-9:svn15878.0.3b-19.fc31.noarc 575/3004 Installing : texlive-ccicons-9:svn45646-19.fc31.noarch 576/3004 Installing : texlive-blindtext-9:svn25039.2.0-19.fc31.noarc 577/3004 Installing : texlive-etoc-9:svn50317-19.fc31.noarch 578/3004 Installing : texlive-tabulary-9:svn34368.0.10-19.fc31.noarc 579/3004 Installing : texlive-crop-9:svn15878.1.5-19.fc31.noarch 580/3004 Installing : texlive-envlab-9:svn15878.1.2-19.fc31.noarch 581/3004 Installing : texlive-fncychap-9:svn20710.v1.34-19.fc31.noar 582/3004 Installing : texlive-lcg-9:svn31474.1.3-19.fc31.noarch 583/3004 Installing : texlive-lettrine-9:svn50847-19.fc31.noarch 584/3004 Installing : texlive-paratype-9:svn32859.0-19.fc31.noarch 585/3004 Installing : texlive-shadethm-9:svn20319.0-19.fc31.noarch 586/3004 Installing : texlive-everyhook-9:svn35675.1.2-19.fc31.noarc 587/3004 Installing : texlive-noindentafter-9:svn35709.0.2.2-19.fc31 588/3004 Installing : texlive-fontawesome-9:svn48145-19.fc31.noarch 589/3004 Installing : texlive-SIunits-9:svn15878.1.36-19.fc31.noarch 590/3004 Installing : texlive-arrayjobx-9:svn18125.1.04-19.fc31.noar 591/3004 Installing : texlive-bbm-macros-9:svn17224.0-19.fc31.noarch 592/3004 Installing : texlive-beton-9:svn15878.0-19.fc31.noarch 593/3004 Installing : texlive-cmbright-9:svn21107.8.1-19.fc31.noarch 594/3004 Installing : texlive-draftcopy-9:svn15878.2.16-19.fc31.noar 595/3004 Installing : texlive-emptypage-9:svn18064.1.2-19.fc31.noarc 596/3004 Installing : texlive-epigraph-9:svn15878.1.5c-19.fc31.noarc 597/3004 Installing : texlive-esint-9:svn51679-19.fc31.noarch 598/3004 Installing : texlive-etex-9:svn37057.0-19.fc31.noarch 599/3004 Installing : texlive-pdftex-7:20190410-8.fc31.x86_64 600/3004 Installing : texlive-euler-9:svn42428-19.fc31.noarch 601/3004 Installing : texlive-eulervm-9:svn15878.4.0-19.fc31.noarch 602/3004 Installing : texlive-fileinfo-9:svn28421.0.81a-19.fc31.noar 603/3004 Installing : texlive-fltpoint-9:svn15878.1.1b-19.fc31.noarc 604/3004 Installing : texlive-fundus-calligra-9:svn26018.1.2-19.fc31 605/3004 Installing : texlive-gloss-9:svn15878.1.5.2-19.fc31.noarch 606/3004 Installing : texlive-ifnextok-9:svn23379.0.3-19.fc31.noarch 607/3004 Installing : texlive-import-9:svn17361.5.1-19.fc31.noarch 608/3004 Installing : texlive-latexconfig-9:svn45777-19.fc31.noarch 609/3004 Installing : texlive-mathabx-9:svn15878.0-19.fc31.noarch 610/3004 Installing : texlive-mciteplus-9:svn31648.1.2-19.fc31.noarc 611/3004 Installing : texlive-mparhack-9:svn15878.1.4-19.fc31.noarch 612/3004 Installing : texlive-pictex-9:svn21943.1.1-19.fc31.noarch 613/3004 Installing : texlive-pslatex-9:svn16416.0-19.fc31.noarch 614/3004 Installing : texlive-silence-9:svn27028.1.5b-19.fc31.noarch 615/3004 Installing : texlive-soulpos-9:svn51479-19.fc31.noarch 616/3004 Installing : texlive-titling-9:svn15878.2.1d-19.fc31.noarch 617/3004 Installing : texlive-unicode-data-9:svn50303-19.fc31.noarch 618/3004 Installing : texlive-luatex-7:20190410-8.fc31.x86_64 619/3004 Installing : texlive-xcomment-9:svn20031.1.3-19.fc31.noarch 620/3004 Installing : texlive-xtab-9:svn23347.2.3f-19.fc31.noarch 621/3004 Installing : texlive-splitindex-7:20190410-8.fc31.noarch 622/3004 Installing : texlive-hepunits-9:svn15878.1.1.1-19.fc31.noar 623/3004 Installing : texlive-dot2texi-9:svn26237.3.0-19.fc31.noarch 624/3004 Installing : texlive-curve2e-9:svn50663-19.fc31.noarch 625/3004 Installing : texlive-diagbox-9:svn42843-19.fc31.noarch 626/3004 Installing : texlive-boondox-9:svn43344-19.fc31.noarch 627/3004 Installing : texlive-ellipsis-9:svn15878.0-19.fc31.noarch 628/3004 Installing : texlive-eqparbox-9:svn45215-19.fc31.noarch 629/3004 Installing : texlive-fancyref-9:svn15878.0.9c-19.fc31.noarc 630/3004 Installing : texlive-ltabptch-9:svn17533.1.74d-19.fc31.noar 631/3004 Installing : texlive-newfile-9:svn15878.1.0c-19.fc31.noarch 632/3004 Installing : texlive-qstest-9:svn15878.0-19.fc31.noarch 633/3004 Installing : texlive-verbatimcopy-9:svn15878.0.06-19.fc31.n 634/3004 Installing : texlive-xpunctuate-9:svn26641.1.0-19.fc31.noar 635/3004 Installing : texlive-cclicenses-9:svn15878.0-19.fc31.noarch 636/3004 Installing : texlive-combine-9:svn19361.0.7a-19.fc31.noarch 637/3004 Installing : texlive-grid-9:svn15878.1.0-19.fc31.noarch 638/3004 Installing : texlive-logreq-9:svn19640.1.0-19.fc31.noarch 639/3004 Installing : texlive-overpic-9:svn45500-19.fc31.noarch 640/3004 Installing : texlive-rotfloat-9:svn18292.1.2-19.fc31.noarch 641/3004 Installing : texlive-texshade-9:svn46559-19.fc31.noarch 642/3004 Installing : texlive-thmbox-9:svn15878.0-19.fc31.noarch 643/3004 Installing : texlive-latex-graphics-dev-9:svn51730-19.fc31. 644/3004 Installing : texlive-tex-7:20190410-8.fc31.x86_64 645/3004 Installing : texlive-engrec-9:svn15878.1.1-19.fc31.noarch 646/3004 Installing : texlive-here-9:svn16135.0-19.fc31.noarch 647/3004 Installing : texlive-stackengine-9:svn43221-19.fc31.noarch 648/3004 Installing : texlive-elocalloc-9:svn42712-19.fc31.noarch 649/3004 Installing : texlive-extarrows-9:svn15878.1.0b-19.fc31.noar 650/3004 Installing : texlive-yhmath-9:svn50127-19.fc31.noarch 651/3004 Installing : texlive-fonts-tlwg-9:svn49085-19.fc31.noarch 652/3004 Installing : texlive-initials-9:svn15878.0-19.fc31.noarch 653/3004 Installing : texlive-kurier-9:svn19612.0.995b-19.fc31.noarc 654/3004 Installing : texlive-trajan-9:svn15878.1.1-19.fc31.noarch 655/3004 Installing : texlive-zapfding-9:svn31835.0-19.fc31.noarch 656/3004 Installing : texlive-a0poster-9:svn15878.1.22b-19.fc31.noar 657/3004 Installing : texlive-accents-9:svn51497-19.fc31.noarch 658/3004 Installing : texlive-advdate-9:svn20538.0-19.fc31.noarch 659/3004 Installing : texlive-anyfontsize-9:svn17050.0-19.fc31.noarc 660/3004 Installing : texlive-anysize-9:svn15878.0-19.fc31.noarch 661/3004 Installing : texlive-appendix-9:svn42428-19.fc31.noarch 662/3004 Installing : texlive-arydshln-9:svn50084-19.fc31.noarch 663/3004 Installing : texlive-beebe-9:svn46314-19.fc31.noarch 664/3004 Installing : texlive-bibunits-9:svn15878.2.2-19.fc31.noarch 665/3004 Installing : texlive-bophook-9:svn17062.0.02-19.fc31.noarch 666/3004 Installing : texlive-braket-9:svn17127.0-19.fc31.noarch 667/3004 Installing : texlive-calculator-9:svn33041.2.0-19.fc31.noar 668/3004 Installing : texlive-cases-9:svn17123.2.5-19.fc31.noarch 669/3004 Installing : texlive-ccaption-9:svn23443.3.2c-19.fc31.noarc 670/3004 Installing : texlive-changebar-9:svn46919-19.fc31.noarch 671/3004 Installing : texlive-chemcompounds-9:svn15878.0-19.fc31.noa 672/3004 Installing : texlive-cmtiup-9:svn39728-19.fc31.noarch 673/3004 Installing : texlive-cookingsymbols-9:svn35929.1.1-19.fc31. 674/3004 Installing : texlive-curves-9:svn45255-19.fc31.noarch 675/3004 Installing : texlive-datenumber-9:svn18951.0.02-19.fc31.noa 676/3004 Installing : texlive-drac-9:svn15878.1-19.fc31.noarch 677/3004 Installing : texlive-ean-9:svn20851.0-19.fc31.noarch 678/3004 Installing : texlive-easylist-9:svn32661.1.3-19.fc31.noarch 679/3004 Installing : texlive-figbib-9:svn19388.0-19.fc31.noarch 680/3004 Installing : texlive-finstrut-9:svn21719.0.5-19.fc31.noarch 681/3004 Installing : texlive-lineno-9:svn21442.4.41-19.fc31.noarch 682/3004 Installing : texlive-fixfoot-9:svn17131.0.3a-19.fc31.noarch 683/3004 Installing : texlive-footnpag-9:svn15878.0-19.fc31.noarch 684/3004 Installing : texlive-forarray-9:svn15878.1.01-19.fc31.noarc 685/3004 Installing : texlive-gb4e-9:svn19216.0-19.fc31.noarch 686/3004 Installing : texlive-ginpenc-9:svn24980.1.0-19.fc31.noarch 687/3004 Installing : texlive-glyphlist-7:20190410-8.fc31.noarch 688/3004 Installing : texlive-gmiflink-9:svn15878.v0.97-19.fc31.noar 689/3004 Installing : texlive-greek-fontenc-9:svn51616-19.fc31.noarc 690/3004 Installing : texlive-bpchem-9:svn45120-19.fc31.noarch 691/3004 Installing : texlive-hypernat-9:svn17358.1.0b-19.fc31.noarc 692/3004 Installing : texlive-hyph-utf8-9:svn51186-19.fc31.noarch 693/3004 Installing : texlive-labels-9:svn15878.13-19.fc31.noarch 694/3004 Installing : texlive-latex-base-dev-9:svn51730-19.fc31.noar 695/3004 Installing : texlive-layouts-9:svn42428-19.fc31.noarch 696/3004 Installing : texlive-lazylist-9:svn17691.1.0a-19.fc31.noarc 697/3004 Installing : texlive-lua-alt-getopt-9:svn29349.0.7.0-19.fc3 698/3004 Installing : texlive-macroswap-9:svn31498.1.1-19.fc31.noarc 699/3004 Installing : texlive-mailing-9:svn15878.0-19.fc31.noarch 700/3004 Installing : texlive-manfnt-9:svn42428-19.fc31.noarch 701/3004 Installing : texlive-marginfix-9:svn31598.1.1-19.fc31.noarc 702/3004 Installing : texlive-mflogo-9:svn42428-19.fc31.noarch 703/3004 Installing : texlive-monofill-9:svn28140.0.2-19.fc31.noarch 704/3004 Installing : texlive-moresize-9:svn17513.1.9-19.fc31.noarch 705/3004 Installing : texlive-multibib-9:svn15878.1.4-19.fc31.noarch 706/3004 Installing : texlive-newverbs-9:svn26258.1.3a-19.fc31.noarc 707/3004 Installing : texlive-nextpage-9:svn15878.1.1a-19.fc31.noarc 708/3004 Installing : texlive-nonumonpart-9:svn22114.1-19.fc31.noarc 709/3004 Installing : texlive-notoccite-9:svn18129.0-19.fc31.noarch 710/3004 Installing : texlive-ntgclass-9:svn15878.2.1a-19.fc31.noarc 711/3004 Installing : texlive-a4wide-9:svn20943.0-19.fc31.noarch 712/3004 Installing : texlive-optional-9:svn18131.2.2b-19.fc31.noarc 713/3004 Installing : texlive-perltex-7:20190410-8.fc31.noarch 714/3004 Installing : texlive-picinpar-9:svn20374.1.2a-19.fc31.noarc 715/3004 Installing : texlive-pst-ovl-9:svn45506-19.fc31.noarch 716/3004 Installing : texlive-readarray-9:svn42467-19.fc31.noarch 717/3004 Installing : texlive-robustcommand-9:svn15878.0.1-19.fc31.n 718/3004 Installing : texlive-romanbar-9:svn25005.1.0f-19.fc31.noarc 719/3004 Installing : texlive-rtkinenc-9:svn20003.1.0-19.fc31.noarch 720/3004 Installing : texlive-shadow-9:svn20312.0-19.fc31.noarch 721/3004 Installing : texlive-shorttoc-9:svn15878.1.3-19.fc31.noarch 722/3004 Installing : texlive-stdclsdv-9:svn15878.1.1a-19.fc31.noarc 723/3004 Installing : texlive-stringstrings-9:svn36203.1.23-19.fc31. 724/3004 Installing : texlive-subdepth-9:svn15878.0.1-19.fc31.noarch 725/3004 Installing : texlive-hepparticles-9:svn35723.2.0-19.fc31.no 726/3004 Installing : texlive-hepnames-9:svn35722.2.0-19.fc31.noarch 727/3004 Installing : texlive-subfloat-9:svn29349.2.14-19.fc31.noarc 728/3004 Installing : texlive-substitutefont-9:svn32066.0.1.4-19.fc3 729/3004 Installing : texlive-supertabular-9:svn15878.4.1a-19.fc31.n 730/3004 Installing : texlive-synttree-9:svn16252.1.4.2-19.fc31.noar 731/3004 Installing : texlive-tableof-9:svn36489.1.4a-19.fc31.noarch 732/3004 Installing : texlive-tex4ht-7:20190410-8.fc31.x86_64 733/3004 Installing : texlive-toolbox-9:svn32260.5.1-19.fc31.noarch 734/3004 Installing : texlive-tracklang-9:svn47704-19.fc31.noarch 735/3004 Installing : texlive-ucharcat-9:svn38907-19.fc31.noarch 736/3004 Installing : texlive-underscore-9:svn18261.0-19.fc31.noarch 737/3004 Installing : texlive-undolabl-9:svn36681.1.0l-19.fc31.noarc 738/3004 Installing : texlive-ushort-9:svn32261.2.2-19.fc31.noarch 739/3004 Installing : texlive-hhtensor-9:svn24981.0.61-19.fc31.noarc 740/3004 Installing : texlive-versions-9:svn21921.0.55-19.fc31.noarc 741/3004 Installing : texlive-warning-9:svn22028.0.01-19.fc31.noarch 742/3004 Installing : texlive-wasy-9:svn35831.0-19.fc31.noarch 743/3004 Installing : texlive-xint-9:svn50844-19.fc31.noarch 744/3004 Installing : texlive-yfonts-9:svn50755-19.fc31.noarch 745/3004 Installing : texlive-newspaper-9:svn15878.1.0-19.fc31.noarc 746/3004 Installing : texlive-wasy2-ps-9:svn35830.0-19.fc31.noarch 747/3004 Installing : texlive-varindex-9:svn32262.2.3-19.fc31.noarch 748/3004 Installing : texlive-romannum-9:svn15878.1.0b-19.fc31.noarc 749/3004 Installing : texlive-noitcrul-9:svn15878.0.2-19.fc31.noarch 750/3004 Installing : texlive-verbatimbox-9:svn33197.3.13-19.fc31.no 751/3004 Installing : texlive-tugboat-9:svn49415-19.fc31.noarch 752/3004 Installing : texlive-polytable-9:svn31235.0.8.2-19.fc31.noa 753/3004 Installing : texlive-plantslabels-9:svn29803.1.0-19.fc31.no 754/3004 Installing : texlive-hyphen-polish-9:svn51186-19.fc31.noarc 755/3004 Running scriptlet: texlive-hyphen-polish-9:svn51186-19.fc31.noarc 755/3004 Installing : texlive-mftinc-9:svn15878.1.0a-19.fc31.noarch 756/3004 Installing : texlive-minorrevision-9:svn32165.1.1-19.fc31.n 757/3004 Installing : texlive-vertbars-9:svn49429-19.fc31.noarch 758/3004 Installing : texlive-thesis-titlepage-fhac-9:svn15878.0.1-1 759/3004 Installing : texlive-cfr-initials-9:svn36728.1.01-19.fc31.n 760/3004 Installing : texlive-garuda-c90-9:svn37677.0-19.fc31.noarch 761/3004 Installing : texlive-norasi-c90-9:svn37675.0-19.fc31.noarch 762/3004 Installing : texlive-tabstackengine-9:svn46848-19.fc31.noar 763/3004 Installing : texlive-amstex-7:20190410-8.fc31.noarch 764/3004 Installing : texlive-textopo-9:svn23796.1.5-19.fc31.noarch 765/3004 Installing : texlive-psfragx-9:svn26243.1.1-19.fc31.noarch 766/3004 Installing : texlive-foreign-9:svn27819.2.7-19.fc31.noarch 767/3004 Installing : texlive-rterface-9:svn30084.0-19.fc31.noarch 768/3004 Installing : texlive-eqlist-9:svn32257.2.1-19.fc31.noarch 769/3004 Installing : texlive-cachepic-7:20190410-8.fc31.noarch 770/3004 Installing : texlive-epspdf-7:20190410-8.fc31.noarch 771/3004 Installing : texlive-l3build-7:20190410-8.fc31.noarch 772/3004 Installing : texlive-lwarp-7:20190410-8.fc31.noarch 773/3004 Installing : texlive-make4ht-7:20190410-8.fc31.noarch 774/3004 Installing : texlive-texlive-scripts-7:20190410-8.fc31.noar 775/3004 Installing : texlive-apa-9:svn42428-19.fc31.noarch 776/3004 Installing : texlive-mathspic-7:20190410-8.fc31.noarch 777/3004 Installing : texlive-pictex2-9:svn15878.0-19.fc31.noarch 778/3004 Installing : texlive-mathabx-type1-9:svn21129.0-19.fc31.noa 779/3004 Installing : texlive-titlecaps-9:svn36170.1.2-19.fc31.noarc 780/3004 Installing : texlive-gatech-thesis-9:svn19886.1.8-19.fc31.n 781/3004 Installing : texlive-rccol-9:svn15878.1.2c-19.fc31.noarch 782/3004 Installing : texlive-longnamefilelist-9:svn27889.0.2-19.fc3 783/3004 Installing : texlive-gfsartemisia-9:svn19469.1.0-19.fc31.no 784/3004 Installing : texlive-esint-type1-9:svn15878.0-19.fc31.noarc 785/3004 Installing : texlive-rmpage-9:svn20002.0.92-19.fc31.noarch 786/3004 Installing : texlive-he-she-9:svn41359-19.fc31.noarch 787/3004 Installing : texlive-mwe-9:svn47194-19.fc31.noarch 788/3004 Installing : texlive-trivfloat-9:svn15878.1.3b-19.fc31.noar 789/3004 Installing : texlive-ieeepes-9:svn17359.4.0-19.fc31.noarch 790/3004 Installing : texlive-beamerposter-9:svn47508-19.fc31.noarch 791/3004 Installing : texlive-threeparttablex-9:svn34206.0.3-19.fc31 792/3004 Installing : texlive-newtxsf-9:svn47958-19.fc31.noarch 793/3004 Installing : texlive-acmconf-9:svn15878.1.3-19.fc31.noarch 794/3004 Installing : texlive-tablists-9:svn15878.0.0e-19.fc31.noarc 795/3004 Installing : texlive-graphviz-9:svn31517.0.94-19.fc31.noarc 796/3004 Installing : texlive-dottex-9:svn15878.0.6-19.fc31.noarch 797/3004 Installing : texlive-har2nat-9:svn17356.1.0-19.fc31.noarch 798/3004 Installing : texlive-nameauth-9:svn43586-19.fc31.noarch 799/3004 Installing : texlive-askmaps-9:svn32320.0.1-19.fc31.noarch 800/3004 Installing : texlive-bxeepic-9:svn30559.0.2-19.fc31.noarch 801/3004 Installing : texlive-diagmac2-9:svn15878.2.1-19.fc31.noarch 802/3004 Installing : texlive-qtree-9:svn15878.3.1b-19.fc31.noarch 803/3004 Installing : texlive-steinmetz-9:svn15878.1.0-19.fc31.noarc 804/3004 Installing : texlive-vhistory-9:svn30080.1.6.1-19.fc31.noar 805/3004 Installing : texlive-extpfeil-9:svn16243.0.4-19.fc31.noarch 806/3004 Installing : texlive-afthesis-9:svn15878.2.7-19.fc31.noarch 807/3004 Installing : texlive-hvfloat-9:svn51123-19.fc31.noarch 808/3004 Installing : texlive-adforn-9:svn20019.1.001_b_2-19.fc31.no 809/3004 Installing : texlive-adfsymbols-9:svn19766.1.001-19.fc31.no 810/3004 Installing : texlive-dictsym-9:svn20031.0-19.fc31.noarch 811/3004 Installing : texlive-vpe-7:20190410-8.fc31.noarch 812/3004 Installing : texlive-dk-bib-9:svn15878.0.6-19.fc31.noarch 813/3004 Installing : texlive-dutchcal-9:svn23448.1.0-19.fc31.noarch 814/3004 Installing : texlive-esstix-9:svn22426.1.0-19.fc31.noarch 815/3004 Installing : texlive-etaremune-9:svn15878.v1.2-19.fc31.noar 816/3004 Installing : texlive-extract-9:svn15878.1.8-19.fc31.noarch 817/3004 Installing : texlive-fitbox-9:svn50088-19.fc31.noarch 818/3004 Installing : texlive-gender-9:svn36464.1.0-19.fc31.noarch 819/3004 Installing : texlive-gentium-tug-9:svn51613-19.fc31.noarch 820/3004 Installing : texlive-issuulinks-9:svn25742.1.1-19.fc31.noar 821/3004 Installing : texlive-jamtimes-9:svn20408.1.12-19.fc31.noarc 822/3004 Installing : texlive-longfigure-9:svn34302.1.0-19.fc31.noar 823/3004 Installing : texlive-mathalpha-9:svn51179-19.fc31.noarch 824/3004 Installing : texlive-mlist-9:svn15878.0.6a-19.fc31.noarch 825/3004 Installing : texlive-multiaudience-9:svn38035.1.03-19.fc31. 826/3004 Installing : texlive-pagerange-9:svn16915.0.5-19.fc31.noarc 827/3004 Installing : texlive-pxtxalfa-9:svn23682.1-19.fc31.noarch 828/3004 Installing : texlive-rsfso-9:svn37965.1.02-19.fc31.noarch 829/3004 Installing : texlive-urwchancal-9:svn21701.1-19.fc31.noarch 830/3004 Installing : texlive-xfakebold-9:svn48460-19.fc31.noarch 831/3004 Installing : texlive-zlmtt-9:svn51368-19.fc31.noarch 832/3004 Installing : texlive-12many-9:svn15878.0.3-19.fc31.noarch 833/3004 Installing : texlive-ESIEEcv-9:svn15878.0-19.fc31.noarch 834/3004 Installing : texlive-addlines-9:svn49326-19.fc31.noarch 835/3004 Installing : texlive-adjmulticol-9:svn28936.1.1-19.fc31.noa 836/3004 Installing : texlive-answers-9:svn35032.2.16-19.fc31.noarch 837/3004 Installing : texlive-ascii-font-9:svn29989.2.0-19.fc31.noar 838/3004 Installing : texlive-beamersubframe-9:svn23510.0.2-19.fc31. 839/3004 Installing : texlive-bosisio-9:svn16989.0-19.fc31.noarch 840/3004 Installing : texlive-bytefield-9:svn45339-19.fc31.noarch 841/3004 Installing : texlive-calculation-9:svn35973.1.0-19.fc31.noa 842/3004 Installing : texlive-collcell-9:svn21539.0.5-19.fc31.noarch 843/3004 Installing : texlive-colourchange-9:svn21741.1.22-19.fc31.n 844/3004 Installing : texlive-cweb-latex-9:svn28878.0-19.fc31.noarch 845/3004 Installing : texlive-cyber-9:svn46776-19.fc31.noarch 846/3004 Installing : texlive-dhua-9:svn24035.0.11-19.fc31.noarch 847/3004 Installing : texlive-ebezier-9:svn15878.4-19.fc31.noarch 848/3004 Installing : texlive-eemeir-9:svn15878.1.1b-19.fc31.noarch 849/3004 Installing : texlive-eqell-9:svn22931.0-19.fc31.noarch 850/3004 Installing : texlive-eqnarray-9:svn20641.1.3-19.fc31.noarch 851/3004 Installing : texlive-examdesign-9:svn15878.1.02-19.fc31.noa 852/3004 Installing : texlive-fcolumn-9:svn50937-19.fc31.noarch 853/3004 Installing : texlive-fmp-9:svn15878.0-19.fc31.noarch 854/3004 Installing : texlive-formular-9:svn15878.1.0a-19.fc31.noarc 855/3004 Installing : texlive-gastex-9:svn15878.2.8-19.fc31.noarch 856/3004 Installing : texlive-ktv-texdata-9:svn27369.05.34-19.fc31.n 857/3004 Installing : texlive-lapdf-9:svn23806.1.1-19.fc31.noarch 858/3004 Installing : texlive-leading-9:svn15878.0.3-19.fc31.noarch 859/3004 Installing : texlive-limap-9:svn44863-19.fc31.noarch 860/3004 Installing : texlive-lineara-9:svn15878.0-19.fc31.noarch 861/3004 Installing : texlive-listliketab-9:svn15878.0-19.fc31.noarc 862/3004 Installing : texlive-logpap-9:svn15878.0.6-19.fc31.noarch 863/3004 Installing : texlive-ltablex-9:svn34923.1.1-19.fc31.noarch 864/3004 Installing : texlive-ltxindex-9:svn15878.0.1c-19.fc31.noarc 865/3004 Installing : texlive-maybemath-9:svn15878.0-19.fc31.noarch 866/3004 Installing : texlive-menu-9:svn15878.0.994-19.fc31.noarch 867/3004 Installing : texlive-mugsthesis-9:svn34878.0-19.fc31.noarch 868/3004 Installing : texlive-niceframe-9:svn36086.1.1c-19.fc31.noar 869/3004 Installing : texlive-nox-9:svn30991.1.0-19.fc31.noarch 870/3004 Installing : texlive-numberedblock-9:svn33109.1.10-19.fc31. 871/3004 Installing : texlive-objectz-9:svn19389.0-19.fc31.noarch 872/3004 Installing : texlive-papercdcase-9:svn15878.0-19.fc31.noarc 873/3004 Installing : texlive-ran_toks-9:svn44429-19.fc31.noarch 874/3004 Installing : texlive-semioneside-9:svn15878.v0.41-19.fc31.n 875/3004 Installing : texlive-subfiles-9:svn48323-19.fc31.noarch 876/3004 Installing : texlive-tabularborder-9:svn17885.1.0a-19.fc31. 877/3004 Installing : texlive-tabularew-9:svn15878.0.1-19.fc31.noarc 878/3004 Installing : texlive-tagging-9:svn23761.0-19.fc31.noarch 879/3004 Installing : texlive-warpcol-9:svn15878.1.0c-19.fc31.noarch 880/3004 Installing : texlive-boites-9:svn32235.1.1-19.fc31.noarch 881/3004 Installing : texlive-cd-cover-9:svn17121.1.0-19.fc31.noarch 882/3004 Installing : texlive-cd-9:svn34452.1.4-19.fc31.noarch 883/3004 Installing : texlive-chemcono-9:svn17119.1.3-19.fc31.noarch 884/3004 Installing : texlive-clrscode3e-9:svn51137-19.fc31.noarch 885/3004 Installing : texlive-colorweb-9:svn31490.1.3-19.fc31.noarch 886/3004 Installing : texlive-combinedgraphics-9:svn27198.0.2.2-19.f 887/3004 Installing : texlive-constants-9:svn15878.1.0-19.fc31.noarc 888/3004 Installing : texlive-contour-9:svn18950.2.14-19.fc31.noarch 889/3004 Installing : texlive-countriesofeurope-9:svn49525-19.fc31.n 890/3004 Installing : texlive-courier-scaled-9:svn24940.0-19.fc31.no 891/3004 Installing : texlive-dejavu-9:svn31771.2.34-19.fc31.noarch 892/3004 Installing : texlive-dnaseq-9:svn17194.0.01-19.fc31.noarch 893/3004 Installing : texlive-errata-9:svn42428-19.fc31.noarch 894/3004 Installing : texlive-exceltex-7:20190410-8.fc31.noarch 895/3004 Installing : texlive-fbithesis-9:svn21340.1.2m-19.fc31.noar 896/3004 Installing : texlive-feynmf-9:svn17259.1.08-19.fc31.noarch 897/3004 Installing : texlive-flabels-9:svn17272.1.0-19.fc31.noarch 898/3004 Installing : texlive-fullminipage-9:svn34545.0.1.1-19.fc31. 899/3004 Installing : texlive-galois-9:svn15878.1.5-19.fc31.noarch 900/3004 Installing : texlive-genmpage-9:svn15878.0.3.1-19.fc31.noar 901/3004 Installing : texlive-gradientframe-9:svn21387.0.2-19.fc31.n 902/3004 Installing : texlive-graphbox-9:svn46360-19.fc31.noarch 903/3004 Installing : texlive-graphicx-psmin-9:svn15878.1.1-19.fc31. 904/3004 Installing : texlive-grfpaste-9:svn17354.0.2-19.fc31.noarch 905/3004 Installing : texlive-harpoon-9:svn21327.1.0-19.fc31.noarch 906/3004 Installing : texlive-hyper-9:svn17357.4.2d-19.fc31.noarch 907/3004 Installing : texlive-ionumbers-9:svn33457.0.3.3-19.fc31.noa 908/3004 Installing : texlive-isorot-9:svn15878.0-19.fc31.noarch 909/3004 Installing : texlive-jpsj-9:svn15878.1.2.2-19.fc31.noarch 910/3004 Installing : texlive-keystroke-9:svn17992.v1.6-19.fc31.noar 911/3004 Installing : texlive-knitting-9:svn50782-19.fc31.noarch 912/3004 Installing : texlive-lettre-9:svn44950-19.fc31.noarch 913/3004 Installing : texlive-lhelp-9:svn23638.2.0-19.fc31.noarch 914/3004 Installing : texlive-libgreek-9:svn27789.1.0-19.fc31.noarch 915/3004 Installing : texlive-notes-9:svn42428-19.fc31.noarch 916/3004 Installing : texlive-pagecont-9:svn15878.1.0-19.fc31.noarch 917/3004 Installing : texlive-petiteannonce-9:svn25915.1.0001-19.fc3 918/3004 Installing : texlive-pinlabel-9:svn24769.1.2-19.fc31.noarch 919/3004 Installing : texlive-polynom-9:svn44832-19.fc31.noarch 920/3004 Installing : texlive-polynomial-9:svn15878.1.0-19.fc31.noar 921/3004 Installing : texlive-quotchap-9:svn51591-19.fc31.noarch 922/3004 Installing : texlive-refstyle-9:svn20318.0.5-19.fc31.noarch 923/3004 Installing : texlive-rviewport-9:svn23739.v1.0-19.fc31.noar 924/3004 Installing : texlive-shadowtext-9:svn26522.0.3-19.fc31.noar 925/3004 Installing : texlive-spotcolor-9:svn15878.1.2-19.fc31.noarc 926/3004 Installing : texlive-statistik-9:svn20334.0.03-19.fc31.noar 927/3004 Installing : texlive-tex-label-9:svn16372.0-19.fc31.noarch 928/3004 Installing : texlive-texdraw-9:svn51030-19.fc31.noarch 929/3004 Installing : texlive-totcount-9:svn21178.1.2-19.fc31.noarch 930/3004 Installing : texlive-unamthesis-9:svn43639-19.fc31.noarch 931/3004 Installing : texlive-usebib-9:svn25969.1.0a-19.fc31.noarch 932/3004 Installing : texlive-esami-9:svn47639-19.fc31.noarch 933/3004 Installing : texlive-randtext-9:svn15878.0-19.fc31.noarch 934/3004 Installing : texlive-epigrafica-9:svn17210.1.01-19.fc31.noa 935/3004 Installing : texlive-gfsdidot-9:svn46310-19.fc31.noarch 936/3004 Installing : texlive-pxgreeks-9:svn21838.1.0-19.fc31.noarch 937/3004 Installing : texlive-ptptex-9:svn19440.0.91-19.fc31.noarch 938/3004 Installing : texlive-subfigmat-9:svn20308.1.0-19.fc31.noarc 939/3004 Installing : texlive-widetable-9:svn51501-19.fc31.noarch 940/3004 Installing : texlive-tagpair-9:svn42138-19.fc31.noarch 941/3004 Installing : texlive-flippdf-9:svn15878.1.0-19.fc31.noarch 942/3004 Installing : texlive-ecclesiastic-9:svn38172.0.3-19.fc31.no 943/3004 Installing : texlive-dashrule-9:svn29579.1.3-19.fc31.noarch 944/3004 Installing : texlive-pagenote-9:svn15878.1.1a-19.fc31.noarc 945/3004 Installing : texlive-cell-9:svn42428-19.fc31.noarch 946/3004 Installing : texlive-mcaption-9:svn15878.3.0-19.fc31.noarch 947/3004 Installing : texlive-txfontsb-9:svn21578.1.1-19.fc31.noarch 948/3004 Installing : texlive-txgreeks-9:svn21839.1.0-19.fc31.noarch 949/3004 Installing : texlive-arcs-9:svn15878.1-19.fc31.noarch 950/3004 Installing : texlive-metre-9:svn18489.1.0-19.fc31.noarch 951/3004 Installing : texlive-facsimile-9:svn21328.1.0-19.fc31.noarc 952/3004 Installing : texlive-euro-9:svn22191.1.1-19.fc31.noarch 953/3004 Installing : texlive-spreadtab-9:svn50147-19.fc31.noarch 954/3004 Installing : texlive-tengwarscript-9:svn34594.1.3.1-19.fc31 955/3004 Installing : texlive-xprintlen-9:svn35928.1.0-19.fc31.noarc 956/3004 Installing : texlive-codesection-9:svn34481.0.1-19.fc31.noa 957/3004 Installing : texlive-fixltxhyph-9:svn25832.0.4-19.fc31.noar 958/3004 Installing : texlive-locality-9:svn20422.0.2-19.fc31.noarch 959/3004 Installing : texlive-lxfonts-9:svn32354.2.0b-19.fc31.noarch 960/3004 Installing : texlive-emarks-9:svn24504.1.0-19.fc31.noarch 961/3004 Installing : texlive-nmbib-9:svn37984.1.04-19.fc31.noarch 962/3004 Installing : texlive-revtex4-9:svn45873-19.fc31.noarch 963/3004 Installing : texlive-biblatex-true-citepages-omit-9:svn4465 964/3004 Installing : texlive-muthesis-9:svn23861.0-19.fc31.noarch 965/3004 Installing : texlive-uiucthesis-9:svn15878.2.25-19.fc31.noa 966/3004 Installing : texlive-directory-9:svn15878.1.20-19.fc31.noar 967/3004 Installing : texlive-doipubmed-9:svn15878.1.01-19.fc31.noar 968/3004 Installing : texlive-iso-9:svn15878.2.4-19.fc31.noarch 969/3004 Installing : texlive-beamer-rl-9:svn50970-19.fc31.noarch 970/3004 Installing : texlive-fundus-cyr-9:svn26019.0-19.fc31.noarch 971/3004 Installing : texlive-multiobjective-9:svn15878.1.0-19.fc31. 972/3004 Installing : texlive-piff-9:svn21894.0-19.fc31.noarch 973/3004 Installing : texlive-proba-9:svn15878.0-19.fc31.noarch 974/3004 Installing : texlive-todo-9:svn17746.2.142-19.fc31.noarch 975/3004 Installing : texlive-datetime2-breton-9:svn47030-19.fc31.no 976/3004 Installing : texlive-datetime2-bulgarian-9:svn47031-19.fc31 977/3004 Installing : texlive-datetime2-catalan-9:svn47032-19.fc31.n 978/3004 Installing : texlive-datetime2-croatian-9:svn36682.1.0-19.f 979/3004 Installing : texlive-datetime2-czech-9:svn47033-19.fc31.noa 980/3004 Installing : texlive-datetime2-danish-9:svn47034-19.fc31.no 981/3004 Installing : texlive-datetime2-esperanto-9:svn47356-19.fc31 982/3004 Installing : texlive-datetime2-estonian-9:svn47565-19.fc31. 983/3004 Installing : texlive-datetime2-finnish-9:svn47047-19.fc31.n 984/3004 Installing : texlive-datetime2-french-9:svn43742-19.fc31.no 985/3004 Installing : texlive-datetime2-galician-9:svn47631-19.fc31. 986/3004 Installing : texlive-datetime2-german-9:svn45800-19.fc31.no 987/3004 Installing : texlive-datetime2-greek-9:svn47533-19.fc31.noa 988/3004 Installing : texlive-datetime2-icelandic-9:svn47501-19.fc31 989/3004 Installing : texlive-datetime2-irish-9:svn47632-19.fc31.noa 990/3004 Installing : texlive-datetime2-italian-9:svn37146.1.3-19.fc 991/3004 Installing : texlive-datetime2-lsorbian-9:svn47749-19.fc31. 992/3004 Installing : texlive-datetime2-magyar-9:svn48266-19.fc31.no 993/3004 Installing : texlive-datetime2-norsk-9:svn48267-19.fc31.noa 994/3004 Installing : texlive-datetime2-polish-9:svn36692.1.0-19.fc3 995/3004 Installing : texlive-datetime2-portuges-9:svn36670.1.0-19.f 996/3004 Installing : texlive-datetime2-romanian-9:svn43743-19.fc31. 997/3004 Installing : texlive-datetime2-russian-9:svn49345-19.fc31.n 998/3004 Installing : texlive-datetime2-samin-9:svn49346-19.fc31.noa 999/3004 Installing : texlive-datetime2-scottish-9:svn36625.1.0-19.f 1000/3004 Installing : texlive-datetime2-serbian-9:svn36699.1.0-19.fc 1001/3004 Installing : texlive-datetime2-slovak-9:svn36700.1.0-19.fc3 1002/3004 Installing : texlive-datetime2-slovene-9:svn36700.1.0-19.fc 1003/3004 Installing : texlive-datetime2-spanish-9:svn45785-19.fc31.n 1004/3004 Installing : texlive-datetime2-swedish-9:svn36700.1.0-19.fc 1005/3004 Installing : texlive-datetime2-turkish-9:svn36700.1.0-19.fc 1006/3004 Installing : texlive-datetime2-ukrainian-9:svn47552-19.fc31 1007/3004 Installing : texlive-datetime2-usorbian-9:svn36700.1.0-19.f 1008/3004 Installing : texlive-datetime2-welsh-9:svn36636.1.0-19.fc31 1009/3004 Installing : texlive-luabibentry-9:svn31783.0.1a-19.fc31.no 1010/3004 Installing : texlive-SIstyle-9:svn15878.2.3a-19.fc31.noarch 1011/3004 Installing : texlive-bigints-9:svn29803.0-19.fc31.noarch 1012/3004 Installing : texlive-digiconfigs-9:svn15878.0.5-19.fc31.noa 1013/3004 Installing : texlive-functan-9:svn15878.0-19.fc31.noarch 1014/3004 Installing : texlive-gauss-9:svn32934.0-19.fc31.noarch 1015/3004 Installing : texlive-mattens-9:svn17582.1.3-19.fc31.noarch 1016/3004 Installing : texlive-antiqua-9:svn24266.001.003-19.fc31.noa 1017/3004 Installing : texlive-antt-9:svn18651.2.08-19.fc31.noarch 1018/3004 Installing : texlive-archaic-9:svn38005.0-19.fc31.noarch 1019/3004 Installing : texlive-arphic-9:svn15878.0-19.fc31.noarch 1020/3004 Installing : texlive-aspectratio-9:svn25243.2.0-19.fc31.noa 1021/3004 Installing : texlive-augie-9:svn18948.0-19.fc31.noarch 1022/3004 Installing : texlive-auncial-new-9:svn15878.2.0-19.fc31.noa 1023/3004 Installing : texlive-aurical-9:svn15878.1.5-19.fc31.noarch 1024/3004 Installing : texlive-avantgar-9:svn31835.0-19.fc31.noarch 1025/3004 Installing : texlive-bbold-type1-9:svn33143.0-19.fc31.noarc 1026/3004 Installing : texlive-belleek-9:svn18651.0-19.fc31.noarch 1027/3004 Installing : texlive-bookhands-9:svn46480-19.fc31.noarch 1028/3004 Installing : texlive-bookman-9:svn31835.0-19.fc31.noarch 1029/3004 Installing : texlive-brushscr-9:svn28363.0-19.fc31.noarch 1030/3004 Installing : texlive-calligra-type1-9:svn24302.001.000-19.f 1031/3004 Installing : texlive-carolmin-ps-9:svn15878.0-19.fc31.noarc 1032/3004 Installing : texlive-chemarrow-9:svn17146.0.9-19.fc31.noarc 1033/3004 Installing : texlive-cm-lgc-9:svn28250.0.5-19.fc31.noarch 1034/3004 Installing : texlive-courier-9:svn35058.0-19.fc31.noarch 1035/3004 Installing : texlive-cyklop-9:svn18651.0.915-19.fc31.noarch 1036/3004 Installing : texlive-epiolmec-9:svn15878.0-19.fc31.noarch 1037/3004 Installing : texlive-esrelation-9:svn37236.0-19.fc31.noarch 1038/3004 Installing : texlive-fetamont-9:svn43812-19.fc31.noarch 1039/3004 Installing : texlive-fge-9:svn37628.1.25-19.fc31.noarch 1040/3004 Installing : texlive-foekfont-9:svn15878.0-19.fc31.noarch 1041/3004 Installing : texlive-gfsbodoni-9:svn28484.1.01-19.fc31.noar 1042/3004 Installing : texlive-gfscomplutum-9:svn19469.1.0-19.fc31.no 1043/3004 Installing : texlive-gfsneohellenic-9:svn31979.0-19.fc31.no 1044/3004 Installing : texlive-gfssolomos-9:svn18651.1.0-19.fc31.noar 1045/3004 Installing : texlive-grotesq-9:svn35859.0-19.fc31.noarch 1046/3004 Installing : texlive-hacm-9:svn27671.0.1-19.fc31.noarch 1047/3004 Installing : texlive-helvetic-9:svn31835.0-19.fc31.noarch 1048/3004 Installing : texlive-hfbright-9:svn29349.0-19.fc31.noarch 1049/3004 Installing : texlive-ipaex-type1-9:svn47700-19.fc31.noarch 1050/3004 Installing : texlive-iwona-9:svn19611.0.995b-19.fc31.noarch 1051/3004 Installing : texlive-manfnt-font-9:svn45777-19.fc31.noarch 1052/3004 Installing : texlive-metafont-7:20190410-8.fc31.x86_64 1053/3004 Installing : texlive-mflogo-font-9:svn36898.1.002-19.fc31.n 1054/3004 Installing : texlive-mptopdf-7:20190410-8.fc31.noarch 1055/3004 Installing : texlive-ncntrsbk-9:svn31835.0-19.fc31.noarch 1056/3004 Installing : texlive-ocherokee-9:svn25689.0-19.fc31.noarch 1057/3004 Installing : texlive-ocr-b-outline-9:svn20969.0-19.fc31.noa 1058/3004 Installing : texlive-oinuit-9:svn28668.0-19.fc31.noarch 1059/3004 Installing : texlive-old-arrows-9:svn42872-19.fc31.noarch 1060/3004 Installing : texlive-palatino-9:svn31835.0-19.fc31.noarch 1061/3004 Installing : texlive-phaistos-9:svn18651.1.0-19.fc31.noarch 1062/3004 Installing : texlive-pigpen-9:svn15878.0.2-19.fc31.noarch 1063/3004 Installing : texlive-pl-9:svn36012.1.09-19.fc31.noarch 1064/3004 Installing : texlive-polski-9:svn44213-19.fc31.noarch 1065/3004 Installing : texlive-poltawski-9:svn20075.1.101-19.fc31.noa 1066/3004 Installing : texlive-prodint-9:svn21893.0-19.fc31.noarch 1067/3004 Installing : texlive-recycle-9:svn15878.0-19.fc31.noarch 1068/3004 Installing : texlive-rsfs-9:svn15878.0-19.fc31.noarch 1069/3004 Installing : texlive-sansmathfonts-9:svn51356-19.fc31.noarc 1070/3004 Installing : texlive-semaphor-9:svn18651.0-19.fc31.noarch 1071/3004 Installing : texlive-starfont-9:svn19982.1.2-19.fc31.noarch 1072/3004 Installing : texlive-staves-9:svn15878.0-19.fc31.noarch 1073/3004 Installing : texlive-symbol-9:svn31835.0-19.fc31.noarch 1074/3004 Installing : texlive-tfrupee-9:svn20770.1.02-19.fc31.noarch 1075/3004 Installing : texlive-times-9:svn35058.0-19.fc31.noarch 1076/3004 Installing : texlive-uhc-9:svn16791.0-19.fc31.noarch 1077/3004 Installing : texlive-wadalab-9:svn42428-19.fc31.noarch 1078/3004 Installing : texlive-zapfchan-9:svn31835.0-19.fc31.noarch 1079/3004 Installing : texlive-2up-9:svn41578-19.fc31.noarch 1080/3004 Installing : texlive-Tabbing-9:svn17022.0-19.fc31.noarch 1081/3004 Installing : texlive-a5comb-9:svn17020.4-19.fc31.noarch 1082/3004 Installing : texlive-abnt-9:svn49188-19.fc31.noarch 1083/3004 Installing : texlive-abraces-9:svn27880.2-19.fc31.noarch 1084/3004 Installing : texlive-abstract-9:svn15878.1.2a-19.fc31.noarc 1085/3004 Installing : texlive-academicons-9:svn48100-19.fc31.noarch 1086/3004 Installing : texlive-actuarialangle-9:svn51376-19.fc31.noar 1087/3004 Installing : texlive-actuarialsymbol-9:svn51371-19.fc31.noa 1088/3004 Installing : texlive-addfont-9:svn41972-19.fc31.noarch 1089/3004 Installing : texlive-adrconv-9:svn46817-19.fc31.noarch 1090/3004 Installing : texlive-aguplus-9:svn17156.1.6b-19.fc31.noarch 1091/3004 Installing : texlive-aichej-9:svn15878.0-19.fc31.noarch 1092/3004 Installing : texlive-ajl-9:svn34016.0-19.fc31.noarch 1093/3004 Installing : texlive-akletter-9:svn15878.1.5i-19.fc31.noarc 1094/3004 Installing : texlive-algolrevived-9:svn51210-19.fc31.noarch 1095/3004 Installing : texlive-aligned-overset-9:svn47290-19.fc31.noa 1096/3004 Installing : texlive-almendra-9:svn51085-19.fc31.noarch 1097/3004 Installing : texlive-almfixed-9:svn35065.0.92-19.fc31.noarc 1098/3004 Installing : texlive-ametsoc-9:svn36030.4.3.2-19.fc31.noarc 1099/3004 Installing : texlive-amsaddr-9:svn29630.1.1-19.fc31.noarch 1100/3004 Installing : texlive-amscdx-9:svn51532-19.fc31.noarch 1101/3004 Installing : texlive-anonchap-9:svn17049.1.1a-19.fc31.noarc 1102/3004 Installing : texlive-aobs-tikz-9:svn32662.1.0-19.fc31.noarc 1103/3004 Installing : texlive-apalike2-9:svn15878.0-19.fc31.noarch 1104/3004 Installing : texlive-appendixnumberbeamer-9:svn46317-19.fc3 1105/3004 Installing : texlive-apptools-9:svn28400.1.0-19.fc31.noarch 1106/3004 Installing : texlive-arabicfront-9:svn51474-19.fc31.noarch 1107/3004 Installing : texlive-archaeologie-9:svn50908-19.fc31.noarch 1108/3004 Installing : texlive-arimo-9:svn42880-19.fc31.noarch 1109/3004 Installing : texlive-arraycols-9:svn51491-19.fc31.noarch 1110/3004 Installing : texlive-articleingud-9:svn38741-19.fc31.noarch 1111/3004 Installing : texlive-asaetr-9:svn15878.1.0a-19.fc31.noarch 1112/3004 Installing : texlive-asana-math-9:svn50999-19.fc31.noarch 1113/3004 Installing : texlive-asapsym-9:svn40201-19.fc31.noarch 1114/3004 Installing : texlive-asciilist-9:svn49060-19.fc31.noarch 1115/3004 Installing : texlive-asmejour-9:svn51567-19.fc31.noarch 1116/3004 Installing : texlive-astro-9:svn15878.2.20-19.fc31.noarch 1117/3004 Installing : texlive-aucklandthesis-9:svn51323-19.fc31.noar 1118/3004 Installing : texlive-aurl-9:svn41853-19.fc31.noarch 1119/3004 Installing : texlive-authoraftertitle-9:svn24863.0.9-19.fc3 1120/3004 Installing : texlive-authorarchive-9:svn51430-19.fc31.noarc 1121/3004 Installing : texlive-authorindex-7:20190410-8.fc31.noarch 1122/3004 Installing : texlive-autoarea-9:svn15878.0.3a-19.fc31.noarc 1123/3004 Installing : texlive-autobreak-9:svn43337-19.fc31.noarch 1124/3004 Installing : texlive-axodraw2-7:20190410-8.fc31.x86_64 1125/3004 Installing : texlive-b1encoding-9:svn21271.1.0-19.fc31.noar 1126/3004 Installing : texlive-babel-english-9:svn44495-19.fc31.noarc 1127/3004 Installing : texlive-backnaur-9:svn51505-19.fc31.noarch 1128/3004 Installing : texlive-bangorexam-9:svn46626-19.fc31.noarch 1129/3004 Installing : texlive-barcodes-9:svn15878.0-19.fc31.noarch 1130/3004 Installing : texlive-basicarith-9:svn35460.1.1-19.fc31.noar 1131/3004 Installing : texlive-baskervillef-9:svn51121-19.fc31.noarch 1132/3004 Installing : texlive-bath-bst-9:svn51595-19.fc31.noarch 1133/3004 Installing : texlive-bbm-9:svn15878.0-19.fc31.noarch 1134/3004 Installing : texlive-bbold-9:svn17187.1.01-19.fc31.noarch 1135/3004 Installing : texlive-bchart-9:svn43928-19.fc31.noarch 1136/3004 Installing : texlive-beamer-verona-9:svn39180-19.fc31.noarc 1137/3004 Installing : texlive-beamerauxtheme-9:svn51053-19.fc31.noar 1138/3004 Installing : texlive-beamercolorthemeowl-9:svn40105-19.fc31 1139/3004 Installing : texlive-beamerdarkthemes-9:svn35101.0.4.1-19.f 1140/3004 Installing : texlive-beamerswitch-9:svn51341-19.fc31.noarch 1141/3004 Installing : texlive-beamertheme-cuerna-9:svn42161-19.fc31. 1142/3004 Installing : texlive-beamertheme-detlevcm-9:svn39048-19.fc3 1143/3004 Installing : texlive-beamertheme-epyt-9:svn41404-19.fc31.no 1144/3004 Installing : texlive-beamertheme-focus-9:svn51489-19.fc31.n 1145/3004 Installing : texlive-beamertheme-light-9:svn49867-19.fc31.n 1146/3004 Installing : texlive-beamertheme-npbt-9:svn48424-19.fc31.no 1147/3004 Installing : texlive-beamertheme-phnompenh-9:svn39100-19.fc 1148/3004 Installing : texlive-beamertheme-saintpetersburg-9:svn45877 1149/3004 Installing : texlive-beamertheme-upenn-bc-9:svn29937.1.0-19 1150/3004 Installing : texlive-beamerthemejltree-9:svn21977.1.1-19.fc 1151/3004 Installing : texlive-begriff-9:svn15878.1.6-19.fc31.noarch 1152/3004 Installing : texlive-beilstein-9:svn46503-19.fc31.noarch 1153/3004 Installing : texlive-besjournals-9:svn45662-19.fc31.noarch 1154/3004 Installing : texlive-bestpapers-9:svn38708-19.fc31.noarch 1155/3004 Installing : texlive-beuron-9:svn46374-19.fc31.noarch 1156/3004 Installing : texlive-bez123-9:svn15878.1.1b-19.fc31.noarch 1157/3004 Installing : texlive-bibarts-9:svn50226-19.fc31.noarch 1158/3004 Installing : texlive-bibexport-7:20190410-8.fc31.noarch 1159/3004 Installing : texlive-bibhtml-9:svn31607.2.0.2-19.fc31.noarc 1160/3004 Installing : texlive-biblatex-abnt-9:svn49179-19.fc31.noarc 1161/3004 Installing : texlive-biblatex-anonymous-9:svn45855-19.fc31. 1162/3004 Installing : texlive-biblatex-archaeology-9:svn49202-19.fc3 1163/3004 Installing : texlive-biblatex-arthistory-bonn-9:svn46637-19 1164/3004 Installing : texlive-biblatex-bath-9:svn51599-19.fc31.noarc 1165/3004 Installing : texlive-biblatex-bookinarticle-9:svn40323-19.f 1166/3004 Installing : texlive-biblatex-bookinother-9:svn45856-19.fc3 1167/3004 Installing : texlive-biblatex-claves-9:svn43723-19.fc31.noa 1168/3004 Installing : texlive-biblatex-enc-9:svn44627-19.fc31.noarch 1169/3004 Installing : texlive-biblatex-ext-9:svn50759-19.fc31.noarch 1170/3004 Installing : texlive-biblatex-gb7714-2015-9:svn50661-19.fc3 1171/3004 Installing : texlive-biblatex-ijsra-9:svn41634-19.fc31.noar 1172/3004 Installing : texlive-biblatex-iso690-9:svn44066-19.fc31.noa 1173/3004 Installing : texlive-biblatex-lni-9:svn49935-19.fc31.noarch 1174/3004 Installing : texlive-biblatex-morenames-9:svn43049-19.fc31. 1175/3004 Installing : texlive-biblatex-nottsclassic-9:svn41596-19.fc 1176/3004 Installing : texlive-biblatex-oxref-9:svn50061-19.fc31.noar 1177/3004 Installing : texlive-biblatex-sbl-9:svn49426-19.fc31.noarch 1178/3004 Installing : texlive-biblatex-shortfields-9:svn45858-19.fc3 1179/3004 Installing : texlive-biblatex-socialscienceshuberlin-9:svn4 1180/3004 Installing : texlive-biblatex-swiss-legal-9:svn32750.1.1.2a 1181/3004 Installing : texlive-bibletext-9:svn45196-19.fc31.noarch 1182/3004 Installing : texlive-biblist-9:svn17116.0-19.fc31.noarch 1183/3004 Installing : texlive-bibtex-7:20190410-8.fc31.x86_64 1184/3004 Installing : texlive-bibtexperllibs-9:svn47520-19.fc31.noar 1185/3004 Installing : texlive-binarytree-9:svn41777-19.fc31.noarch 1186/3004 Installing : texlive-biochemistry-colors-9:svn43960-19.fc31 1187/3004 Installing : texlive-biolett-bst-9:svn42217-19.fc31.noarch 1188/3004 Installing : texlive-bitpattern-9:svn39073-19.fc31.noarch 1189/3004 Installing : texlive-bitter-9:svn51086-19.fc31.noarch 1190/3004 Installing : texlive-blacklettert1-9:svn15878.0-19.fc31.noa 1191/3004 Installing : texlive-blkarray-9:svn36406.0.07-19.fc31.noarc 1192/3004 Installing : texlive-blochsphere-9:svn38388-19.fc31.noarch 1193/3004 Installing : texlive-block-9:svn17209.0-19.fc31.noarch 1194/3004 Installing : texlive-bloques-9:svn22490.1.0-19.fc31.noarch 1195/3004 Installing : texlive-boisik-9:svn15878.0.5-19.fc31.noarch 1196/3004 Installing : texlive-bold-extra-9:svn17076.0.1-19.fc31.noar 1197/3004 Installing : texlive-boldtensors-9:svn15878.0-19.fc31.noarc 1198/3004 Installing : texlive-bookdb-9:svn37536.0.2-19.fc31.noarch 1199/3004 Installing : texlive-booklet-9:svn15878.0.7b-19.fc31.noarch 1200/3004 Installing : texlive-boolexpr-9:svn17830.3.14-19.fc31.noarc 1201/3004 Installing : texlive-boxedminipage2e-9:svn36477.1.0-19.fc31 1202/3004 Installing : texlive-bracketkey-9:svn17129.1.0-19.fc31.noar 1203/3004 Installing : texlive-braids-9:svn51048-19.fc31.noarch 1204/3004 Installing : texlive-braille-9:svn20655.0-19.fc31.noarch 1205/3004 Installing : texlive-brandeis-problemset-9:svn50991-19.fc31 1206/3004 Installing : texlive-breakcites-9:svn21014-19.fc31.noarch 1207/3004 Installing : texlive-bropd-9:svn35383.1.2-19.fc31.noarch 1208/3004 Installing : texlive-bullcntr-9:svn15878.0.04-19.fc31.noarc 1209/3004 Installing : texlive-bussproofs-extra-9:svn51299-19.fc31.no 1210/3004 Installing : texlive-bussproofs-9:svn27488.1.1-19.fc31.noar 1211/3004 Installing : texlive-bxcalc-9:svn46482-19.fc31.noarch 1212/3004 Installing : texlive-bxdpx-beamer-9:svn41813-19.fc31.noarch 1213/3004 Installing : texlive-bxdvidriver-9:svn43219-19.fc31.noarch 1214/3004 Installing : texlive-bxenclose-9:svn40213-19.fc31.noarch 1215/3004 Installing : texlive-bxnewfont-9:svn44173-19.fc31.noarch 1216/3004 Installing : texlive-bxpapersize-9:svn45501-19.fc31.noarch 1217/3004 Installing : texlive-bxtexlogo-9:svn47230-19.fc31.noarch 1218/3004 Installing : texlive-calligra-9:svn15878.0-19.fc31.noarch 1219/3004 Installing : texlive-callouts-9:svn44899-19.fc31.noarch 1220/3004 Installing : texlive-calrsfs-9:svn17125.0-19.fc31.noarch 1221/3004 Installing : texlive-cals-9:svn43003-19.fc31.noarch 1222/3004 Installing : texlive-calxxxx-yyyy-9:svn49554-19.fc31.noarch 1223/3004 Installing : texlive-canoniclayout-9:svn24523.0.4-19.fc31.n 1224/3004 Installing : texlive-capt-of-9:svn29803.0-19.fc31.noarch 1225/3004 Installing : texlive-captcont-9:svn15878.2.0-19.fc31.noarch 1226/3004 Installing : texlive-captdef-9:svn17353.0-19.fc31.noarch 1227/3004 Installing : texlive-carbohydrates-9:svn39000-19.fc31.noarc 1228/3004 Installing : texlive-cascade-9:svn48200-19.fc31.noarch 1229/3004 Installing : texlive-casyl-9:svn15878.2.0-19.fc31.noarch 1230/3004 Installing : texlive-catcodes-9:svn38859-19.fc31.noarch 1231/3004 Installing : texlive-nicetext-9:svn38914-19.fc31.noarch 1232/3004 Installing : texlive-cbfonts-fd-9:svn44917-19.fc31.noarch 1233/3004 Installing : texlive-textgreek-9:svn44192-19.fc31.noarch 1234/3004 Installing : texlive-ccfonts-9:svn17122.1.1-19.fc31.noarch 1235/3004 Installing : texlive-celtic-9:svn39797-19.fc31.noarch 1236/3004 Installing : texlive-censor-9:svn49168-19.fc31.noarch 1237/3004 Installing : texlive-centeredline-9:svn50971-19.fc31.noarch 1238/3004 Installing : texlive-cesenaexam-9:svn44960-19.fc31.noarch 1239/3004 Installing : texlive-changelog-9:svn51574-19.fc31.noarch 1240/3004 Installing : texlive-chappg-9:svn15878.2.1b-19.fc31.noarch 1241/3004 Installing : texlive-charter-9:svn15878.0-19.fc31.noarch 1242/3004 Installing : texlive-chbibref-9:svn17120.1.0-19.fc31.noarch 1243/3004 Installing : texlive-cheatsheet-9:svn45069-19.fc31.noarch 1244/3004 Installing : texlive-checkend-9:svn51475-19.fc31.noarch 1245/3004 Installing : texlive-chem-journal-9:svn15878.0-19.fc31.noar 1246/3004 Installing : texlive-chembst-9:svn15878.0.2.5-19.fc31.noarc 1247/3004 Installing : texlive-chemsec-9:svn46972-19.fc31.noarch 1248/3004 Installing : texlive-cherokee-9:svn21046.0-19.fc31.noarch 1249/3004 Installing : texlive-chicago-annote-9:svn15878.0-19.fc31.no 1250/3004 Installing : texlive-chicago-9:svn15878.0-19.fc31.noarch 1251/3004 Installing : texlive-childdoc-9:svn49543-19.fc31.noarch 1252/3004 Installing : texlive-chivo-9:svn51689-19.fc31.noarch 1253/3004 Installing : texlive-chletter-9:svn20060.2.0-19.fc31.noarch 1254/3004 Installing : texlive-chs-physics-report-9:svn48549-19.fc31. 1255/3004 Installing : texlive-circ-9:svn15878.1.1-19.fc31.noarch 1256/3004 Installing : texlive-citeref-9:svn47407-19.fc31.noarch 1257/3004 Installing : texlive-cje-9:svn46721-19.fc31.noarch 1258/3004 Installing : texlive-classpack-9:svn33101.0.77-19.fc31.noar 1259/3004 Installing : texlive-clefval-9:svn16549.0-19.fc31.noarch 1260/3004 Installing : texlive-clipboard-9:svn47747-19.fc31.noarch 1261/3004 Installing : texlive-clock-9:svn15878.0-19.fc31.noarch 1262/3004 Installing : texlive-clrdblpg-9:svn47511-19.fc31.noarch 1263/3004 Installing : texlive-clrscode-9:svn51136-19.fc31.noarch 1264/3004 Installing : texlive-clrstrip-9:svn51307-19.fc31.noarch 1265/3004 Installing : texlive-cm-mf-extra-bold-9:svn45796-19.fc31.no 1266/3004 Installing : texlive-cm-unicode-9:svn19445.0.7.0-19.fc31.no 1267/3004 Installing : texlive-cmdstring-9:svn15878.1.1-19.fc31.noarc 1268/3004 Installing : texlive-cmdtrack-9:svn28910-19.fc31.noarch 1269/3004 Installing : texlive-cmexb-9:svn45677-19.fc31.noarch 1270/3004 Installing : texlive-cmextra-9:svn42428-19.fc31.noarch 1271/3004 Installing : texlive-cmpica-9:svn15878.0-19.fc31.noarch 1272/3004 Installing : texlive-cmsd-9:svn18787.0-19.fc31.noarch 1273/3004 Installing : texlive-cmsrb-9:svn50531-19.fc31.noarch 1274/3004 Installing : texlive-cns-9:svn45677-19.fc31.noarch 1275/3004 Installing : texlive-cochineal-9:svn51460-19.fc31.noarch 1276/3004 Installing : texlive-codeanatomy-9:svn51627-19.fc31.noarch 1277/3004 Installing : texlive-codepage-9:svn51502-19.fc31.noarch 1278/3004 Installing : texlive-coelacanth-9:svn45270-19.fc31.noarch 1279/3004 Installing : texlive-collref-9:svn46358-19.fc31.noarch 1280/3004 Installing : texlive-colophon-9:svn47913-19.fc31.noarch 1281/3004 Installing : texlive-colorinfo-9:svn15878.0.3c-19.fc31.noar 1282/3004 Installing : texlive-coloring-9:svn41042-19.fc31.noarch 1283/3004 Installing : texlive-colorprofiles-9:svn49086-19.fc31.noarc 1284/3004 Installing : texlive-combelow-9:svn18462.0.99f-19.fc31.noar 1285/3004 Installing : texlive-comma-9:svn18259.1.2-19.fc31.noarch 1286/3004 Installing : texlive-commado-9:svn38875-19.fc31.noarch 1287/3004 Installing : texlive-commedit-9:svn50116-19.fc31.noarch 1288/3004 Installing : texlive-compactbib-9:svn15878.0-19.fc31.noarch 1289/3004 Installing : texlive-competences-9:svn47573-19.fc31.noarch 1290/3004 Installing : texlive-concmath-fonts-9:svn17218.0-19.fc31.no 1291/3004 Installing : texlive-concprog-9:svn18791.0-19.fc31.noarch 1292/3004 Installing : texlive-concrete-9:svn15878.0-19.fc31.noarch 1293/3004 Installing : texlive-continue-9:svn49449-19.fc31.noarch 1294/3004 Installing : texlive-conv-xkv-9:svn43558-19.fc31.noarch 1295/3004 Installing : texlive-cooking-9:svn15878.0.9b-19.fc31.noarch 1296/3004 Installing : texlive-cooking-units-9:svn47943-19.fc31.noarc 1297/3004 Installing : texlive-coordsys-9:svn15878.1.4-19.fc31.noarch 1298/3004 Installing : texlive-cormorantgaramond-9:svn51443-19.fc31.n 1299/3004 Installing : texlive-correctmathalign-9:svn44131-19.fc31.no 1300/3004 Installing : texlive-courseoutline-9:svn15878.1.0-19.fc31.n 1301/3004 Installing : texlive-coursepaper-9:svn15878.2.0-19.fc31.noa 1302/3004 Installing : texlive-cquthesis-9:svn46863-19.fc31.noarch 1303/3004 Installing : texlive-crimson-9:svn43525-19.fc31.noarch 1304/3004 Installing : texlive-crimsonpro-9:svn49568-19.fc31.noarch 1305/3004 Installing : texlive-crossreference-9:svn15878.0-19.fc31.no 1306/3004 Installing : texlive-crossreftools-9:svn49589-19.fc31.noarc 1307/3004 Installing : texlive-cryst-9:svn15878.0-19.fc31.noarch 1308/3004 Installing : texlive-css-colors-9:svn43961-19.fc31.noarch 1309/3004 Installing : texlive-cuprum-9:svn49909-19.fc31.noarch 1310/3004 Installing : texlive-currency-9:svn44489-19.fc31.noarch 1311/3004 Installing : texlive-custom-bib-9:svn24729.4.33-19.fc31.noa 1312/3004 Installing : texlive-cutwin-9:svn29803.0.1-19.fc31.noarch 1313/3004 Installing : texlive-cv-9:svn15878.0-19.fc31.noarch 1314/3004 Installing : texlive-cybercic-9:svn37659.2.1-19.fc31.noarch 1315/3004 Installing : texlive-dancers-9:svn13293.0-19.fc31.noarch 1316/3004 Installing : texlive-datetime2-bahasai-9:svn46287-19.fc31.n 1317/3004 Installing : texlive-datetime2-basque-9:svn47064-19.fc31.no 1318/3004 Installing : texlive-datetime2-dutch-9:svn47355-19.fc31.noa 1319/3004 Installing : texlive-datetime2-english-9:svn39991-19.fc31.n 1320/3004 Installing : texlive-datetime2-hebrew-9:svn47534-19.fc31.no 1321/3004 Installing : texlive-datetime2-latin-9:svn47748-19.fc31.noa 1322/3004 Installing : texlive-dccpaper-9:svn50294-19.fc31.noarch 1323/3004 Installing : texlive-dcpic-9:svn30206.5.0.0-19.fc31.noarch 1324/3004 Installing : texlive-decimal-9:svn23374.0-19.fc31.noarch 1325/3004 Installing : texlive-dehyph-9:svn48599-19.fc31.noarch 1326/3004 Installing : texlive-latex-bin-dev-9:svn51839-19.fc31.noarc 1327/3004 Installing : texlive-dejavu-otf-9:svn45991-19.fc31.noarch 1328/3004 Installing : texlive-delim-9:svn23974.1.0-19.fc31.noarch 1329/3004 Installing : texlive-delimseasy-9:svn39589-19.fc31.noarch 1330/3004 Installing : texlive-delimset-9:svn49544-19.fc31.noarch 1331/3004 Installing : texlive-delimtxt-9:svn16549.0-19.fc31.noarch 1332/3004 Installing : texlive-derivative-9:svn51696-19.fc31.noarch 1333/3004 Installing : texlive-diagnose-9:svn19387.0.2-19.fc31.noarch 1334/3004 Installing : texlive-dialogl-9:svn28946.0-19.fc31.noarch 1335/3004 Installing : texlive-dice-9:svn28501.0-19.fc31.noarch 1336/3004 Installing : texlive-dichokey-9:svn17192.0-19.fc31.noarch 1337/3004 Installing : texlive-din1505-9:svn19441.0-19.fc31.noarch 1338/3004 Installing : texlive-dinbrief-9:svn15878.0-19.fc31.noarch 1339/3004 Installing : texlive-dingbat-9:svn27918.1.0-19.fc31.noarch 1340/3004 Installing : texlive-dirtree-9:svn42428-19.fc31.noarch 1341/3004 Installing : texlive-docmfp-9:svn15878.1.2d-19.fc31.noarch 1342/3004 Installing : texlive-docmute-9:svn25741.1.4-19.fc31.noarch 1343/3004 Installing : texlive-documentation-9:svn34521.0.1-19.fc31.n 1344/3004 Installing : texlive-dotlessi-9:svn51476-19.fc31.noarch 1345/3004 Installing : texlive-dotseqn-9:svn17195.1.1-19.fc31.noarch 1346/3004 Installing : texlive-dpfloat-9:svn17196.0-19.fc31.noarch 1347/3004 Installing : texlive-dprogress-9:svn15878.0.1-19.fc31.noarc 1348/3004 Installing : texlive-draftfigure-9:svn44854-19.fc31.noarch 1349/3004 Installing : texlive-dratex-9:svn15878.0-19.fc31.noarch 1350/3004 Installing : texlive-drawmatrix-9:svn44471-19.fc31.noarch 1351/3004 Installing : texlive-drs-9:svn19232.1.1b-19.fc31.noarch 1352/3004 Installing : texlive-dsserif-9:svn47570-19.fc31.noarch 1353/3004 Installing : texlive-dtxdescribe-9:svn51652-19.fc31.noarch 1354/3004 Installing : texlive-ducksay-9:svn51364-19.fc31.noarch 1355/3004 Installing : texlive-duckuments-9:svn51308-19.fc31.noarch 1356/3004 Installing : texlive-duerer-latex-9:svn15878.1.1-19.fc31.no 1357/3004 Installing : texlive-duerer-9:svn20741.0-19.fc31.noarch 1358/3004 Installing : texlive-duotenzor-9:svn18728.1.00-19.fc31.noar 1359/3004 Installing : texlive-dynamicnumber-9:svn38726-19.fc31.noarc 1360/3004 Installing : texlive-dynkin-diagrams-9:svn49808-19.fc31.noa 1361/3004 Installing : texlive-easy-9:svn19440.0.99-19.fc31.noarch 1362/3004 Installing : texlive-easyformat-9:svn44543-19.fc31.noarch 1363/3004 Installing : texlive-ebook-9:svn29466.0-19.fc31.noarch 1364/3004 Installing : texlive-ec-9:svn25033.1.0-19.fc31.noarch 1365/3004 Installing : texlive-ecc-9:svn15878.0-19.fc31.noarch 1366/3004 Installing : texlive-ecgdraw-9:svn41617-19.fc31.noarch 1367/3004 Installing : texlive-ecobiblatex-9:svn39233-19.fc31.noarch 1368/3004 Installing : texlive-econometrics-9:svn39396-19.fc31.noarch 1369/3004 Installing : texlive-ecothesis-9:svn48007-19.fc31.noarch 1370/3004 Installing : texlive-edmargin-9:svn27599.1.2-19.fc31.noarch 1371/3004 Installing : texlive-ehhline-9:svn51122-19.fc31.noarch 1372/3004 Installing : texlive-eiad-ltx-9:svn15878.1.0-19.fc31.noarch 1373/3004 Installing : texlive-eiad-9:svn15878.0-19.fc31.noarch 1374/3004 Installing : texlive-elegantbook-9:svn51246-19.fc31.noarch 1375/3004 Installing : texlive-elegantnote-9:svn49926-19.fc31.noarch 1376/3004 Installing : texlive-elegantpaper-9:svn50679-19.fc31.noarch 1377/3004 Installing : texlive-ellipse-9:svn39025-19.fc31.noarch 1378/3004 Installing : texlive-elmath-9:svn15878.v1.2-19.fc31.noarch 1379/3004 Installing : texlive-els-cas-templates-9:svn50820-19.fc31.n 1380/3004 Installing : texlive-eltex-9:svn15878.2.0-19.fc31.noarch 1381/3004 Installing : texlive-elvish-9:svn15878.0-19.fc31.noarch 1382/3004 Installing : texlive-emf-9:svn42023-19.fc31.noarch 1383/3004 Installing : texlive-emisa-9:svn46734-19.fc31.noarch 1384/3004 Installing : texlive-enctex-9:svn34957.0-19.fc31.noarch 1385/3004 Installing : texlive-endheads-9:svn43750-19.fc31.noarch 1386/3004 Installing : texlive-endofproofwd-9:svn45116-19.fc31.noarch 1387/3004 Installing : texlive-engtlc-9:svn28571.3.2-19.fc31.noarch 1388/3004 Installing : texlive-envbig-9:svn15878.0-19.fc31.noarch 1389/3004 Installing : texlive-epsf-9:svn21461.2.7.4-19.fc31.noarch 1390/3004 Installing : texlive-eqexpl-9:svn51524-19.fc31.noarch 1391/3004 Installing : texlive-eqnalign-9:svn43278-19.fc31.noarch 1392/3004 Installing : texlive-eqname-9:svn20678.0-19.fc31.noarch 1393/3004 Installing : texlive-eqnnumwarn-9:svn45511-19.fc31.noarch 1394/3004 Installing : texlive-esdiff-9:svn21385.1.2-19.fc31.noarch 1395/3004 Installing : texlive-etsvthor-9:svn48186-19.fc31.noarch 1396/3004 Installing : texlive-euenc-9:svn19795.0.1h-19.fc31.noarch 1397/3004 Installing : texlive-euflag-9:svn49970-19.fc31.noarch 1398/3004 Installing : texlive-eukdate-9:svn15878.1.04-19.fc31.noarch 1399/3004 Installing : texlive-eulerpx-9:svn43735-19.fc31.noarch 1400/3004 Installing : texlive-euro-ce-9:svn25714-19.fc31.noarch 1401/3004 Installing : texlive-euxm-9:svn45696-19.fc31.noarch 1402/3004 Installing : texlive-exam-randomizechoices-9:svn49662-19.fc 1403/3004 Installing : texlive-example-9:svn33398.0-19.fc31.noarch 1404/3004 Installing : texlive-examplep-9:svn16916.0.04-19.fc31.noarc 1405/3004 Installing : texlive-excludeonly-9:svn17262.1.0-19.fc31.noa 1406/3004 Installing : texlive-exercisebank-9:svn50448-19.fc31.noarch 1407/3004 Installing : texlive-exercisepoints-9:svn49590-19.fc31.noar 1408/3004 Installing : texlive-exercises-9:svn42428-19.fc31.noarch 1409/3004 Installing : texlive-exframe-9:svn51388-19.fc31.noarch 1410/3004 Installing : texlive-exp-testopt-9:svn15878.0.3-19.fc31.noa 1411/3004 Installing : texlive-expdlist-9:svn15878.2.4-19.fc31.noarch 1412/3004 Installing : texlive-export-9:svn27206.1.8-19.fc31.noarch 1413/3004 Installing : texlive-facture-belge-simple-sans-tva-9:svn490 1414/3004 Installing : texlive-faktor-9:svn15878.0.1b-19.fc31.noarch 1415/3004 Installing : texlive-fancyhandout-9:svn46411-19.fc31.noarch 1416/3004 Installing : texlive-fancynum-9:svn15878.0.92-19.fc31.noarc 1417/3004 Installing : texlive-fascicules-9:svn49457-19.fc31.noarch 1418/3004 Installing : texlive-fbox-9:svn50305-19.fc31.noarch 1419/3004 Installing : texlive-fbs-9:svn15878.0-19.fc31.noarch 1420/3004 Installing : texlive-fetchcls-9:svn45245-19.fc31.noarch 1421/3004 Installing : texlive-feyn-9:svn45679-19.fc31.noarch 1422/3004 Installing : texlive-ffslides-9:svn38895-19.fc31.noarch 1423/3004 Installing : texlive-fgruler-9:svn42966-19.fc31.noarch 1424/3004 Installing : texlive-fibeamer-9:svn44239-19.fc31.noarch 1425/3004 Installing : texlive-fig4latex-7:20190410-8.fc31.noarch 1426/3004 Installing : texlive-filecontentsdef-9:svn50942-19.fc31.noa 1427/3004 Installing : texlive-filedate-9:svn29529.0-19.fc31.noarch 1428/3004 Installing : texlive-firamath-otf-9:svn50732-19.fc31.noarch 1429/3004 Installing : texlive-firamath-9:svn51333-19.fc31.noarch 1430/3004 Installing : texlive-fix2col-9:svn38770-19.fc31.noarch 1431/3004 Installing : texlive-fixcmex-9:svn38816-19.fc31.noarch 1432/3004 Installing : texlive-fjodor-9:svn20220.0-19.fc31.noarch 1433/3004 Installing : texlive-floatflt-9:svn25540.1.31-19.fc31.noarc 1434/3004 Installing : texlive-fn2end-9:svn15878.1.1-19.fc31.noarch 1435/3004 Installing : texlive-fncylab-9:svn17382.1.0-19.fc31.noarch 1436/3004 Installing : texlive-fnpara-9:svn25607.0-19.fc31.noarch 1437/3004 Installing : texlive-fnspe-9:svn45360-19.fc31.noarch 1438/3004 Installing : texlive-foilhtml-9:svn21855.1.2-19.fc31.noarch 1439/3004 Installing : texlive-fontawesome5-9:svn51339-19.fc31.noarch 1440/3004 Installing : texlive-fontmfizz-9:svn43546-19.fc31.noarch 1441/3004 Installing : texlive-fonts-churchslavonic-9:svn43121-19.fc3 1442/3004 Installing : texlive-fonttable-9:svn44799-19.fc31.noarch 1443/3004 Installing : texlive-footbib-9:svn17115.2.0.7-19.fc31.noarc 1444/3004 Installing : texlive-footmisx-9:svn42621-19.fc31.noarch 1445/3004 Installing : texlive-formlett-9:svn21480.2.3-19.fc31.noarch 1446/3004 Installing : texlive-forms16be-9:svn51305-19.fc31.noarch 1447/3004 Installing : texlive-forum-9:svn51403-19.fc31.noarch 1448/3004 Installing : texlive-fouridx-9:svn32214.2.00-19.fc31.noarch 1449/3004 Installing : texlive-fpl-9:svn49603-19.fc31.noarch 1450/3004 Installing : texlive-fragments-9:svn15878.0-19.fc31.noarch 1451/3004 Installing : texlive-frame-9:svn18312.1.0-19.fc31.noarch 1452/3004 Installing : texlive-francais-bst-9:svn38922-19.fc31.noarch 1453/3004 Installing : texlive-frankenstein-9:svn15878.0-19.fc31.noar 1454/3004 Installing : texlive-frederika2016-9:svn42157-19.fc31.noarc 1455/3004 Installing : texlive-ftc-notebook-9:svn50043-19.fc31.noarch 1456/3004 Installing : texlive-ftcap-9:svn17275.1.4-19.fc31.noarch 1457/3004 Installing : texlive-ftnxtra-9:svn29652.0.1-19.fc31.noarch 1458/3004 Installing : texlive-fullblck-9:svn25434.1.03-19.fc31.noarc 1459/3004 Installing : texlive-fundus-sueterlin-9:svn26030.1.2-19.fc3 1460/3004 Installing : texlive-fwlw-9:svn29803.0-19.fc31.noarch 1461/3004 Installing : texlive-gammas-9:svn50012-19.fc31.noarch 1462/3004 Installing : texlive-garamond-libre-9:svn51703-19.fc31.noar 1463/3004 Installing : texlive-garamond-math-9:svn49933-19.fc31.noarc 1464/3004 Installing : texlive-gbt7714-9:svn50504-19.fc31.noarch 1465/3004 Installing : texlive-gene-logic-9:svn15878.1.4-19.fc31.noar 1466/3004 Installing : texlive-genealogy-9:svn25112.0-19.fc31.noarch 1467/3004 Installing : texlive-getitems-9:svn39365-19.fc31.noarch 1468/3004 Installing : texlive-gfsneohellenicmath-9:svn46869-19.fc31. 1469/3004 Installing : texlive-gillcm-9:svn19878.1.1-19.fc31.noarch 1470/3004 Installing : texlive-gitfile-info-9:svn50885-19.fc31.noarch 1471/3004 Installing : texlive-gitlog-9:svn38932-19.fc31.noarch 1472/3004 Installing : texlive-gitver-9:svn49980-19.fc31.noarch 1473/3004 Installing : texlive-globalvals-9:svn49962-19.fc31.noarch 1474/3004 Installing : texlive-glosmathtools-9:svn51809-19.fc31.noarc 1475/3004 Installing : texlive-glossaries-danish-9:svn35665.1.0-19.fc 1476/3004 Installing : texlive-glossaries-dutch-9:svn35685.1.1-19.fc3 1477/3004 Installing : texlive-glossaries-english-9:svn35665.1.0-19.f 1478/3004 Installing : texlive-glossaries-estonian-9:svn49928-19.fc31 1479/3004 Installing : texlive-glossaries-extra-9:svn51006-19.fc31.no 1480/3004 Installing : texlive-glossaries-finnish-9:svn45604-19.fc31. 1481/3004 Installing : texlive-glossaries-french-9:svn42873-19.fc31.n 1482/3004 Installing : texlive-glossaries-german-9:svn35665.1.0-19.fc 1483/3004 Installing : texlive-glossaries-irish-9:svn35665.1.0-19.fc3 1484/3004 Installing : texlive-glossaries-italian-9:svn35665.1.0-19.f 1485/3004 Installing : texlive-glossaries-magyar-9:svn35665.1.0-19.fc 1486/3004 Installing : texlive-glossaries-polish-9:svn35665.1.0-19.fc 1487/3004 Installing : texlive-glossaries-portuges-9:svn36064.1.1-19. 1488/3004 Installing : texlive-glossaries-serbian-9:svn35665.1.0-19.f 1489/3004 Installing : texlive-glossaries-slovene-9:svn51211-19.fc31. 1490/3004 Installing : texlive-glossaries-spanish-9:svn35665.1.0-19.f 1491/3004 Installing : texlive-gnu-freefont-9:svn29349.0-19.fc31.noar 1492/3004 Installing : texlive-gofonts-9:svn51314-19.fc31.noarch 1493/3004 Installing : texlive-gothic-9:svn49869-19.fc31.noarch 1494/3004 Installing : texlive-gotoh-9:svn44764-19.fc31.noarch 1495/3004 Installing : texlive-grabbox-9:svn51052-19.fc31.noarch 1496/3004 Installing : texlive-gradstudentresume-9:svn38832-19.fc31.n 1497/3004 Installing : texlive-grant-9:svn41905-19.fc31.noarch 1498/3004 Installing : texlive-graph35-9:svn47522-19.fc31.noarch 1499/3004 Installing : texlive-graphicxbox-9:svn32630.1.0-19.fc31.noa 1500/3004 Installing : texlive-grayhints-9:svn49052-19.fc31.noarch 1501/3004 Installing : texlive-greenpoint-9:svn15878.0-19.fc31.noarch 1502/3004 Installing : texlive-gridset-9:svn15878.0.1-19.fc31.noarch 1503/3004 Installing : texlive-gridslides-9:svn45933-19.fc31.noarch 1504/3004 Installing : texlive-gsftopk-7:20190410-8.fc31.x86_64 1505/3004 Installing : texlive-gtrlib-largetrees-9:svn49062-19.fc31.n 1506/3004 Installing : texlive-h2020proposal-9:svn38428-19.fc31.noarc 1507/3004 Installing : texlive-hackthefootline-9:svn46494-19.fc31.noa 1508/3004 Installing : texlive-hagenberg-thesis-9:svn51150-19.fc31.no 1509/3004 Installing : texlive-halloweenmath-9:svn44043-19.fc31.noarc 1510/3004 Installing : texlive-handin-9:svn48255-19.fc31.noarch 1511/3004 Installing : texlive-hands-9:svn13293.0-19.fc31.noarch 1512/3004 Installing : texlive-hang-9:svn43280-19.fc31.noarch 1513/3004 Installing : texlive-hanging-9:svn15878.1.2b-19.fc31.noarch 1514/3004 Installing : texlive-harnon-cv-9:svn26543.1.0-19.fc31.noarc 1515/3004 Installing : texlive-harvmac-9:svn15878.0-19.fc31.noarch 1516/3004 Installing : texlive-hecthese-9:svn50590-19.fc31.noarch 1517/3004 Installing : texlive-histogr-9:svn15878.1.01-19.fc31.noarch 1518/3004 Installing : texlive-historische-zeitschrift-9:svn42635-19. 1519/3004 Installing : texlive-hitec-9:svn15878.0.0_beta_-19.fc31.noa 1520/3004 Installing : texlive-hithesis-9:svn50062-19.fc31.noarch 1521/3004 Installing : texlive-hu-berlin-bundle-9:svn51477-19.fc31.no 1522/3004 Installing : texlive-hustthesis-9:svn42547-19.fc31.noarch 1523/3004 Installing : texlive-hyperbar-9:svn48147-19.fc31.noarch 1524/3004 Installing : texlive-hyphenex-9:svn37354.0-19.fc31.noarch 1525/3004 Installing : texlive-icite-9:svn50429-19.fc31.noarch 1526/3004 Installing : texlive-identkey-9:svn49018-19.fc31.noarch 1527/3004 Installing : texlive-iffont-9:svn38823-19.fc31.noarch 1528/3004 Installing : texlive-iitem-9:svn29613.1.0-19.fc31.noarch 1529/3004 Installing : texlive-ijqc-9:svn15878.1.2-19.fc31.noarch 1530/3004 Installing : texlive-ijsra-9:svn44886-19.fc31.noarch 1531/3004 Installing : texlive-imac-9:svn17347.0-19.fc31.noarch 1532/3004 Installing : texlive-imfellenglish-9:svn38547-19.fc31.noarc 1533/3004 Installing : texlive-inkpaper-9:svn51447-19.fc31.noarch 1534/3004 Installing : texlive-inline-images-9:svn48415-19.fc31.noarc 1535/3004 Installing : texlive-inlinebib-9:svn22018.0-19.fc31.noarch 1536/3004 Installing : texlive-inlinedef-9:svn15878.1.0-19.fc31.noarc 1537/3004 Installing : texlive-inputtrc-9:svn28019.0.3-19.fc31.noarch 1538/3004 Installing : texlive-inriafonts-9:svn49826-19.fc31.noarch 1539/3004 Installing : texlive-intopdf-9:svn51247-19.fc31.noarch 1540/3004 Installing : texlive-inversepath-9:svn15878.0.2-19.fc31.noa 1541/3004 Installing : texlive-invoice-class-9:svn49749-19.fc31.noarc 1542/3004 Installing : texlive-invoice2-9:svn46364-19.fc31.noarch 1543/3004 Installing : texlive-iodhbwm-9:svn51175-19.fc31.noarch 1544/3004 Installing : texlive-iopart-num-9:svn15878.2.1-19.fc31.noar 1545/3004 Installing : texlive-iscram-9:svn45801-19.fc31.noarch 1546/3004 Installing : texlive-iso10303-9:svn15878.1.5-19.fc31.noarch 1547/3004 Installing : texlive-isonums-9:svn17362.1.0-19.fc31.noarch 1548/3004 Installing : texlive-isopt-9:svn45509-19.fc31.noarch 1549/3004 Installing : texlive-isotope-9:svn23711.v0.3-19.fc31.noarch 1550/3004 Installing : texlive-istgame-9:svn49848-19.fc31.noarch 1551/3004 Installing : texlive-itnumpar-9:svn15878.1.0-19.fc31.noarch 1552/3004 Installing : texlive-iwhdp-9:svn37552.0.50-19.fc31.noarch 1553/3004 Installing : texlive-jablantile-9:svn16364.0-19.fc31.noarch 1554/3004 Installing : texlive-jacow-9:svn50870-19.fc31.noarch 1555/3004 Installing : texlive-jkmath-9:svn47109-19.fc31.noarch 1556/3004 Installing : texlive-jneurosci-9:svn17346.1.00-19.fc31.noar 1557/3004 Installing : texlive-jnuexam-9:svn49212-19.fc31.noarch 1558/3004 Installing : texlive-junicode-9:svn28286.0.7.7-19.fc31.noar 1559/3004 Installing : texlive-jvlisting-9:svn24638.0.7-19.fc31.noarc 1560/3004 Installing : texlive-kalendarium-9:svn48744-19.fc31.noarch 1561/3004 Installing : texlive-karnaugh-9:svn21338.0-19.fc31.noarch 1562/3004 Installing : texlive-keyfloat-9:svn50534-19.fc31.noarch 1563/3004 Installing : texlive-keyvaltable-9:svn51288-19.fc31.noarch 1564/3004 Installing : texlive-kix-9:svn21606.0-19.fc31.noarch 1565/3004 Installing : texlive-kixfont-9:svn18488.0-19.fc31.noarch 1566/3004 Installing : texlive-knowledge-9:svn50031-19.fc31.noarch 1567/3004 Installing : texlive-knuth-local-9:svn38627-19.fc31.noarch 1568/3004 Installing : texlive-koma-script-sfs-9:svn26137.1.0-19.fc31 1569/3004 Installing : texlive-komacv-rg-9:svn49064-19.fc31.noarch 1570/3004 Installing : texlive-ksfh_nat-9:svn24825.1.1-19.fc31.noarch 1571/3004 Installing : texlive-ksp-thesis-9:svn39080-19.fc31.noarch 1572/3004 Installing : texlive-ku-template-9:svn45935-19.fc31.noarch 1573/3004 Installing : texlive-kvmap-9:svn48708-19.fc31.noarch 1574/3004 Installing : texlive-l3backend-9:svn52343-19.fc31.noarch 1575/3004 Installing : texlive-labels4easylist-9:svn51124-19.fc31.noa 1576/3004 Installing : texlive-labelschanged-9:svn46040-19.fc31.noarc 1577/3004 Installing : texlive-ladder-9:svn44394-19.fc31.noarch 1578/3004 Installing : texlive-lambda-lists-9:svn31402.0-19.fc31.noar 1579/3004 Installing : texlive-langsci-9:svn50706-19.fc31.noarch 1580/3004 Installing : texlive-lastpackage-9:svn34481.0.1-19.fc31.noa 1581/3004 Installing : texlive-latex-uni8-9:svn49729-19.fc31.noarch 1582/3004 Installing : texlive-latexbug-9:svn49573-19.fc31.noarch 1583/3004 Installing : texlive-latexcolors-9:svn49888-19.fc31.noarch 1584/3004 Installing : texlive-latexgit-9:svn41920-19.fc31.noarch 1585/3004 Installing : texlive-lccaps-9:svn46432-19.fc31.noarch 1586/3004 Installing : texlive-lcd-9:svn16549.0.3-19.fc31.noarch 1587/3004 Installing : texlive-lectures-9:svn49863-19.fc31.noarch 1588/3004 Installing : texlive-leftidx-9:svn15878.0-19.fc31.noarch 1589/3004 Installing : texlive-leipzig-9:svn51357-19.fc31.noarch 1590/3004 Installing : texlive-lewis-9:svn15878.0.1-19.fc31.noarch 1591/3004 Installing : texlive-lfb-9:svn15878.1.0-19.fc31.noarch 1592/3004 Installing : texlive-libertinegc-9:svn44616-19.fc31.noarch 1593/3004 Installing : texlive-libertinus-fonts-9:svn51614-19.fc31.no 1594/3004 Installing : texlive-libertinus-otf-9:svn51277-19.fc31.noar 1595/3004 Installing : texlive-libertinus-9:svn47488-19.fc31.noarch 1596/3004 Installing : texlive-libertinus-type1-9:svn51261-19.fc31.no 1597/3004 Installing : texlive-libertinust1math-9:svn48077-19.fc31.no 1598/3004 Installing : texlive-librebodoni-9:svn39375-19.fc31.noarch 1599/3004 Installing : texlive-librefranklin-9:svn51100-19.fc31.noarc 1600/3004 Installing : texlive-limecv-9:svn45906-19.fc31.noarch 1601/3004 Installing : texlive-linguisticspro-9:svn51457-19.fc31.noar 1602/3004 Installing : texlive-linop-9:svn41304-19.fc31.noarch 1603/3004 Installing : texlive-lion-msc-9:svn51143-19.fc31.noarch 1604/3004 Installing : texlive-lisp-on-tex-9:svn38722-19.fc31.noarch 1605/3004 Installing : texlive-listbib-7:20190410-8.fc31.noarch 1606/3004 Installing : texlive-listing-9:svn17373.1.2-19.fc31.noarch 1607/3004 Installing : texlive-lkproof-9:svn20021.3.1-19.fc31.noarch 1608/3004 Installing : texlive-llncsconf-9:svn46707-19.fc31.noarch 1609/3004 Installing : texlive-lm-math-9:svn36915.1.959-19.fc31.noarc 1610/3004 Installing : texlive-lmake-9:svn25552.1.0-19.fc31.noarch 1611/3004 Installing : texlive-lni-9:svn50754-19.fc31.noarch 1612/3004 Installing : texlive-localloc-9:svn21934.0-19.fc31.noarch 1613/3004 Installing : texlive-logbox-9:svn24499.1.0-19.fc31.noarch 1614/3004 Installing : texlive-logical-markup-utils-9:svn15878.0-19.f 1615/3004 Installing : texlive-longfbox-9:svn39028-19.fc31.noarch 1616/3004 Installing : texlive-lpform-9:svn36918.0-19.fc31.noarch 1617/3004 Installing : texlive-lplfitch-9:svn31077.0.9-19.fc31.noarch 1618/3004 Installing : texlive-lroundrect-9:svn39804-19.fc31.noarch 1619/3004 Installing : texlive-lstbayes-9:svn48160-19.fc31.noarch 1620/3004 Installing : texlive-lstfiracode-9:svn49503-19.fc31.noarch 1621/3004 Installing : texlive-ltb2bib-9:svn43746-19.fc31.noarch 1622/3004 Installing : texlive-ltxguidex-9:svn50992-19.fc31.noarch 1623/3004 Installing : texlive-luabidi-9:svn30790.0.2-19.fc31.noarch 1624/3004 Installing : texlive-lualibs-9:svn51642-19.fc31.noarch 1625/3004 Installing : texlive-luamesh-9:svn43814-19.fc31.noarch 1626/3004 Installing : texlive-magaz-9:svn24694.0.4-19.fc31.noarch 1627/3004 Installing : texlive-makebase-9:svn41012-19.fc31.noarch 1628/3004 Installing : texlive-makebox-9:svn15878.0.1-19.fc31.noarch 1629/3004 Installing : texlive-makecirc-9:svn15878.0-19.fc31.noarch 1630/3004 Installing : texlive-makecookbook-9:svn49311-19.fc31.noarch 1631/3004 Installing : texlive-makedtx-7:20190410-8.fc31.noarch 1632/3004 Installing : texlive-makeglos-9:svn15878.0-19.fc31.noarch 1633/3004 Installing : texlive-makeindex-7:20190410-8.fc31.x86_64 1634/3004 Installing : texlive-maker-9:svn44823-19.fc31.noarch 1635/3004 Installing : texlive-manyind-9:svn49874-19.fc31.noarch 1636/3004 Installing : texlive-marcellus-9:svn52367-19.fc31.noarch 1637/3004 Installing : texlive-margbib-9:svn15878.1.0c-19.fc31.noarch 1638/3004 Installing : texlive-marginfit-9:svn48281-19.fc31.noarch 1639/3004 Installing : texlive-markdown-9:svn50906-19.fc31.noarch 1640/3004 Installing : texlive-matc3-9:svn29845.1.0.1-19.fc31.noarch 1641/3004 Installing : texlive-mathastext-9:svn42447-19.fc31.noarch 1642/3004 Installing : texlive-mathcommand-9:svn51542-19.fc31.noarch 1643/3004 Installing : texlive-mathfam256-9:svn46412-19.fc31.noarch 1644/3004 Installing : texlive-mathfixs-9:svn49547-19.fc31.noarch 1645/3004 Installing : texlive-mathfont-9:svn51017-19.fc31.noarch 1646/3004 Installing : texlive-mathpartir-9:svn39864-19.fc31.noarch 1647/3004 Installing : texlive-mathpazo-9:svn15878.1.003-19.fc31.noar 1648/3004 Installing : texlive-mathpunctspace-9:svn46754-19.fc31.noar 1649/3004 Installing : texlive-mceinleger-9:svn15878.0-19.fc31.noarch 1650/3004 Installing : texlive-mcexam-9:svn46155-19.fc31.noarch 1651/3004 Installing : texlive-mcite-9:svn18173.1.6-19.fc31.noarch 1652/3004 Installing : texlive-mdputu-9:svn20298.1.2-19.fc31.noarch 1653/3004 Installing : texlive-memexsupp-9:svn15878.0.1-19.fc31.noarc 1654/3004 Installing : texlive-memory-9:svn30452.1.2-19.fc31.noarch 1655/3004 Installing : texlive-memorygraphs-9:svn49631-19.fc31.noarch 1656/3004 Installing : texlive-metalogox-9:svn49774-19.fc31.noarch 1657/3004 Installing : texlive-method-9:svn17485.2.0b-19.fc31.noarch 1658/3004 Installing : texlive-mfnfss-9:svn46036-19.fc31.noarch 1659/3004 Installing : texlive-mfware-7:20190410-8.fc31.x86_64 1660/3004 Installing : texlive-mgltex-9:svn41676-19.fc31.noarch 1661/3004 Installing : texlive-mhequ-9:svn38224.1.7-19.fc31.noarch 1662/3004 Installing : texlive-mi-solns-9:svn49651-19.fc31.noarch 1663/3004 Installing : texlive-miama-9:svn51395-19.fc31.noarch 1664/3004 Installing : texlive-midpage-9:svn17484.1.1a-19.fc31.noarch 1665/3004 Installing : texlive-miller-9:svn18789.1.2-19.fc31.noarch 1666/3004 Installing : texlive-milsymb-9:svn51566-19.fc31.noarch 1667/3004 Installing : texlive-minidocument-9:svn43752-19.fc31.noarch 1668/3004 Installing : texlive-minifp-9:svn32559.0.96-19.fc31.noarch 1669/3004 Installing : texlive-minipage-marginpar-9:svn15878.v0.2-19. 1670/3004 Installing : texlive-mismath-9:svn51436-19.fc31.noarch 1671/3004 Installing : texlive-missaali-9:svn42810-19.fc31.noarch 1672/3004 Installing : texlive-mkpic-7:20190410-8.fc31.noarch 1673/3004 Installing : texlive-mla-paper-9:svn20885.0-19.fc31.noarch 1674/3004 Installing : texlive-mlacls-9:svn51157-19.fc31.noarch 1675/3004 Installing : texlive-mnras-9:svn37579.3.0-19.fc31.noarch 1676/3004 Installing : texlive-modernposter-9:svn47269-19.fc31.noarch 1677/3004 Installing : texlive-modular-9:svn44142-19.fc31.noarch 1678/3004 Installing : texlive-montserrat-9:svn43347-19.fc31.noarch 1679/3004 Installing : texlive-moodle-9:svn39367-19.fc31.noarch 1680/3004 Installing : texlive-mpostinl-9:svn49559-19.fc31.noarch 1681/3004 Installing : texlive-mslapa-9:svn17514.0-19.fc31.noarch 1682/3004 Installing : texlive-mtgreek-9:svn17967.1.1+-19.fc31.noarch 1683/3004 Installing : texlive-mucproc-9:svn43445-19.fc31.noarch 1684/3004 Installing : texlive-multenum-9:svn21775.0-19.fc31.noarch 1685/3004 Installing : texlive-multibbl-9:svn15878.v1.1-19.fc31.noarc 1686/3004 Installing : texlive-multibibliography-7:20190410-8.fc31.no 1687/3004 Installing : texlive-multicolrule-9:svn49579-19.fc31.noarch 1688/3004 Installing : texlive-multidef-9:svn40637-19.fc31.noarch 1689/3004 Installing : texlive-multienv-9:svn26544.1.0-19.fc31.noarch 1690/3004 Installing : texlive-multiexpand-9:svn45943-19.fc31.noarch 1691/3004 Installing : texlive-multilang-9:svn49065-19.fc31.noarch 1692/3004 Installing : texlive-munich-9:svn15878.0-19.fc31.noarch 1693/3004 Installing : texlive-mversion-9:svn29370.1.0.1-19.fc31.noar 1694/3004 Installing : texlive-mylatexformat-9:svn21392.3.4-19.fc31.n 1695/3004 Installing : texlive-mynsfc-9:svn41996-19.fc31.noarch 1696/3004 Installing : texlive-nag-9:svn24741.0.7-19.fc31.noarch 1697/3004 Installing : texlive-namespc-9:svn15878.0-19.fc31.noarch 1698/3004 Installing : texlive-nar-9:svn38100.3.19-19.fc31.noarch 1699/3004 Installing : texlive-natded-9:svn32693.0.1-19.fc31.noarch 1700/3004 Installing : texlive-nath-9:svn15878.0-19.fc31.noarch 1701/3004 Installing : texlive-navydocs-9:svn41643-19.fc31.noarch 1702/3004 Installing : texlive-ndsu-thesis-9:svn46639-19.fc31.noarch 1703/3004 Installing : texlive-nestquot-9:svn27323.0-19.fc31.noarch 1704/3004 Installing : texlive-newunicodechar-9:svn47382-19.fc31.noar 1705/3004 Installing : texlive-newvbtm-9:svn23996.1.1-19.fc31.noarch 1706/3004 Installing : texlive-niceframe-type1-9:svn44671-19.fc31.noa 1707/3004 Installing : texlive-nicematrix-9:svn51680-19.fc31.noarch 1708/3004 Installing : texlive-nidanfloat-9:svn48295-19.fc31.noarch 1709/3004 Installing : texlive-nihbiosketch-9:svn39460-19.fc31.noarch 1710/3004 Installing : texlive-nimbus15-9:svn39343-19.fc31.noarch 1711/3004 Installing : texlive-nkarta-9:svn16437.0.2-19.fc31.noarch 1712/3004 Installing : texlive-noconflict-9:svn30140.1.0-19.fc31.noar 1713/3004 Installing : texlive-nolbreaks-9:svn26786.1.2-19.fc31.noarc 1714/3004 Installing : texlive-nopageno-9:svn18128.0-19.fc31.noarch 1715/3004 Installing : texlive-normalcolor-9:svn40125-19.fc31.noarch 1716/3004 Installing : texlive-notespages-9:svn41906-19.fc31.noarch 1717/3004 Installing : texlive-notestex-9:svn45396-19.fc31.noarch 1718/3004 Installing : texlive-notex-bst-9:svn42361-19.fc31.noarch 1719/3004 Installing : texlive-noto-9:svn53105-19.fc31.noarch 1720/3004 Installing : texlive-novel-9:svn47492-19.fc31.noarch 1721/3004 Installing : texlive-nucleardata-9:svn47307-19.fc31.noarch 1722/3004 Installing : texlive-numname-9:svn18130.0-19.fc31.noarch 1723/3004 Installing : texlive-numspell-9:svn45441-19.fc31.noarch 1724/3004 Installing : texlive-nwejm-9:svn50447-19.fc31.noarch 1725/3004 Installing : texlive-obnov-9:svn33355.0.11-19.fc31.noarch 1726/3004 Installing : texlive-ocr-b-9:svn20852.0-19.fc31.noarch 1727/3004 Installing : texlive-octavo-9:svn15878.1.2-19.fc31.noarch 1728/3004 Installing : texlive-ogham-9:svn24876.0-19.fc31.noarch 1729/3004 Installing : texlive-oldlatin-9:svn17932.1.00-19.fc31.noarc 1730/3004 Installing : texlive-oldstandard-9:svn51741-19.fc31.noarch 1731/3004 Installing : texlive-oldstyle-9:svn15878.0.2-19.fc31.noarch 1732/3004 Installing : texlive-opteng-9:svn27331.1.0-19.fc31.noarch 1733/3004 Installing : texlive-optidef-9:svn50941-19.fc31.noarch 1734/3004 Installing : texlive-options-9:svn39030-19.fc31.noarch 1735/3004 Installing : texlive-orkhun-9:svn15878.0-19.fc31.noarch 1736/3004 Installing : texlive-oubraces-9:svn21833.0-19.fc31.noarch 1737/3004 Installing : texlive-outline-9:svn18360.0-19.fc31.noarch 1738/3004 Installing : texlive-outliner-9:svn21095.0.94-19.fc31.noarc 1739/3004 Installing : texlive-outlining-9:svn45601-19.fc31.noarch 1740/3004 Installing : texlive-pacioli-9:svn24947.0-19.fc31.noarch 1741/3004 Installing : texlive-padcount-9:svn47621-19.fc31.noarch 1742/3004 Installing : texlive-paper-9:svn34521.1.0l-19.fc31.noarch 1743/3004 Installing : texlive-paracol-9:svn49560-19.fc31.noarch 1744/3004 Installing : texlive-parades-9:svn40042-19.fc31.noarch 1745/3004 Installing : texlive-parallel-9:svn15878.0-19.fc31.noarch 1746/3004 Installing : texlive-parnotes-9:svn51720-19.fc31.noarch 1747/3004 Installing : texlive-parselines-9:svn21475.1.4-19.fc31.noar 1748/3004 Installing : texlive-patchcmd-9:svn41379-19.fc31.noarch 1749/3004 Installing : texlive-path-9:svn22045.3.05-19.fc31.noarch 1750/3004 Installing : texlive-pawpict-9:svn21629.1.0-19.fc31.noarch 1751/3004 Installing : texlive-pb-diagram-9:svn15878.5.0-19.fc31.noar 1752/3004 Installing : texlive-pdf14-9:svn17583.0.1-19.fc31.noarch 1753/3004 Installing : texlive-pdfprivacy-9:svn45985-19.fc31.noarch 1754/3004 Installing : texlive-pdfreview-9:svn50100-19.fc31.noarch 1755/3004 Installing : texlive-pdfsync-9:svn20373.0-19.fc31.noarch 1756/3004 Installing : texlive-penrose-9:svn48202-19.fc31.noarch 1757/3004 Installing : texlive-perception-9:svn42683-19.fc31.noarch 1758/3004 Installing : texlive-permute-9:svn15878.0-19.fc31.noarch 1759/3004 Installing : texlive-petri-nets-7:20190410-8.fc31.noarch 1760/3004 Installing : texlive-pgf-blur-9:svn31693.1.01-19.fc31.noarc 1761/3004 Installing : texlive-pgf-cmykshadings-9:svn48982-19.fc31.no 1762/3004 Installing : texlive-pgf-spectra-9:svn42986-19.fc31.noarch 1763/3004 Installing : texlive-pgfmorepages-9:svn51051-19.fc31.noarch 1764/3004 Installing : texlive-pgfornament-9:svn39988-19.fc31.noarch 1765/3004 Installing : texlive-phffullpagefigure-9:svn41857-19.fc31.n 1766/3004 Installing : texlive-phfnote-9:svn41858-19.fc31.noarch 1767/3004 Installing : texlive-phfparen-9:svn41859-19.fc31.noarch 1768/3004 Installing : texlive-phfqit-9:svn45084-19.fc31.noarch 1769/3004 Installing : texlive-phfquotetext-9:svn41869-19.fc31.noarch 1770/3004 Installing : texlive-phfsvnwatermark-9:svn41870-19.fc31.noa 1771/3004 Installing : texlive-phfthm-9:svn41871-19.fc31.noarch 1772/3004 Installing : texlive-phonenumbers-9:svn48355-19.fc31.noarch 1773/3004 Installing : texlive-phonetic-9:svn21871.0-19.fc31.noarch 1774/3004 Installing : texlive-photo-9:svn18739.0-19.fc31.noarch 1775/3004 Installing : texlive-pittetd-9:svn15878.1.618-19.fc31.noarc 1776/3004 Installing : texlive-pixelart-9:svn46740-19.fc31.noarch 1777/3004 Installing : texlive-plainpkg-9:svn27765.0.4a-19.fc31.noarc 1778/3004 Installing : texlive-dowith-9:svn38860-19.fc31.noarch 1779/3004 Installing : texlive-langcode-9:svn27764.0.2-19.fc31.noarch 1780/3004 Installing : texlive-morehype-9:svn38815-19.fc31.noarch 1781/3004 Installing : texlive-plex-otf-9:svn47562-19.fc31.noarch 1782/3004 Installing : texlive-plex-9:svn51295-19.fc31.noarch 1783/3004 Installing : texlive-plweb-9:svn15878.3.0-19.fc31.noarch 1784/3004 Installing : texlive-pm-isomath-9:svn46402-19.fc31.noarch 1785/3004 Installing : texlive-pmgraph-9:svn15878.1.0-19.fc31.noarch 1786/3004 Installing : texlive-pnas2009-9:svn16287.1.0-19.fc31.noarch 1787/3004 Installing : texlive-poiretone-9:svn51396-19.fc31.noarch 1788/3004 Installing : texlive-polexpr-9:svn50013-19.fc31.noarch 1789/3004 Installing : texlive-postage-9:svn47893-19.fc31.noarch 1790/3004 Installing : texlive-poster-mac-9:svn18305.1.1-19.fc31.noar 1791/3004 Installing : texlive-powerdot-tuliplab-9:svn47963-19.fc31.n 1792/3004 Installing : texlive-prettyref-9:svn15878.3.0-19.fc31.noarc 1793/3004 Installing : texlive-prftree-9:svn51404-19.fc31.noarch 1794/3004 Installing : texlive-printlen-9:svn19847.1.1a-19.fc31.noarc 1795/3004 Installing : texlive-procIAGssymp-9:svn51771-19.fc31.noarch 1796/3004 Installing : texlive-program-9:svn44214-19.fc31.noarch 1797/3004 Installing : texlive-progress-9:svn19519.1.10-19.fc31.noarc 1798/3004 Installing : texlive-proof-at-the-end-9:svn51194-19.fc31.no 1799/3004 Installing : texlive-prooftrees-9:svn43184-19.fc31.noarch 1800/3004 Installing : texlive-protex-9:svn41633-19.fc31.noarch 1801/3004 Installing : texlive-protocol-9:svn25562.1.13-19.fc31.noarc 1802/3004 Installing : texlive-prtec-9:svn50915-19.fc31.noarch 1803/3004 Installing : texlive-pseudo-9:svn51641-19.fc31.noarch 1804/3004 Installing : texlive-pspicture-9:svn15878.0-19.fc31.noarch 1805/3004 Installing : texlive-pst-math-9:svn49425-19.fc31.noarch 1806/3004 Installing : texlive-pstring-9:svn42857-19.fc31.noarch 1807/3004 Installing : texlive-ptolemaicastronomy-9:svn50810-19.fc31. 1808/3004 Installing : texlive-punk-latex-9:svn27389.1.1-19.fc31.noar 1809/3004 Installing : texlive-punk-9:svn27388.0-19.fc31.noarch 1810/3004 Installing : texlive-punknova-9:svn24649.1.003-19.fc31.noar 1811/3004 Installing : texlive-pxpgfmark-9:svn30212.0.2-19.fc31.noarc 1812/3004 Installing : texlive-python-9:svn27064.0.21-19.fc31.noarch 1813/3004 Installing : texlive-pythonhighlight-9:svn43191-19.fc31.noa 1814/3004 Installing : texlive-qsharp-9:svn49722-19.fc31.noarch 1815/3004 Installing : texlive-quantikz-9:svn50934-19.fc31.noarch 1816/3004 Installing : texlive-quicktype-9:svn42183-19.fc31.noarch 1817/3004 Installing : texlive-quotmark-9:svn15878.1.0-19.fc31.noarch 1818/3004 Installing : texlive-ragged2e-9:svn51780-19.fc31.noarch 1819/3004 Installing : texlive-rank-2-roots-9:svn48515-19.fc31.noarch 1820/3004 Installing : texlive-rcs-multi-9:svn21939.0.1a-19.fc31.noar 1821/3004 Installing : texlive-rcs-9:svn15878.0-19.fc31.noarch 1822/3004 Installing : texlive-realhats-9:svn51004-19.fc31.noarch 1823/3004 Installing : texlive-recipe-9:svn15878.0.9-19.fc31.noarch 1824/3004 Installing : texlive-rectopma-9:svn19980.0-19.fc31.noarch 1825/3004 Installing : texlive-refcheck-9:svn29128.1.9.1-19.fc31.noar 1826/3004 Installing : texlive-refman-9:svn15878.2.0e-19.fc31.noarch 1827/3004 Installing : texlive-regcount-9:svn19979.1.0-19.fc31.noarch 1828/3004 Installing : texlive-relenc-9:svn22050.0-19.fc31.noarch 1829/3004 Installing : texlive-revquantum-9:svn43505-19.fc31.noarch 1830/3004 Installing : texlive-rlepsf-9:svn19082.0-19.fc31.noarch 1831/3004 Installing : texlive-robustindex-9:svn49877-19.fc31.noarch 1832/3004 Installing : texlive-romanneg-9:svn20087.0-19.fc31.noarch 1833/3004 Installing : texlive-rosario-9:svn51688-19.fc31.noarch 1834/3004 Installing : texlive-roundbox-9:svn29675.0.2-19.fc31.noarch 1835/3004 Installing : texlive-rulerbox-9:svn50984-19.fc31.noarch 1836/3004 Installing : texlive-rulercompass-9:svn32392.1-19.fc31.noar 1837/3004 Installing : texlive-rutitlepage-9:svn51073-19.fc31.noarch 1838/3004 Installing : texlive-rvwrite-9:svn19614.1.2-19.fc31.noarch 1839/3004 Installing : texlive-ryersonsgsthesis-9:svn50119-19.fc31.no 1840/3004 Installing : texlive-sanitize-umlaut-9:svn41365-19.fc31.noa 1841/3004 Installing : texlive-sansmath-9:svn17997.1.1-19.fc31.noarch 1842/3004 Installing : texlive-sauter-9:svn13293.2.4-19.fc31.noarch 1843/3004 Installing : texlive-sauterfonts-9:svn15878.0-19.fc31.noarc 1844/3004 Installing : texlive-savefnmark-9:svn15878.1.0-19.fc31.noar 1845/3004 Installing : texlive-savesym-9:svn31565.1.2-19.fc31.noarch 1846/3004 Installing : texlive-scale-9:svn15878.1.1.2-19.fc31.noarch 1847/3004 Installing : texlive-scalerel-9:svn42809-19.fc31.noarch 1848/3004 Installing : texlive-schedule-9:svn51805-19.fc31.noarch 1849/3004 Installing : texlive-schulschriften-9:svn35730.4-19.fc31.no 1850/3004 Installing : texlive-scientific-thesis-cover-9:svn47923-19. 1851/3004 Installing : texlive-scontents-9:svn51779-19.fc31.noarch 1852/3004 Installing : texlive-scratch-9:svn50073-19.fc31.noarch 1853/3004 Installing : texlive-scratch3-9:svn51537-19.fc31.noarch 1854/3004 Installing : texlive-scrlttr2copy-9:svn39734-19.fc31.noarch 1855/3004 Installing : texlive-scsnowman-9:svn47953-19.fc31.noarch 1856/3004 Installing : texlive-secdot-9:svn20208.1.0-19.fc31.noarch 1857/3004 Installing : texlive-section-9:svn20180.0-19.fc31.noarch 1858/3004 Installing : texlive-sectionbreak-9:svn50339-19.fc31.noarch 1859/3004 Installing : texlive-selectp-9:svn20185.1.0-19.fc31.noarch 1860/3004 Installing : texlive-semantic-markup-9:svn47837-19.fc31.noa 1861/3004 Installing : texlive-sepfootnotes-9:svn41732-19.fc31.noarch 1862/3004 Installing : texlive-sepnum-9:svn20186.2.0-19.fc31.noarch 1863/3004 Installing : texlive-seqsplit-9:svn15878.0.1-19.fc31.noarch 1864/3004 Installing : texlive-sesstime-9:svn49750-19.fc31.noarch 1865/3004 Installing : texlive-seuthesis-9:svn33042.2.1.2-19.fc31.noa 1866/3004 Installing : texlive-seuthesix-9:svn40088-19.fc31.noarch 1867/3004 Installing : texlive-sf298-9:svn41653-19.fc31.noarch 1868/3004 Installing : texlive-sfmath-9:svn15878.0.8-19.fc31.noarch 1869/3004 Installing : texlive-shapepar-9:svn30708.2.2-19.fc31.noarch 1870/3004 Installing : texlive-shobhika-9:svn50555-19.fc31.noarch 1871/3004 Installing : texlive-show2e-9:svn15878.1.0-19.fc31.noarch 1872/3004 Installing : texlive-showcharinbox-9:svn29803.0.1-19.fc31.n 1873/3004 Installing : texlive-showdim-9:svn28918.1.2-19.fc31.noarch 1874/3004 Installing : texlive-showlabels-9:svn41322-19.fc31.noarch 1875/3004 Installing : texlive-showtags-9:svn20336.1.05-19.fc31.noarc 1876/3004 Installing : texlive-shuffle-9:svn15878.1.0-19.fc31.noarch 1877/3004 Installing : texlive-signchart-9:svn39707-19.fc31.noarch 1878/3004 Installing : texlive-simplecv-9:svn35537.1.6a-19.fc31.noarc 1879/3004 Installing : texlive-simpleinvoice-9:svn45673-19.fc31.noarc 1880/3004 Installing : texlive-simplekv-9:svn44987-19.fc31.noarch 1881/3004 Installing : texlive-dijkstra-9:svn45256-19.fc31.noarch 1882/3004 Installing : texlive-simpler-wick-9:svn39074-19.fc31.noarch 1883/3004 Installing : texlive-simplewick-9:svn15878.1.2a-19.fc31.noa 1884/3004 Installing : texlive-sitem-9:svn22136.1.0-19.fc31.noarch 1885/3004 Installing : texlive-skull-9:svn25608.0.1-19.fc31.noarch 1886/3004 Installing : texlive-smalltableof-9:svn20333.0-19.fc31.noar 1887/3004 Installing : texlive-smartref-9:svn20311.1.9-19.fc31.noarch 1888/3004 Installing : texlive-smartunits-9:svn39592-19.fc31.noarch 1889/3004 Installing : texlive-snapshot-9:svn15878.1.14-19.fc31.noarc 1890/3004 Installing : texlive-sort-by-letters-9:svn27128.0-19.fc31.n 1891/3004 Installing : texlive-spacingtricks-9:svn51495-19.fc31.noarc 1892/3004 Installing : texlive-spalign-9:svn42225-19.fc31.noarch 1893/3004 Installing : texlive-spark-otf-9:svn51005-19.fc31.noarch 1894/3004 Installing : texlive-spectralsequences-9:svn50072-19.fc31.n 1895/3004 Installing : texlive-sphack-9:svn20842.0-19.fc31.noarch 1896/3004 Installing : texlive-splitbib-9:svn15878.1.17-19.fc31.noarc 1897/3004 Installing : texlive-spverbatim-9:svn15878.v1.0-19.fc31.noa 1898/3004 Installing : texlive-srbook-mem-9:svn45818-19.fc31.noarch 1899/3004 Installing : texlive-srdp-mathematik-9:svn51600-19.fc31.noa 1900/3004 Installing : texlive-sslides-9:svn32293.0-19.fc31.noarch 1901/3004 Installing : texlive-stack-9:svn15878.1.00-19.fc31.noarch 1902/3004 Installing : texlive-stanli-9:svn42765-19.fc31.noarch 1903/3004 Installing : texlive-statistics-9:svn48252-19.fc31.noarch 1904/3004 Installing : texlive-statmath-9:svn46925-19.fc31.noarch 1905/3004 Installing : texlive-stickstoo-9:svn47858-19.fc31.noarch 1906/3004 Installing : texlive-stix2-otf-9:svn50948-19.fc31.noarch 1907/3004 Installing : texlive-stix2-type1-9:svn50940-19.fc31.noarch 1908/3004 Installing : texlive-structmech-9:svn47859-19.fc31.noarch 1909/3004 Installing : texlive-studenthandouts-9:svn43516-19.fc31.noa 1910/3004 Installing : texlive-subdocs-9:svn51480-19.fc31.noarch 1911/3004 Installing : texlive-subeqn-9:svn15878.2.0b-19.fc31.noarch 1912/3004 Installing : texlive-subeqnarray-9:svn15878.2.1c-19.fc31.no 1913/3004 Installing : texlive-subsupscripts-9:svn16080.1.0-19.fc31.n 1914/3004 Installing : texlive-subtext-9:svn51273-19.fc31.noarch 1915/3004 Installing : texlive-sugconf-9:svn15878.0-19.fc31.noarch 1916/3004 Installing : texlive-susy-9:svn19440.0-19.fc31.noarch 1917/3004 Installing : texlive-svgcolor-9:svn15878.1.0-19.fc31.noarch 1918/3004 Installing : texlive-svn-9:svn15878.43-19.fc31.noarch 1919/3004 Installing : texlive-svrsymbols-9:svn50019-19.fc31.noarch 1920/3004 Installing : texlive-syntax-9:svn15878.0-19.fc31.noarch 1921/3004 Installing : texlive-table-fct-9:svn41849-19.fc31.noarch 1922/3004 Installing : texlive-tabls-9:svn17255.3.5-19.fc31.noarch 1923/3004 Installing : texlive-tabto-ltx-9:svn50188-19.fc31.noarch 1924/3004 Installing : texlive-tagpdf-9:svn51535-19.fc31.noarch 1925/3004 Installing : texlive-tamefloats-9:svn27345.v0.42-19.fc31.no 1926/3004 Installing : texlive-tapir-9:svn20484.0.2-19.fc31.noarch 1927/3004 Installing : texlive-technics-9:svn29349.1.0-19.fc31.noarch 1928/3004 Installing : texlive-technion-thesis-template-9:svn49889-19 1929/3004 Installing : texlive-ted-9:svn15878.1.06-19.fc31.noarch 1930/3004 Installing : texlive-tempora-9:svn39596-19.fc31.noarch 1931/3004 Installing : texlive-tensind-9:svn51481-19.fc31.noarch 1932/3004 Installing : texlive-tensor-9:svn15878.2.1-19.fc31.noarch 1933/3004 Installing : texlive-termlist-9:svn18923.1.1-19.fc31.noarch 1934/3004 Installing : texlive-testhyphens-9:svn38928-19.fc31.noarch 1935/3004 Installing : texlive-testidx-9:svn45021-19.fc31.noarch 1936/3004 Installing : texlive-tex-ewd-9:svn15878.0-19.fc31.noarch 1937/3004 Installing : texlive-tex-gyre-math-9:svn41264-19.fc31.noarc 1938/3004 Installing : texlive-tex-locale-9:svn48500-19.fc31.noarch 1939/3004 Installing : texlive-texilikechaps-9:svn28553.1.0a-19.fc31. 1940/3004 Installing : texlive-texilikecover-9:svn15878.0.1-19.fc31.n 1941/3004 Installing : texlive-texlive-docindex-9:svn51813-19.fc31.no 1942/3004 Installing : texlive-texlive-en-7:20190410-8.fc31.noarch 1943/3004 Installing : texlive-texlive-msg-translations-9:svn51750-19 1944/3004 Installing : texlive-textfit-9:svn20591.5-19.fc31.noarch 1945/3004 Installing : texlive-textmerg-9:svn20677.2.01-19.fc31.noarc 1946/3004 Installing : texlive-textualicomma-9:svn48474-19.fc31.noarc 1947/3004 Installing : texlive-texvc-9:svn46844-19.fc31.noarch 1948/3004 Installing : texlive-theoremref-9:svn30640.0-19.fc31.noarch 1949/3004 Installing : texlive-thesis-gwu-9:svn48324-19.fc31.noarch 1950/3004 Installing : texlive-thesis-qom-9:svn49124-19.fc31.noarch 1951/3004 Installing : texlive-thinsp-9:svn39669-19.fc31.noarch 1952/3004 Installing : texlive-thuaslogos-9:svn51347-19.fc31.noarch 1953/3004 Installing : texlive-thucoursework-9:svn47781-19.fc31.noarc 1954/3004 Installing : texlive-tikz-bayesnet-9:svn38295.0.1-19.fc31.n 1955/3004 Installing : texlive-tikz-feynhand-9:svn46502-19.fc31.noarc 1956/3004 Installing : texlive-tikz-feynman-9:svn39582-19.fc31.noarch 1957/3004 Installing : texlive-tikz-imagelabels-9:svn51490-19.fc31.no 1958/3004 Installing : texlive-tikz-kalender-9:svn51329-19.fc31.noarc 1959/3004 Installing : texlive-tikz-karnaugh-9:svn47026-19.fc31.noarc 1960/3004 Installing : texlive-tikz-ladder-9:svn46555-19.fc31.noarch 1961/3004 Installing : texlive-tikz-layers-9:svn46660-19.fc31.noarch 1962/3004 Installing : texlive-tikz-nef-9:svn48240-19.fc31.noarch 1963/3004 Installing : texlive-tikz-network-9:svn48314-19.fc31.noarch 1964/3004 Installing : texlive-tikz-optics-9:svn43466-19.fc31.noarch 1965/3004 Installing : texlive-tikz-page-9:svn42039-19.fc31.noarch 1966/3004 Installing : texlive-tikz-relay-9:svn51355-19.fc31.noarch 1967/3004 Installing : texlive-tikz-sfc-9:svn49424-19.fc31.noarch 1968/3004 Installing : texlive-tikz-truchet-9:svn50020-19.fc31.noarch 1969/3004 Installing : texlive-tikzcodeblocks-9:svn47265-19.fc31.noar 1970/3004 Installing : texlive-tikzducks-9:svn50840-19.fc31.noarch 1971/3004 Installing : texlive-tikzlings-9:svn50841-19.fc31.noarch 1972/3004 Installing : texlive-tikzmark-9:svn51050-19.fc31.noarch 1973/3004 Installing : texlive-tikzmarmots-9:svn49114-19.fc31.noarch 1974/3004 Installing : texlive-tikzpeople-9:svn43978-19.fc31.noarch 1975/3004 Installing : texlive-timbreicmc-9:svn49740-19.fc31.noarch 1976/3004 Installing : texlive-tinos-9:svn42882-19.fc31.noarch 1977/3004 Installing : texlive-titlefoot-9:svn15878.0-19.fc31.noarch 1978/3004 Installing : texlive-titlepic-9:svn43497-19.fc31.noarch 1979/3004 Installing : texlive-titleref-9:svn18729.3.1-19.fc31.noarch 1980/3004 Installing : texlive-tlc-article-9:svn51431-19.fc31.noarch 1981/3004 Installing : texlive-tocdata-9:svn51654-19.fc31.noarch 1982/3004 Installing : texlive-topfloat-9:svn19084.0-19.fc31.noarch 1983/3004 Installing : texlive-topiclongtable-9:svn51601-19.fc31.noar 1984/3004 Installing : texlive-topletter-9:svn48182-19.fc31.noarch 1985/3004 Installing : texlive-tree-dvips-9:svn21751.91-19.fc31.noarc 1986/3004 Installing : texlive-linguex-9:svn30815.4.3-19.fc31.noarch 1987/3004 Installing : texlive-trfsigns-9:svn15878.1.01-19.fc31.noarc 1988/3004 Installing : texlive-trsym-9:svn18732.1.0-19.fc31.noarch 1989/3004 Installing : texlive-tsemlines-9:svn23440.1.0-19.fc31.noarc 1990/3004 Installing : texlive-ttfutils-7:20190410-8.fc31.x86_64 1991/3004 Installing : texlive-tuda-ci-9:svn51822-19.fc31.noarch 1992/3004 Installing : texlive-tugboat-plain-9:svn51373-19.fc31.noarc 1993/3004 Installing : texlive-turabian-9:svn36298.0.1.0-19.fc31.noar 1994/3004 Installing : texlive-twoinone-9:svn17024.0-19.fc31.noarch 1995/3004 Installing : texlive-twoup-9:svn15878.1.3-19.fc31.noarch 1996/3004 Installing : texlive-txuprcal-9:svn43327-19.fc31.noarch 1997/3004 Installing : texlive-typed-checklist-9:svn49731-19.fc31.noa 1998/3004 Installing : texlive-typicons-9:svn37623.2.0.7-19.fc31.noar 1999/3004 Installing : texlive-typoaid-9:svn44238-19.fc31.noarch 2000/3004 Installing : texlive-uafthesis-9:svn29349.12.12-19.fc31.noa 2001/3004 Installing : texlive-ucalgmthesis-9:svn50705-19.fc31.noarch 2002/3004 Installing : texlive-ucbthesis-9:svn51690-19.fc31.noarch 2003/3004 Installing : texlive-ucsmonograph-9:svn49389-19.fc31.noarch 2004/3004 Installing : texlive-ucthesis-9:svn15878.3.2-19.fc31.noarch 2005/3004 Installing : texlive-uhhassignment-9:svn44026-19.fc31.noarc 2006/3004 Installing : texlive-umbclegislation-9:svn41348-19.fc31.noa 2007/3004 Installing : texlive-umoline-9:svn19085.0-19.fc31.noarch 2008/3004 Installing : texlive-umtypewriter-9:svn18651.001.002-19.fc3 2009/3004 Installing : texlive-unam-thesis-9:svn51207-19.fc31.noarch 2010/3004 Installing : texlive-underlin-9:svn15878.1.01-19.fc31.noarc 2011/3004 Installing : texlive-unitn-bimrep-9:svn45581-19.fc31.noarch 2012/3004 Installing : texlive-universa-9:svn15878.2.0-19.fc31.noarch 2013/3004 Installing : texlive-univie-ling-9:svn49785-19.fc31.noarch 2014/3004 Installing : texlive-updmap-map-9:svn52454-19.fc31.noarch 2015/3004 Installing : texlive-uppunctlm-9:svn42334-19.fc31.noarch 2016/3004 Installing : texlive-urlbst-7:20190410-8.fc31.noarch 2017/3004 Installing : texlive-uspace-9:svn42456-19.fc31.noarch 2018/3004 Installing : texlive-uspatent-9:svn27744.1.0-19.fc31.noarch 2019/3004 Installing : texlive-utopia-9:svn15878.0-19.fc31.noarch 2020/3004 Installing : texlive-uwthesis-9:svn15878.6.13-19.fc31.noarc 2021/3004 Installing : texlive-vak-9:svn23431.0-19.fc31.noarch 2022/3004 Installing : texlive-vancouver-9:svn34470.0-19.fc31.noarch 2023/3004 Installing : texlive-variablelm-9:svn46611-19.fc31.noarch 2024/3004 Installing : texlive-venn-9:svn15878.0-19.fc31.noarch 2025/3004 Installing : texlive-verbdef-9:svn17177.0.2-19.fc31.noarch 2026/3004 Installing : texlive-verse-9:svn34017.2.4b-19.fc31.noarch 2027/3004 Installing : texlive-version-9:svn21920.2.0-19.fc31.noarch 2028/3004 Installing : texlive-versonotes-9:svn51568-19.fc31.noarch 2029/3004 Installing : texlive-vruler-9:svn21598.2.3-19.fc31.noarch 2030/3004 Installing : texlive-vtable-9:svn51126-19.fc31.noarch 2031/3004 Installing : texlive-wallcalendar-9:svn45568-19.fc31.noarch 2032/3004 Installing : texlive-widows-and-orphans-9:svn49194-19.fc31. 2033/3004 Installing : texlive-windycity-9:svn51668-19.fc31.noarch 2034/3004 Installing : texlive-wordcount-7:20190410-8.fc31.noarch 2035/3004 Installing : texlive-worksheet-9:svn48423-19.fc31.noarch 2036/3004 Installing : texlive-wsuipa-9:svn25469.0-19.fc31.noarch 2037/3004 Installing : texlive-wtref-9:svn42981-19.fc31.noarch 2038/3004 Installing : texlive-xassoccnt-9:svn49516-19.fc31.noarch 2039/3004 Installing : texlive-xbmks-9:svn48138-19.fc31.noarch 2040/3004 Installing : texlive-xcite-9:svn23783.1.0-19.fc31.noarch 2041/3004 Installing : texlive-xcntperchap-9:svn46236-19.fc31.noarch 2042/3004 Installing : texlive-xcolor-material-9:svn42289-19.fc31.noa 2043/3004 Installing : texlive-xcpdftips-9:svn50449-19.fc31.noarch 2044/3004 Installing : texlive-xduthesis-9:svn39694-19.fc31.noarch 2045/3004 Installing : texlive-xdvi-7:20190410-8.fc31.x86_64 2046/3004 Installing : texlive-xellipsis-9:svn47546-19.fc31.noarch 2047/3004 Installing : texlive-xetexconfig-9:svn45845-19.fc31.noarch 2048/3004 Installing : texlive-xetex-7:20190410-8.fc31.x86_64 2049/3004 Installing : texlive-dvipdfmx-7:20190410-8.fc31.x86_64 2050/3004 Installing : texlive-xits-9:svn32763.1.108-19.fc31.noarch 2051/3004 Installing : texlive-xltabular-9:svn49939-19.fc31.noarch 2052/3004 Installing : texlive-xnewcommand-9:svn15878.1.2-19.fc31.noa 2053/3004 Installing : texlive-xoptarg-9:svn15878.1.0-19.fc31.noarch 2054/3004 Installing : texlive-xsavebox-9:svn51448-19.fc31.noarch 2055/3004 Installing : texlive-xurl-9:svn49488-19.fc31.noarch 2056/3004 Installing : texlive-yafoot-9:svn19086.0-19.fc31.noarch 2057/3004 Installing : texlive-yaletter-9:svn42830-19.fc31.noarch 2058/3004 Installing : texlive-ycbook-9:svn46201-19.fc31.noarch 2059/3004 Installing : texlive-yfonts-t1-9:svn36013-19.fc31.noarch 2060/3004 Installing : texlive-yinit-otf-9:svn40207-19.fc31.noarch 2061/3004 Installing : texlive-youngtab-9:svn17635.1.1-19.fc31.noarch 2062/3004 Installing : texlive-zebra-goodies-9:svn51554-19.fc31.noarc 2063/3004 Installing : texlive-zed-csp-9:svn17258.0-19.fc31.noarch 2064/3004 Installing : texlive-ziffer-9:svn32279.2.1-19.fc31.noarch 2065/3004 Installing : texlive-zootaxa-bst-9:svn50619-19.fc31.noarch 2066/3004 Installing : texlive-zwgetfdate-9:svn15878.0-19.fc31.noarch 2067/3004 Installing : gdbm-1:1.18.1-1.fc31.x86_64 2068/3004 Installing : python2-2.7.17-1.fc31.x86_64 2069/3004 Installing : python2-libs-2.7.17-1.fc31.x86_64 2070/3004 Installing : fftw-libs-double-3.3.8-6.fc31.x86_64 2071/3004 Installing : color-filesystem-1-23.fc31.noarch 2072/3004 Running scriptlet: colord-1.4.4-2.fc31.x86_64 2073/3004 Installing : colord-1.4.4-2.fc31.x86_64 2073/3004 Running scriptlet: colord-1.4.4-2.fc31.x86_64 2073/3004 Installing : cdparanoia-libs-10.2-30.fc31.x86_64 2074/3004 Installing : gstreamer1-plugins-base-1.16.2-2.fc31.x86_64 2075/3004 Installing : pdfpc-4.3.4-3.fc31.x86_64 2076/3004 Installing : bc-1.07.1-9.fc31.x86_64 2077/3004 Installing : adobe-mappings-pdf-20180407-4.fc31.noarch 2078/3004 Installing : libgs-9.27-4.fc31.x86_64 2079/3004 Installing : ghostscript-9.27-4.fc31.x86_64 2080/3004 Installing : texlive-thumbpdf-7:20190410-8.fc31.noarch 2081/3004 Installing : texlive-breakurl-9:svn29901.1.40-19.fc31.noarc 2082/3004 Installing : texlive-geometry-9:svn47638-19.fc31.noarch 2083/3004 Installing : texlive-ifplatform-9:svn45533-19.fc31.noarch 2084/3004 Installing : texlive-luaotfload-7:20190410-8.fc31.noarch 2085/3004 Installing : texlive-luatexbase-9:svn38550-19.fc31.noarch 2086/3004 Installing : texlive-attachfile-9:svn42099-19.fc31.noarch 2087/3004 Installing : texlive-algorithms-9:svn42428-19.fc31.noarch 2088/3004 Installing : texlive-bera-9:svn20031.0-19.fc31.noarch 2089/3004 Installing : texlive-xunicode-9:svn30466.0.981-19.fc31.noar 2090/3004 Installing : texlive-tipa-9:svn29349.1.3-19.fc31.noarch 2091/3004 Installing : texlive-latex-7:20190410-8.fc31.noarch 2092/3004 Installing : texlive-hyperref-9:svn51742-19.fc31.noarch 2093/3004 Installing : texlive-memoir-9:svn49394-19.fc31.noarch 2094/3004 Installing : texlive-eso-pic-9:svn47694-19.fc31.noarch 2095/3004 Installing : texlive-currfile-9:svn40725-19.fc31.noarch 2096/3004 Installing : texlive-filehook-9:svn24280.0.5d-19.fc31.noarc 2097/3004 Installing : texlive-pgf-9:svn51817-19.fc31.noarch 2098/3004 Installing : texlive-fontspec-9:svn50387-19.fc31.noarch 2099/3004 Installing : texlive-l3packages-9:svn51546-19.fc31.noarch 2100/3004 Installing : texlive-lualatex-math-9:svn49904-19.fc31.noarc 2101/3004 Installing : texlive-unicode-math-9:svn50245-19.fc31.noarch 2102/3004 Installing : texlive-l3kernel-9:svn51745-19.fc31.noarch 2103/3004 Installing : texlive-ms-9:svn51784-19.fc31.noarch 2104/3004 Installing : texlive-koma-script-9:svn49929-19.fc31.noarch 2105/3004 Installing : texlive-showexpl-9:svn42677-19.fc31.noarch 2106/3004 Installing : texlive-listings-9:svn50175-19.fc31.noarch 2107/3004 Installing : texlive-oberdiek-7:20190410-8.fc31.noarch 2108/3004 Installing : texlive-xcolor-9:svn41044-19.fc31.noarch 2109/3004 Installing : texlive-fancyvrb-9:svn49717-19.fc31.noarch 2110/3004 Installing : texlive-pst-3d-9:svn17257.1.10-19.fc31.noarch 2111/3004 Installing : texlive-pst-coil-9:svn37377.1.07-19.fc31.noarc 2112/3004 Installing : texlive-pst-eps-9:svn15878.1.0-19.fc31.noarch 2113/3004 Installing : texlive-pst-fill-9:svn15878.1.01-19.fc31.noarc 2114/3004 Installing : texlive-pst-grad-9:svn15878.1.06-19.fc31.noarc 2115/3004 Installing : texlive-pst-node-9:svn50215-19.fc31.noarch 2116/3004 Installing : texlive-auto-pst-pdf-9:svn23723.0.6-19.fc31.no 2117/3004 Installing : texlive-pst-pdf-7:20190410-8.fc31.noarch 2118/3004 Installing : texlive-pst-plot-9:svn51650-19.fc31.noarch 2119/3004 Installing : texlive-pst-text-9:svn49542-19.fc31.noarch 2120/3004 Installing : texlive-pst-tools-9:svn45978-19.fc31.noarch 2121/3004 Installing : texlive-pst-tree-9:svn43272-19.fc31.noarch 2122/3004 Installing : texlive-pstricks-add-9:svn49680-19.fc31.noarch 2123/3004 Installing : texlive-pstricks-9:svn51102-19.fc31.noarch 2124/3004 Installing : texlive-amscls-9:svn46099-19.fc31.noarch 2125/3004 Installing : texlive-xifthen-9:svn38929-19.fc31.noarch 2126/3004 Installing : texlive-l3experimental-9:svn51546-19.fc31.noar 2127/3004 Installing : texlive-xpatch-9:svn27897.0.2-19.fc31.noarch 2128/3004 Installing : texlive-pgfopts-9:svn34573.2.1a-19.fc31.noarch 2129/3004 Installing : texlive-textpos-9:svn50988-19.fc31.noarch 2130/3004 Installing : texlive-adjustbox-9:svn49596-19.fc31.noarch 2131/3004 Installing : texlive-catoptions-9:svn35069.0.2.7h-19.fc31.n 2132/3004 Installing : texlive-datatool-9:svn49344-19.fc31.noarch 2133/3004 Installing : texlive-nfssext-cfr-9:svn43640-19.fc31.noarch 2134/3004 Installing : texlive-numprint-9:svn27498.1.39-19.fc31.noarc 2135/3004 Installing : texlive-units-9:svn42428-19.fc31.noarch 2136/3004 Installing : texlive-pdfpages-9:svn45659-19.fc31.noarch 2137/3004 Installing : texlive-siunitx-9:svn47746-19.fc31.noarch 2138/3004 Installing : texlive-forloop-9:svn15878.3.0-19.fc31.noarch 2139/3004 Installing : texlive-glossaries-7:20190410-8.fc31.noarch 2140/3004 Installing : texlive-etextools-9:svn20694.3.1415926-19.fc31 2141/3004 Installing : texlive-tcolorbox-9:svn50206-19.fc31.noarch 2142/3004 Installing : texlive-jknapltx-9:svn19440.0-19.fc31.noarch 2143/3004 Installing : texlive-slantsc-9:svn25007.2.11-19.fc31.noarch 2144/3004 Installing : texlive-tex-gyre-9:svn48058-19.fc31.noarch 2145/3004 Installing : texlive-ntheorem-9:svn27609.1.33-19.fc31.noarc 2146/3004 Installing : texlive-imakeidx-9:svn42287-19.fc31.noarch 2147/3004 Installing : texlive-morewrites-9:svn49531-19.fc31.noarch 2148/3004 Installing : texlive-pageslts-9:svn39164-19.fc31.noarch 2149/3004 Installing : texlive-xypic-9:svn31859.3.8.9-19.fc31.noarch 2150/3004 Installing : texlive-chemgreek-9:svn42758-19.fc31.noarch 2151/3004 Installing : texlive-mhchem-9:svn48088-19.fc31.noarch 2152/3004 Installing : texlive-libertine-9:svn51174-19.fc31.noarch 2153/3004 Installing : texlive-fourier-9:svn15878.1.3-19.fc31.noarch 2154/3004 Installing : texlive-tkz-base-9:svn22961.1.16-19.fc31.noarc 2155/3004 Installing : texlive-ltxkeys-9:svn28332.0.0.3c-19.fc31.noar 2156/3004 Installing : texlive-todonotes-9:svn49820-19.fc31.noarch 2157/3004 Installing : texlive-filemod-9:svn24042.1.2-19.fc31.noarch 2158/3004 Installing : texlive-xmpincl-9:svn15878.2.2-19.fc31.noarch 2159/3004 Installing : texlive-totpages-9:svn15878.2.00-19.fc31.noarc 2160/3004 Installing : texlive-metalogo-9:svn18611.0.12-19.fc31.noarc 2161/3004 Installing : texlive-datetime2-9:svn48236-19.fc31.noarch 2162/3004 Installing : texlive-seminar-9:svn34011.1.62-19.fc31.noarch 2163/3004 Installing : texlive-algorithm2e-9:svn44846-19.fc31.noarch 2164/3004 Installing : texlive-fmtcount-9:svn46159-19.fc31.noarch 2165/3004 Installing : texlive-datetime-9:svn36650.2.60-19.fc31.noarc 2166/3004 Installing : texlive-pdfcomment-9:svn49047-19.fc31.noarch 2167/3004 Installing : texlive-isodate-9:svn16613.2.28-19.fc31.noarch 2168/3004 Installing : texlive-kpfonts-9:svn29803.3.31-19.fc31.noarch 2169/3004 Installing : texlive-mnsymbol-9:svn18651.1.4-19.fc31.noarch 2170/3004 Installing : texlive-newtx-9:svn53549-19.fc31.noarch 2171/3004 Installing : texlive-pbox-9:svn24807.1.2-19.fc31.noarch 2172/3004 Installing : texlive-collection-basic-9:svn51558-19.fc31.no 2173/3004 Installing : texlive-opensans-9:svn51458-19.fc31.noarch 2174/3004 Installing : texlive-pst-slpe-9:svn24391.1.31-19.fc31.noarc 2175/3004 Installing : texlive-skeyval-9:svn30560.1.3-19.fc31.noarch 2176/3004 Installing : texlive-abntex2-9:svn49248-19.fc31.noarch 2177/3004 Installing : texlive-dox-9:svn46011-19.fc31.noarch 2178/3004 Installing : texlive-isomath-9:svn27654.0.6.1-19.fc31.noarc 2179/3004 Installing : texlive-linegoal-9:svn21523.2.9-19.fc31.noarch 2180/3004 Installing : texlive-morefloats-9:svn37927.1.0h-19.fc31.noa 2181/3004 Installing : texlive-nowidow-9:svn24066.1.0-19.fc31.noarch 2182/3004 Installing : texlive-quoting-9:svn32818.v0.1c-19.fc31.noarc 2183/3004 Installing : texlive-pgfplots-9:svn47373-19.fc31.noarch 2184/3004 Installing : texlive-svninfo-9:svn17554.0.7.4-19.fc31.noarc 2185/3004 Installing : texlive-breqn-9:svn43071-19.fc31.noarch 2186/3004 Installing : texlive-ebgaramond-9:svn51134-19.fc31.noarch 2187/3004 Installing : texlive-sourcecodepro-9:svn51163-19.fc31.noarc 2188/3004 Installing : texlive-makeshape-9:svn28973.2.1-19.fc31.noarc 2189/3004 Installing : texlive-ucs-9:svn35853.2.2-19.fc31.noarch 2190/3004 Installing : texlive-ae-9:svn15878.1.4-19.fc31.noarch 2191/3004 Installing : texlive-bguq-9:svn27401.0.4-19.fc31.noarch 2192/3004 Installing : texlive-coolstr-9:svn15878.2.2-19.fc31.noarch 2193/3004 Installing : texlive-coollist-9:svn15878.1.4-19.fc31.noarch 2194/3004 Installing : texlive-tocvsec2-9:svn33146.1.3a-19.fc31.noarc 2195/3004 Installing : texlive-upquote-9:svn26059.v1.3-19.fc31.noarch 2196/3004 Installing : texlive-fvextra-9:svn49947-19.fc31.noarch 2197/3004 Installing : texlive-minted-9:svn44855-19.fc31.noarch 2198/3004 Installing : texlive-hep-9:svn15878.1.0-19.fc31.noarch 2199/3004 Installing : texlive-sidenotes-9:svn40658-19.fc31.noarch 2200/3004 Installing : texlive-tabu-9:svn49707-19.fc31.noarch 2201/3004 Installing : texlive-skmath-9:svn49550-19.fc31.noarch 2202/3004 Installing : texlive-pdfx-9:svn50338-19.fc31.noarch 2203/3004 Installing : texlive-ltxtools-9:svn24897.0.0.1a-19.fc31.noa 2204/3004 Installing : texlive-tkz-euclide-9:svn22830.1.16c-19.fc31.n 2205/3004 Installing : texlive-xytree-9:svn15878.1.5-19.fc31.noarch 2206/3004 Installing : texlive-droid-9:svn51468-19.fc31.noarch 2207/3004 Installing : texlive-circuitikz-9:svn51634-19.fc31.noarch 2208/3004 Installing : texlive-chemformula-9:svn43583-19.fc31.noarch 2209/3004 Installing : texlive-fnumprint-9:svn29173.1.1a-19.fc31.noar 2210/3004 Installing : texlive-ocg-p-9:svn28803.0.4-19.fc31.noarch 2211/3004 Installing : texlive-menukeys-9:svn41823-19.fc31.noarch 2212/3004 Installing : texlive-newenviron-9:svn29331.1.0-19.fc31.noar 2213/3004 Installing : texlive-gincltex-9:svn23835.0.3-19.fc31.noarch 2214/3004 Installing : texlive-standalone-9:svn47136-19.fc31.noarch 2215/3004 Installing : texlive-idxcmds-9:svn38115.0.2c-19.fc31.noarch 2216/3004 Installing : texlive-ocgx2-9:svn51552-19.fc31.noarch 2217/3004 Installing : texlive-media9-9:svn51619-19.fc31.noarch 2218/3004 Installing : texlive-bidi-9:svn51377-19.fc31.noarch 2219/3004 Installing : texlive-polyglossia-9:svn50787-19.fc31.noarch 2220/3004 Installing : biber-2.12-1.fc31.noarch 2221/3004 Installing : texlive-biblatex-9:svn49069-19.fc31.noarch 2222/3004 Installing : texlive-biblatex-dw-9:svn42649-19.fc31.noarch 2223/3004 Installing : texlive-assoccnt-9:svn38497-19.fc31.noarch 2224/3004 Installing : texlive-classicthesis-9:svn48041-19.fc31.noarc 2225/3004 Installing : texlive-ctable-9:svn38672-19.fc31.noarch 2226/3004 Installing : texlive-ed-9:svn25231.1.8-19.fc31.noarch 2227/3004 Installing : texlive-pagecolor-9:svn44487-19.fc31.noarch 2228/3004 Installing : texlive-ydoc-9:svn26202.0.6alpha-19.fc31.noarc 2229/3004 Installing : texlive-cjk-9:svn36951.4.8.4-19.fc31.noarch 2230/3004 Installing : texlive-doctools-9:svn34474.0.1-19.fc31.noarch 2231/3004 Installing : texlive-fink-9:svn24329.2.2.1-19.fc31.noarch 2232/3004 Installing : texlive-hardwrap-9:svn21396.0.2-19.fc31.noarch 2233/3004 Installing : texlive-hyperxmp-9:svn50812-19.fc31.noarch 2234/3004 Installing : texlive-interfaces-9:svn21474.3.1-19.fc31.noar 2235/3004 Installing : texlive-struktex-9:svn47931-19.fc31.noarch 2236/3004 Installing : texlive-svn-multi-7:20190410-8.fc31.noarch 2237/3004 Installing : texlive-rcsinfo-9:svn15878.1.11-19.fc31.noarch 2238/3004 Installing : texlive-kantlipsum-9:svn51727-19.fc31.noarch 2239/3004 Installing : texlive-mdframed-9:svn31075.1.9b-19.fc31.noarc 2240/3004 Installing : texlive-thmtools-9:svn51790-19.fc31.noarch 2241/3004 Installing : texlive-withargs-9:svn42756-19.fc31.noarch 2242/3004 Installing : texlive-lt3graph-9:svn45913-19.fc31.noarch 2243/3004 Installing : texlive-fira-9:svn51328-19.fc31.noarch 2244/3004 Installing : texlive-background-9:svn42428-19.fc31.noarch 2245/3004 Installing : texlive-chemfig-9:svn51176-19.fc31.noarch 2246/3004 Installing : texlive-efbox-9:svn33236.1.0-19.fc31.noarch 2247/3004 Installing : texlive-fancytabs-9:svn27684.1.8-19.fc31.noarc 2248/3004 Installing : texlive-pgf-umlcd-9:svn33307.0.2.1.1-19.fc31.n 2249/3004 Installing : texlive-pgf-umlsd-9:svn33045.0.7-19.fc31.noarc 2250/3004 Installing : texlive-superiors-9:svn36422.1.05-19.fc31.noar 2251/3004 Installing : texlive-fnpct-9:svn50046-19.fc31.noarch 2252/3004 Installing : texlive-translations-9:svn45189-19.fc31.noarch 2253/3004 Installing : texlive-cnltx-9:svn38138.0.13-19.fc31.noarch 2254/3004 Installing : texlive-cntformats-9:svn34668.0.7-19.fc31.noar 2255/3004 Installing : texlive-tasks-9:svn41851-19.fc31.noarch 2256/3004 Installing : texlive-elements-9:svn46505-19.fc31.noarch 2257/3004 Installing : texlive-ghsystem-9:svn41714-19.fc31.noarch 2258/3004 Installing : texlive-chemmacros-9:svn45164-19.fc31.noarch 2259/3004 Installing : texlive-tikzpagenodes-9:svn27723.1.1-19.fc31.n 2260/3004 Installing : texlive-tkz-graph-9:svn22832.1.00-19.fc31.noar 2261/3004 Installing : texlive-tkz-tab-9:svn49775-19.fc31.noarch 2262/3004 Installing : texlive-sansmathaccent-9:svn30187.0-19.fc31.no 2263/3004 Installing : texlive-beamer-9:svn51711-19.fc31.noarch 2264/3004 Installing : texlive-stex-9:svn50489-19.fc31.noarch 2265/3004 Installing : texlive-doi-9:svn15878.0-19.fc31.noarch 2266/3004 Installing : texlive-ltxmisc-9:svn21927.0-19.fc31.noarch 2267/3004 Installing : texlive-akktex-9:svn26055.0.3.2-19.fc31.noarch 2268/3004 Installing : texlive-algorithmicx-9:svn15878.0-19.fc31.noar 2269/3004 Installing : texlive-arev-9:svn15878.0-19.fc31.noarch 2270/3004 Installing : texlive-bibtopic-9:svn15878.1.1a-19.fc31.noarc 2271/3004 Installing : texlive-chessfss-9:svn19440.1.2a-19.fc31.noarc 2272/3004 Installing : texlive-cm-super-9:svn15878.0-19.fc31.noarch 2273/3004 Installing : texlive-collection-fontsrecommended-9:svn35830 2274/3004 Installing : texlive-cprotect-9:svn21209.1.0e-19.fc31.noarc 2275/3004 Installing : texlive-dashbox-9:svn23425.1.14-19.fc31.noarch 2276/3004 Installing : texlive-realboxes-9:svn23581.0.2-19.fc31.noarc 2277/3004 Installing : texlive-fancylabel-9:svn46736-19.fc31.noarch 2278/3004 Installing : texlive-fifo-stack-9:svn33288.1.0-19.fc31.noar 2279/3004 Installing : texlive-fnbreak-9:svn25003.1.30-19.fc31.noarch 2280/3004 Installing : texlive-harvard-9:svn15878.2.0.5-19.fc31.noarc 2281/3004 Installing : texlive-hfoldsty-9:svn29349.1.15-19.fc31.noarc 2282/3004 Installing : texlive-ifthenx-9:svn25819.0.1a-19.fc31.noarch 2283/3004 Installing : texlive-inconsolata-9:svn51433-19.fc31.noarch 2284/3004 Installing : texlive-jurabib-9:svn15878.0.6-19.fc31.noarch 2285/3004 Installing : texlive-ly1-9:svn47848-19.fc31.noarch 2286/3004 Installing : texlive-mathdesign-9:svn31639.2.31-19.fc31.noa 2287/3004 Installing : texlive-mathcomp-9:svn15878.0.1f-19.fc31.noarc 2288/3004 Installing : texlive-modroman-9:svn29803.1-19.fc31.noarch 2289/3004 Installing : texlive-newtxtt-9:svn44510-19.fc31.noarch 2290/3004 Installing : texlive-pdfcprot-9:svn18735.1.7a-19.fc31.noarc 2291/3004 Installing : texlive-tpslifonts-9:svn42428-19.fc31.noarch 2292/3004 Installing : texlive-texpower-9:svn29349.0.2-19.fc31.noarch 2293/3004 Installing : texlive-xdoc-9:svn15878.prot2.5-19.fc31.noarch 2294/3004 Installing : texlive-luacode-9:svn25193.1.2a-19.fc31.noarch 2295/3004 Installing : texlive-achemso-9:svn50025-19.fc31.noarch 2296/3004 Installing : texlive-rsc-9:svn41923-19.fc31.noarch 2297/3004 Installing : texlive-luatextra-9:svn20747.1.0.1-19.fc31.noa 2298/3004 Installing : texlive-lua-check-hyphen-9:svn47527-19.fc31.no 2299/3004 Installing : texlive-luatodonotes-9:svn45454-19.fc31.noarch 2300/3004 Installing : texlive-tcldoc-9:svn22018.2.40-19.fc31.noarch 2301/3004 Installing : texlive-ifmslide-9:svn20727.0.47-19.fc31.noarc 2302/3004 Installing : texlive-bgteubner-9:svn44205-19.fc31.noarch 2303/3004 Installing : texlive-ticollege-9:svn36306.1.0-19.fc31.noarc 2304/3004 Installing : texlive-resphilosophica-9:svn50935-19.fc31.noa 2305/3004 Installing : texlive-mentis-9:svn15878.1.5-19.fc31.noarch 2306/3004 Installing : texlive-acmart-9:svn51796-19.fc31.noarch 2307/3004 Installing : texlive-typeface-9:svn27046.0.1-19.fc31.noarch 2308/3004 Installing : texlive-adfathesis-9:svn26048.2.42-19.fc31.noa 2309/3004 Installing : texlive-bangorcsthesis-9:svn45059-19.fc31.noar 2310/3004 Installing : texlive-chemschemex-9:svn46723-19.fc31.noarch 2311/3004 Installing : texlive-cv4tw-9:svn34577.0.2-19.fc31.noarch 2312/3004 Installing : texlive-beameraudience-9:svn23427.0.1-19.fc31. 2313/3004 Installing : texlive-elbioimp-9:svn21758.1.2-19.fc31.noarch 2314/3004 Installing : texlive-skak-9:svn46259-19.fc31.noarch 2315/3004 Installing : texlive-texmate-9:svn15878.2-19.fc31.noarch 2316/3004 Installing : texlive-bibtopicprefix-9:svn15878.1.10-19.fc31 2317/3004 Installing : texlive-skrapport-9:svn49540-19.fc31.noarch 2318/3004 Installing : texlive-neuralnetwork-9:svn31500.1.0-19.fc31.n 2319/3004 Installing : texlive-uebungsblatt-9:svn15878.1.5.0-19.fc31. 2320/3004 Installing : texlive-verbasef-9:svn21922.1.1-19.fc31.noarch 2321/3004 Installing : texlive-cmpj-9:svn51661-19.fc31.noarch 2322/3004 Installing : texlive-proposal-9:svn40538-19.fc31.noarch 2323/3004 Installing : texlive-beamertheme-metropolis-9:svn43031-19.f 2324/3004 Installing : texlive-beamerthemenirma-9:svn20765.0.1-19.fc3 2325/3004 Installing : texlive-skb-9:svn22781.0.52-19.fc31.noarch 2326/3004 Installing : texlive-sesamanuel-9:svn36613.0.6-19.fc31.noar 2327/3004 Installing : texlive-tkz-berge-9:svn22891.1.00c-19.fc31.noa 2328/3004 Installing : texlive-gzt-9:svn47381-19.fc31.noarch 2329/3004 Installing : texlive-mychemistry-9:svn28611.1.99b-19.fc31.n 2330/3004 Installing : texlive-substances-9:svn40989-19.fc31.noarch 2331/3004 Installing : texlive-bohr-9:svn37657.1.0-19.fc31.noarch 2332/3004 Installing : texlive-exsheets-9:svn43188-19.fc31.noarch 2333/3004 Installing : texlive-acro-9:svn52662-19.fc31.noarch 2334/3004 Installing : texlive-chemnum-9:svn40522-19.fc31.noarch 2335/3004 Installing : texlive-enotez-9:svn44024-19.fc31.noarch 2336/3004 Installing : texlive-listlbls-9:svn34893.1.03-19.fc31.noarc 2337/3004 Installing : texlive-xsim-9:svn46634-19.fc31.noarch 2338/3004 Installing : texlive-schule-9:svn37277.0.6-19.fc31.noarch 2339/3004 Installing : texlive-scrjrnl-9:svn27810.0.1-19.fc31.noarch 2340/3004 Installing : texlive-pygmentex-7:20190410-8.fc31.noarch 2341/3004 Installing : texlive-medstarbeamer-9:svn38828-19.fc31.noarc 2342/3004 Installing : texlive-pkgloader-9:svn47486-19.fc31.noarch 2343/3004 Installing : texlive-denisbdoc-9:svn42829-19.fc31.noarch 2344/3004 Installing : texlive-fei-9:svn51287-19.fc31.noarch 2345/3004 Installing : texlive-upmethodology-9:svn51818-19.fc31.noarc 2346/3004 Installing : texlive-latexdemo-9:svn34481.0.1-19.fc31.noarc 2347/3004 Installing : texlive-mandi-9:svn49720-19.fc31.noarch 2348/3004 Installing : texlive-shdoc-9:svn41991-19.fc31.noarch 2349/3004 Installing : texlive-dateiliste-9:svn27974.0.6-19.fc31.noar 2350/3004 Installing : texlive-fcltxdoc-9:svn24500.1.0-19.fc31.noarch 2351/3004 Installing : texlive-uestcthesis-9:svn36371.1.1.0-19.fc31.n 2352/3004 Installing : texlive-nicefilelist-9:svn28527.0.7a-19.fc31.n 2353/3004 Installing : texlive-jmlr-9:svn44935-19.fc31.noarch 2354/3004 Installing : texlive-thuthesis-9:svn50932-19.fc31.noarch 2355/3004 Installing : texlive-skdoc-9:svn47526-19.fc31.noarch 2356/3004 Installing : texlive-thumbs-9:svn33134.1.0q-19.fc31.noarch 2357/3004 Installing : texlive-isodoc-9:svn47868-19.fc31.noarch 2358/3004 Installing : texlive-arsclassica-9:svn45656-19.fc31.noarch 2359/3004 Installing : texlive-cntperchap-9:svn37572.0.3-19.fc31.noar 2360/3004 Installing : texlive-biblatex-juradiss-9:svn29252.0.1g-19.f 2361/3004 Installing : texlive-uni-wtal-ger-9:svn31541.0.2-19.fc31.no 2362/3004 Installing : texlive-apa6-9:svn44652-19.fc31.noarch 2363/3004 Installing : texlive-biblatex-apa-9:svn47268-19.fc31.noarch 2364/3004 Installing : texlive-biblatex-bwl-9:svn26556.0.02-19.fc31.n 2365/3004 Installing : texlive-biblatex-caspervector-9:svn48122-19.fc 2366/3004 Installing : texlive-biblatex-chem-9:svn46441-19.fc31.noarc 2367/3004 Installing : texlive-biblatex-chicago-9:svn46331-19.fc31.no 2368/3004 Installing : texlive-biblatex-fiwi-9:svn45876-19.fc31.noarc 2369/3004 Installing : texlive-biblatex-gost-9:svn46709-19.fc31.noarc 2370/3004 Installing : texlive-biblatex-historian-9:svn19787.0.4-19.f 2371/3004 Installing : texlive-biblatex-ieee-9:svn51402-19.fc31.noarc 2372/3004 Installing : texlive-biblatex-luh-ipw-9:svn32180.0.3-19.fc3 2373/3004 Installing : texlive-biblatex-manuscripts-philology-9:svn45 2374/3004 Installing : texlive-biblatex-mla-9:svn42445-19.fc31.noarch 2375/3004 Installing : texlive-biblatex-musuos-9:svn24097.1.0-19.fc31 2376/3004 Installing : texlive-biblatex-nature-9:svn43382-19.fc31.noa 2377/3004 Installing : texlive-biblatex-nejm-9:svn49839-19.fc31.noarc 2378/3004 Installing : texlive-biblatex-philosophy-9:svn47283-19.fc31 2379/3004 Installing : texlive-biblatex-phys-9:svn41922-19.fc31.noarc 2380/3004 Installing : texlive-biblatex-publist-9:svn50989-19.fc31.no 2381/3004 Installing : texlive-biblatex-realauthor-9:svn45865-19.fc31 2382/3004 Installing : texlive-biblatex-science-9:svn42147-19.fc31.no 2383/3004 Installing : texlive-biblatex-subseries-9:svn43330-19.fc31. 2384/3004 Installing : texlive-biblatex-trad-9:svn46668-19.fc31.noarc 2385/3004 Installing : texlive-cleanthesis-9:svn51472-19.fc31.noarch 2386/3004 Installing : texlive-gcite-9:svn15878.1.0.1-19.fc31.noarch 2387/3004 Installing : texlive-geschichtsfrkl-9:svn42121-19.fc31.noar 2388/3004 Installing : texlive-oscola-9:svn49772-19.fc31.noarch 2389/3004 Installing : texlive-savetrees-9:svn40525-19.fc31.noarch 2390/3004 Installing : texlive-semproc-9:svn37568.0.1-19.fc31.noarch 2391/3004 Installing : texlive-uni-wtal-lin-9:svn31409.0.2-19.fc31.no 2392/3004 Installing : texlive-br-lex-9:svn44939-19.fc31.noarch 2393/3004 Installing : texlive-toptesi-9:svn51743-19.fc31.noarch 2394/3004 Installing : texlive-wsemclassic-9:svn31532.1.0.1-19.fc31.n 2395/3004 Installing : texlive-crbox-9:svn29803.0.1-19.fc31.noarch 2396/3004 Installing : texlive-ocgx-9:svn28492.0.5-19.fc31.noarch 2397/3004 Installing : texlive-calcage-9:svn27725.0.90-19.fc31.noarch 2398/3004 Installing : texlive-storecmd-9:svn24431.0.0.2-19.fc31.noar 2399/3004 Installing : texlive-europasscv-9:svn49703-19.fc31.noarch 2400/3004 Installing : texlive-mnotes-9:svn35521.0.8-19.fc31.noarch 2401/3004 Installing : texlive-hepthesis-9:svn46054-19.fc31.noarch 2402/3004 Installing : texlive-nostarch-9:svn15878.1.3-19.fc31.noarch 2403/3004 Installing : texlive-yathesis-9:svn50630-19.fc31.noarch 2404/3004 Installing : texlive-cool-9:svn15878.1.35-19.fc31.noarch 2405/3004 Installing : texlive-dyntree-9:svn15878.1.0-19.fc31.noarch 2406/3004 Installing : texlive-frege-9:svn27417.1.3-19.fc31.noarch 2407/3004 Installing : texlive-grundgesetze-9:svn34439.1.02-19.fc31.n 2408/3004 Installing : texlive-aeguill-9:svn15878.0-19.fc31.noarch 2409/3004 Installing : texlive-latex-make-9:svn47869-19.fc31.noarch 2410/3004 Installing : texlive-europecv-9:svn50470-19.fc31.noarch 2411/3004 Installing : texlive-flowchart-9:svn36572.3.3-19.fc31.noarc 2412/3004 Installing : texlive-tikz-opm-9:svn32769.0.1.1-19.fc31.noar 2413/3004 Installing : texlive-ebgaramond-maths-9:svn35701.1.1-19.fc3 2414/3004 Installing : texlive-moderncv-9:svn37992.2.0.0-19.fc31.noar 2415/3004 Installing : texlive-perfectcut-9:svn51744-19.fc31.noarch 2416/3004 Installing : texlive-rmathbr-9:svn40415-19.fc31.noarch 2417/3004 Installing : texlive-tikz-dimline-9:svn35805.1.0-19.fc31.no 2418/3004 Installing : texlive-tudscr-9:svn51675-19.fc31.noarch 2419/3004 Installing : texlive-turabian-formatting-9:svn48330-19.fc31 2420/3004 Installing : texlive-nlctdoc-9:svn44353-19.fc31.noarch 2421/3004 Installing : texlive-fcavtex-9:svn38074.1.1-19.fc31.noarch 2422/3004 Installing : texlive-loops-9:svn30704.1.3-19.fc31.noarch 2423/3004 Installing : texlive-paresse-9:svn29803.4.1-19.fc31.noarch 2424/3004 Installing : texlive-HA-prosper-9:svn15878.4.21-19.fc31.noa 2425/3004 Installing : texlive-prosper-9:svn33033.1.0h-19.fc31.noarch 2426/3004 Installing : texlive-cryptocode-9:svn49131-19.fc31.noarch 2427/3004 Installing : texlive-factura-9:svn48333-19.fc31.noarch 2428/3004 Installing : texlive-IEEEtran-9:svn51065-19.fc31.noarch 2429/3004 Installing : texlive-asmeconf-9:svn51583-19.fc31.noarch 2430/3004 Installing : texlive-mathspec-9:svn42773-19.fc31.noarch 2431/3004 Installing : texlive-tui-9:svn27253.1.9-19.fc31.noarch 2432/3004 Installing : texlive-dataref-9:svn42883-19.fc31.noarch 2433/3004 Installing : texlive-fixme-9:svn49591-19.fc31.noarch 2434/3004 Installing : texlive-jslectureplanner-9:svn49963-19.fc31.no 2435/3004 Installing : texlive-papertex-9:svn19230.1.2b-19.fc31.noarc 2436/3004 Installing : texlive-recipebook-9:svn37026.0-19.fc31.noarch 2437/3004 Installing : texlive-datetime2-en-fulltext-9:svn36705.1.0-1 2438/3004 Installing : texlive-moreenum-9:svn24479.1.03-19.fc31.noarc 2439/3004 Installing : texlive-sphdthesis-9:svn34374.1.0-19.fc31.noar 2440/3004 Installing : texlive-datetime2-it-fulltext-9:svn38093.1.6-1 2441/3004 Installing : texlive-mensa-tex-9:svn45997-19.fc31.noarch 2442/3004 Installing : texlive-pstool-9:svn46393-19.fc31.noarch 2443/3004 Installing : texlive-easyreview-9:svn38352.1.0-19.fc31.noar 2444/3004 Installing : texlive-uothesis-9:svn25355.2.5.6-19.fc31.noar 2445/3004 Installing : texlive-concepts-9:svn29020.0.0.5_r1-19.fc31.n 2446/3004 Installing : texlive-xwatermark-9:svn28090.1.5.2d-19.fc31.n 2447/3004 Installing : texlive-tkz-fct-9:svn22831.1.16c-19.fc31.noarc 2448/3004 Installing : texlive-fouriernc-9:svn29646.0-19.fc31.noarch 2449/3004 Installing : texlive-tkz-doc-9:svn22959.1.1c-19.fc31.noarch 2450/3004 Installing : texlive-dtk-9:svn50789-19.fc31.noarch 2451/3004 Installing : texlive-chemexec-9:svn21632.1.0-19.fc31.noarch 2452/3004 Installing : texlive-modiagram-9:svn38448-19.fc31.noarch 2453/3004 Installing : texlive-qcircuit-9:svn48400-19.fc31.noarch 2454/3004 Installing : texlive-qsymbols-9:svn15878.0-19.fc31.noarch 2455/3004 Installing : texlive-xyling-9:svn15878.1.1-19.fc31.noarch 2456/3004 Installing : texlive-sdrt-9:svn15878.1.0-19.fc31.noarch 2457/3004 Installing : texlive-papermas-9:svn23667.1.0h-19.fc31.noarc 2458/3004 Installing : texlive-turnthepage-9:svn29803.1.3a-19.fc31.no 2459/3004 Installing : texlive-coolthms-9:svn29062.1.2-19.fc31.noarch 2460/3004 Installing : texlive-cantarell-9:svn51459-19.fc31.noarch 2461/3004 Installing : texlive-comfortaa-9:svn51461-19.fc31.noarch 2462/3004 Installing : texlive-lato-9:svn51462-19.fc31.noarch 2463/3004 Installing : texlive-enumitem-zref-9:svn21472.1.8-19.fc31.n 2464/3004 Installing : texlive-mcmthesis-9:svn49825-19.fc31.noarch 2465/3004 Installing : texlive-sduthesis-9:svn41401-19.fc31.noarch 2466/3004 Installing : texlive-semantic-9:svn15878.2.0-19.fc31.noarch 2467/3004 Installing : texlive-genealogytree-9:svn50872-19.fc31.noarc 2468/3004 Installing : texlive-jumplines-9:svn37553.0.2-19.fc31.noarc 2469/3004 Installing : texlive-autonum-9:svn36084.0.3.11-19.fc31.noar 2470/3004 Installing : texlive-changelayout-9:svn16094.1.0-19.fc31.no 2471/3004 Installing : texlive-getfiledate-9:svn16189.1.2-19.fc31.noa 2472/3004 Installing : texlive-ribbonproofs-9:svn31137.1.0-19.fc31.no 2473/3004 Installing : texlive-ryethesis-9:svn33945.1.36-19.fc31.noar 2474/3004 Installing : texlive-grid-system-9:svn32981.0.3.0-19.fc31.n 2475/3004 Installing : texlive-rjlparshap-9:svn15878.1.0-19.fc31.noar 2476/3004 Installing : texlive-chemstyle-9:svn31096.2.0m-19.fc31.noar 2477/3004 Installing : texlive-endiagram-9:svn34486.0.1d-19.fc31.noar 2478/3004 Installing : texlive-tikz-palattice-9:svn43442-19.fc31.noar 2479/3004 Installing : texlive-bewerbung-9:svn37880.1.1-19.fc31.noarc 2480/3004 Installing : texlive-confproc-9:svn29349.0.8-19.fc31.noarch 2481/3004 Installing : texlive-pdfoverlay-9:svn47657-19.fc31.noarch 2482/3004 Installing : texlive-unswcover-9:svn29476.1.0-19.fc31.noarc 2483/3004 Installing : texlive-cuisine-9:svn34453.0.7-19.fc31.noarch 2484/3004 Installing : texlive-unitsdef-9:svn15878.0.2-19.fc31.noarch 2485/3004 Installing : texlive-xcookybooky-9:svn36435.1.5-19.fc31.noa 2486/3004 Installing : texlive-calctab-9:svn15878.v0.6.1-19.fc31.noar 2487/3004 Installing : texlive-tabularcalc-9:svn15878.0.2-19.fc31.noa 2488/3004 Installing : texlive-ulthese-9:svn51038-19.fc31.noarch 2489/3004 Installing : texlive-baskervald-9:svn19490.1.016-19.fc31.no 2490/3004 Installing : texlive-berenisadf-9:svn32215.1.004-19.fc31.no 2491/3004 Installing : texlive-cfr-lm-9:svn36195.1.5-19.fc31.noarch 2492/3004 Installing : texlive-electrum-9:svn19705.1.005_b-19.fc31.no 2493/3004 Installing : texlive-libris-9:svn19409.1.007-19.fc31.noarch 2494/3004 Installing : texlive-romande-9:svn19537.1.008_v7_sc-19.fc31 2495/3004 Installing : texlive-venturisadf-9:svn19444.1.005-19.fc31.n 2496/3004 Installing : texlive-bankstatement-9:svn38857-19.fc31.noarc 2497/3004 Installing : texlive-properties-9:svn15878.0.2-19.fc31.noar 2498/3004 Installing : texlive-keyval2e-9:svn23698.0.0.2-19.fc31.noar 2499/3004 Installing : texlive-skeycommand-9:svn24652.0.4-19.fc31.noa 2500/3004 Installing : texlive-easyfig-9:svn47193-19.fc31.noarch 2501/3004 Installing : texlive-bookcover-9:svn46410-19.fc31.noarch 2502/3004 Installing : texlive-gcard-9:svn15878.0-19.fc31.noarch 2503/3004 Installing : texlive-imtekda-9:svn17667.1.7-19.fc31.noarch 2504/3004 Installing : texlive-stubs-9:svn19440.0.1.1-19.fc31.noarch 2505/3004 Installing : texlive-forest-9:svn44797-19.fc31.noarch 2506/3004 Installing : texlive-snotez-9:svn30355.0.3-19.fc31.noarch 2507/3004 Installing : texlive-stealcaps-9:svn46434-19.fc31.noarch 2508/3004 Installing : texlive-tikz-timing-9:svn46111-19.fc31.noarch 2509/3004 Installing : texlive-ytableau-9:svn27430.1.3-19.fc31.noarch 2510/3004 Installing : texlive-biblatex-opcit-booktitle-9:svn43621-19 2511/3004 Installing : texlive-biblatex-source-division-9:svn45379-19 2512/3004 Installing : texlive-indextools-9:svn38931-19.fc31.noarch 2513/3004 Installing : texlive-copyedit-9:svn37928.1.6-19.fc31.noarch 2514/3004 Installing : texlive-regexpatch-9:svn47601-19.fc31.noarch 2515/3004 Installing : texlive-acroterm-9:svn20498.0.1-19.fc31.noarch 2516/3004 Installing : texlive-changes-9:svn51705-19.fc31.noarch 2517/3004 Installing : texlive-dithesis-9:svn34295.0.2-19.fc31.noarch 2518/3004 Installing : texlive-doclicense-9:svn51332-19.fc31.noarch 2519/3004 Installing : texlive-rec-thy-9:svn50047-19.fc31.noarch 2520/3004 Installing : texlive-romanbarpagenumber-9:svn36236.1.0-19.f 2521/3004 Installing : texlive-tablefootnote-9:svn32804.1.1c-19.fc31. 2522/3004 Installing : texlive-tufte-latex-9:svn37649.3.5.2-19.fc31.n 2523/3004 Installing : texlive-yagusylo-9:svn29803.1.2-19.fc31.noarch 2524/3004 Installing : texlive-apxproof-9:svn49865-19.fc31.noarch 2525/3004 Installing : texlive-bhcexam-9:svn39041-19.fc31.noarch 2526/3004 Installing : texlive-ebsthesis-9:svn15878.1.0-19.fc31.noarc 2527/3004 Installing : texlive-ejpecp-9:svn50761-19.fc31.noarch 2528/3004 Installing : texlive-gaceta-9:svn15878.1.06-19.fc31.noarch 2529/3004 Installing : texlive-matc3mem-9:svn35773.1.1-19.fc31.noarch 2530/3004 Installing : texlive-pbsheet-9:svn24830.0.1-19.fc31.noarch 2531/3004 Installing : texlive-tabriz-thesis-9:svn51729-19.fc31.noarc 2532/3004 Installing : texlive-uaclasses-9:svn15878.0-19.fc31.noarch 2533/3004 Installing : texlive-bardiag-9:svn22013.0.4a-19.fc31.noarch 2534/3004 Installing : texlive-colortab-9:svn22155.1.0-19.fc31.noarch 2535/3004 Installing : texlive-jlabels-9:svn24858.0-19.fc31.noarch 2536/3004 Installing : texlive-lsc-9:svn15878.0-19.fc31.noarch 2537/3004 Installing : texlive-msc-9:svn15878.1.16-19.fc31.noarch 2538/3004 Installing : texlive-numericplots-9:svn31729.2.0.2-19.fc31. 2539/3004 Installing : texlive-ppr-prv-9:svn15878.0.13c-19.fc31.noarc 2540/3004 Installing : texlive-pst-blur-9:svn15878.2.0-19.fc31.noarch 2541/3004 Installing : texlive-powerdot-9:svn45165-19.fc31.noarch 2542/3004 Installing : texlive-sfg-9:svn20209.0.91-19.fc31.noarch 2543/3004 Installing : texlive-swimgraf-9:svn25446.0-19.fc31.noarch 2544/3004 Installing : texlive-synproof-9:svn15878.1.0-19.fc31.noarch 2545/3004 Installing : texlive-tableaux-9:svn42413-19.fc31.noarch 2546/3004 Installing : texlive-xymtex-9:svn32182.5.06-19.fc31.noarch 2547/3004 Installing : texlive-randbild-9:svn15878.0.2-19.fc31.noarch 2548/3004 Installing : texlive-asypictureb-9:svn33490.0.3-19.fc31.noa 2549/3004 Installing : texlive-engpron-9:svn16558.2-19.fc31.noarch 2550/3004 Installing : texlive-exsol-9:svn41377-19.fc31.noarch 2551/3004 Installing : texlive-tablor-9:svn31855.4.07_g-19.fc31.noarc 2552/3004 Installing : texlive-texments-9:svn15878.0.2.0-19.fc31.noar 2553/3004 Installing : texlive-verbments-9:svn23670.1.2-19.fc31.noarc 2554/3004 Installing : texlive-alertmessage-9:svn38055.1.1-19.fc31.no 2555/3004 Installing : texlive-algobox-9:svn45223-19.fc31.noarch 2556/3004 Installing : texlive-bashful-9:svn25597.0.93-19.fc31.noarch 2557/3004 Installing : texlive-cellprops-9:svn48227-19.fc31.noarch 2558/3004 Installing : texlive-cloze-9:svn41531-19.fc31.noarch 2559/3004 Installing : texlive-colorspace-9:svn50585-19.fc31.noarch 2560/3004 Installing : texlive-computational-complexity-9:svn44847-19 2561/3004 Installing : texlive-ecv-9:svn24928.0.3-19.fc31.noarch 2562/3004 Installing : texlive-fancypar-9:svn49801-19.fc31.noarch 2563/3004 Installing : texlive-guitlogo-9:svn51582-19.fc31.noarch 2564/3004 Installing : texlive-hrefhide-9:svn22255.1.0f-19.fc31.noarc 2565/3004 Installing : texlive-hypdvips-9:svn51798-19.fc31.noarch 2566/3004 Installing : texlive-knittingpattern-9:svn17205.0-19.fc31.n 2567/3004 Installing : texlive-koma-moderncvclassic-9:svn25025.v0.5-1 2568/3004 Installing : texlive-komacv-9:svn43902-19.fc31.noarch 2569/3004 Installing : texlive-lstaddons-9:svn26196.0.1-19.fc31.noarc 2570/3004 Installing : texlive-matlab-prettifier-9:svn34323.0.3-19.fc 2571/3004 Installing : texlive-mycv-9:svn26807.1.5.6-19.fc31.noarch 2572/3004 Installing : texlive-oplotsymbl-9:svn44951-19.fc31.noarch 2573/3004 Installing : texlive-overlays-9:svn46122-19.fc31.noarch 2574/3004 Installing : texlive-pgfmolbio-9:svn35152.0.21-19.fc31.noar 2575/3004 Installing : texlive-prerex-9:svn45940-19.fc31.noarch 2576/3004 Installing : texlive-qrcode-9:svn36065.1.51-19.fc31.noarch 2577/3004 Installing : texlive-sasnrdisplay-9:svn45963-19.fc31.noarch 2578/3004 Installing : texlive-scanpages-9:svn42633-19.fc31.noarch 2579/3004 Installing : texlive-sclang-prettifier-9:svn35087.0.1-19.fc 2580/3004 Installing : texlive-setdeck-9:svn40613-19.fc31.noarch 2581/3004 Installing : texlive-soton-9:svn16215.0.1-19.fc31.noarch 2582/3004 Installing : texlive-svg-9:svn49148-19.fc31.noarch 2583/3004 Installing : texlive-tabfigures-9:svn25202.1.1-19.fc31.noar 2584/3004 Installing : texlive-tablestyles-9:svn34495.0-19.fc31.noarc 2585/3004 Installing : texlive-tdclock-9:svn33043.v2.5-19.fc31.noarch 2586/3004 Installing : texlive-tikzsymbols-9:svn49975-19.fc31.noarch 2587/3004 Installing : texlive-urcls-9:svn49903-19.fc31.noarch 2588/3004 Installing : texlive-xcolor-solarized-9:svn41809-19.fc31.no 2589/3004 Installing : texlive-xhfill-9:svn22575.1.01-19.fc31.noarch 2590/3004 Installing : texlive-xpicture-9:svn28770.1.2a-19.fc31.noarc 2591/3004 Installing : texlive-animate-9:svn51704-19.fc31.noarch 2592/3004 Installing : texlive-anonymouspro-9:svn51631-19.fc31.noarch 2593/3004 Installing : texlive-aomart-9:svn46091-19.fc31.noarch 2594/3004 Installing : texlive-arraysort-9:svn31576.1.0-19.fc31.noarc 2595/3004 Installing : texlive-asyfig-9:svn17512.0.1c-19.fc31.noarch 2596/3004 Installing : texlive-autopdf-9:svn32377.1.1-19.fc31.noarch 2597/3004 Installing : texlive-avremu-9:svn35373.0.1-19.fc31.noarch 2598/3004 Installing : texlive-biblatex-multiple-dm-9:svn37081.1.0.1- 2599/3004 Installing : texlive-bnumexpr-9:svn49643-19.fc31.noarch 2600/3004 Installing : texlive-bondgraphs-9:svn36605.1.0.1-19.fc31.no 2601/3004 Installing : texlive-bxpdfver-9:svn43201-19.fc31.noarch 2602/3004 Installing : texlive-catchfilebetweentags-9:svn21476.1.1-19 2603/3004 Installing : texlive-chet-9:svn45081-19.fc31.noarch 2604/3004 Installing : texlive-chkfloat-9:svn27473.0.1-19.fc31.noarch 2605/3004 Installing : texlive-cooltooltips-9:svn15878.1.0-19.fc31.no 2606/3004 Installing : texlive-counttexruns-9:svn27576.1.00a-19.fc31. 2607/3004 Installing : texlive-dirtytalk-9:svn20520.1.0-19.fc31.noarc 2608/3004 Installing : texlive-download-9:svn30695.1.1-19.fc31.noarch 2609/3004 Installing : texlive-dozenal-9:svn47680-19.fc31.noarch 2610/3004 Installing : texlive-dvdcoll-9:svn15878.v1.1a-19.fc31.noarc 2611/3004 Installing : texlive-ean13isbn-9:svn15878.0-19.fc31.noarch 2612/3004 Installing : texlive-easy-todo-9:svn32677.0-19.fc31.noarch 2613/3004 Installing : texlive-embedall-9:svn51177-19.fc31.noarch 2614/3004 Installing : texlive-epspdfconversion-9:svn18703.0.61-19.fc 2615/3004 Installing : texlive-esk-9:svn18115.1.0-19.fc31.noarch 2616/3004 Installing : texlive-exam-n-9:svn42755-19.fc31.noarch 2617/3004 Installing : texlive-fancytooltips-9:svn27129.1.8-19.fc31.n 2618/3004 Installing : texlive-fixmetodonotes-9:svn30168.0.2.2-19.fc3 2619/3004 Installing : texlive-footnotebackref-9:svn27034.1.0-19.fc31 2620/3004 Installing : texlive-footnoterange-9:svn25430.1.0a-19.fc31. 2621/3004 Installing : texlive-fullwidth-9:svn24684.0.1-19.fc31.noarc 2622/3004 Installing : texlive-getmap-7:20190410-8.fc31.noarch 2623/3004 Installing : texlive-gitinfo-9:svn34049.1.0-19.fc31.noarch 2624/3004 Installing : texlive-gitinfo2-9:svn38913-19.fc31.noarch 2625/3004 Installing : texlive-gmp-9:svn21691.1.0-19.fc31.noarch 2626/3004 Installing : texlive-drm-9:svn38157.4.4-19.fc31.noarch 2627/3004 Installing : texlive-handout-9:svn43962-19.fc31.noarch 2628/3004 Installing : texlive-idxlayout-9:svn25821.0.4d-19.fc31.noar 2629/3004 Installing : texlive-ijmart-9:svn30958.1.7-19.fc31.noarch 2630/3004 Installing : texlive-incgraph-9:svn36500.1.12-19.fc31.noarc 2631/3004 Installing : texlive-keycommand-9:svn18042.3.1415-19.fc31.n 2632/3004 Installing : texlive-keyreader-9:svn28195.0.5b-19.fc31.noar 2633/3004 Installing : texlive-ltxdockit-9:svn21869.1.2d-19.fc31.noar 2634/3004 Installing : texlive-makebarcode-9:svn15878.1.0-19.fc31.noa 2635/3004 Installing : texlive-mmap-9:svn15878.1.03-19.fc31.noarch 2636/3004 Installing : texlive-moderntimeline-9:svn50228-19.fc31.noar 2637/3004 Installing : texlive-modref-9:svn15878.1.0-19.fc31.noarch 2638/3004 Installing : texlive-msu-thesis-9:svn46106-19.fc31.noarch 2639/3004 Installing : texlive-musuos-9:svn24857.1.1d-19.fc31.noarch 2640/3004 Installing : texlive-nddiss-9:svn45107-19.fc31.noarch 2641/3004 Installing : texlive-newlfm-9:svn15878.9.4-19.fc31.noarch 2642/3004 Installing : texlive-pax-7:20190410-8.fc31.noarch 2643/3004 Installing : texlive-pgfkeyx-9:svn26093.0.0.1-19.fc31.noarc 2644/3004 Installing : texlive-philosophersimprint-9:svn41788-19.fc31 2645/3004 Installing : texlive-pressrelease-9:svn35147.1.0-19.fc31.no 2646/3004 Installing : texlive-progressbar-9:svn33822.v1.0b_4-19.fc31 2647/3004 Installing : texlive-reflectgraphics-9:svn40612-19.fc31.noa 2648/3004 Installing : texlive-regstats-9:svn25050.1.0h-19.fc31.noarc 2649/3004 Installing : texlive-repltext-9:svn33442.1.0-19.fc31.noarch 2650/3004 Installing : texlive-seealso-9:svn43595-19.fc31.noarch 2651/3004 Installing : texlive-stellenbosch-9:svn36696.11a-19.fc31.no 2652/3004 Installing : texlive-storebox-9:svn24895.1.3a-19.fc31.noarc 2653/3004 Installing : texlive-sympytexpackage-9:svn45818-19.fc31.noa 2654/3004 Installing : texlive-t-angles-9:svn15878.0-19.fc31.noarch 2655/3004 Installing : texlive-templatetools-9:svn34495.0-19.fc31.noa 2656/3004 Installing : texlive-threadcol-9:svn28754.1.0-19.fc31.noarc 2657/3004 Installing : texlive-tikzpfeile-9:svn25777.1.0-19.fc31.noar 2658/3004 Installing : texlive-tikzscale-9:svn30637.0.2.6-19.fc31.noa 2659/3004 Installing : texlive-uri-9:svn21608.1.0a-19.fc31.noarch 2660/3004 Installing : texlive-zwpagelayout-9:svn28846.1.4d-19.fc31.n 2661/3004 Installing : texlive-vdmlisting-9:svn29944.1.0-19.fc31.noar 2662/3004 Installing : texlive-blowup-9:svn46213-19.fc31.noarch 2663/3004 Installing : texlive-hc-9:svn15878.0-19.fc31.noarch 2664/3004 Installing : texlive-sr-vorl-9:svn39529-19.fc31.noarch 2665/3004 Installing : texlive-stdpage-9:svn15878.0.6-19.fc31.noarch 2666/3004 Installing : texlive-volumes-9:svn15878.1.0-19.fc31.noarch 2667/3004 Installing : texlive-apa6e-9:svn23350.0.3-19.fc31.noarch 2668/3004 Installing : texlive-bookest-9:svn15878.1.1-19.fc31.noarch 2669/3004 Installing : texlive-dlfltxb-9:svn17337.0-19.fc31.noarch 2670/3004 Installing : texlive-erdc-9:svn15878.1.1-19.fc31.noarch 2671/3004 Installing : texlive-hpsdiss-9:svn15878.1.0-19.fc31.noarch 2672/3004 Installing : texlive-leaflet-9:svn43523-19.fc31.noarch 2673/3004 Installing : texlive-manuscript-9:svn36110.1.7-19.fc31.noar 2674/3004 Installing : texlive-powerdot-FUBerlin-9:svn15878.0.01-19.f 2675/3004 Installing : texlive-sidecap-9:svn15878.1.6f-19.fc31.noarch 2676/3004 Installing : texlive-vwcol-9:svn36254.0.2-19.fc31.noarch 2677/3004 Installing : texlive-GS1-9:svn44822-19.fc31.noarch 2678/3004 Installing : texlive-citeall-9:svn45975-19.fc31.noarch 2679/3004 Installing : texlive-classics-9:svn29018.0.1-19.fc31.noarch 2680/3004 Installing : texlive-conteq-9:svn37868.0.1.1-19.fc31.noarch 2681/3004 Installing : texlive-diffcoeff-9:svn50320-19.fc31.noarch 2682/3004 Installing : texlive-embrac-9:svn44757-19.fc31.noarch 2683/3004 Installing : texlive-erw-l3-9:svn48069-19.fc31.noarch 2684/3004 Installing : texlive-gatherenum-9:svn48051-19.fc31.noarch 2685/3004 Installing : texlive-gtl-9:svn49527-19.fc31.noarch 2686/3004 Installing : texlive-unravel-9:svn50557-19.fc31.noarch 2687/3004 Installing : texlive-hobby-9:svn44474-19.fc31.noarch 2688/3004 Installing : texlive-hobete-9:svn27036.0-19.fc31.noarch 2689/3004 Installing : texlive-lengthconvert-9:svn30867.1.0a-19.fc31. 2690/3004 Installing : texlive-minibox-9:svn30914.0.2a-19.fc31.noarch 2691/3004 Installing : texlive-randomwalk-9:svn49513-19.fc31.noarch 2692/3004 Installing : texlive-spath3-9:svn50018-19.fc31.noarch 2693/3004 Installing : texlive-witharrows-9:svn51793-19.fc31.noarch 2694/3004 Installing : texlive-xcjk2uni-9:svn50848-19.fc31.noarch 2695/3004 Installing : texlive-pkuthss-9:svn48124-19.fc31.noarch 2696/3004 Installing : texlive-xpeek-9:svn27442.0.2-19.fc31.noarch 2697/3004 Installing : texlive-zhnumber-9:svn50850-19.fc31.noarch 2698/3004 Installing : texlive-ctex-9:svn51262-19.fc31.noarch 2699/3004 Installing : texlive-resumecls-9:svn38427-19.fc31.noarch 2700/3004 Installing : texlive-adigraph-9:svn49862-19.fc31.noarch 2701/3004 Installing : texlive-chronology-9:svn37934.1.1.1-19.fc31.no 2702/3004 Installing : texlive-comicneue-9:svn42851-19.fc31.noarch 2703/3004 Installing : texlive-dynblocks-9:svn35193.0.2b-19.fc31.noar 2704/3004 Installing : texlive-elzcards-9:svn44785-19.fc31.noarch 2705/3004 Installing : texlive-hf-tikz-9:svn34733.0.3a-19.fc31.noarch 2706/3004 Installing : texlive-karnaugh-map-9:svn44131-19.fc31.noarch 2707/3004 Installing : texlive-longdivision-9:svn50586-19.fc31.noarch 2708/3004 Installing : texlive-notes2bib-9:svn31162.2.0k-19.fc31.noar 2709/3004 Installing : texlive-physics-9:svn28590.1.3-19.fc31.noarch 2710/3004 Installing : texlive-smartdiagram-9:svn42781-19.fc31.noarch 2711/3004 Installing : texlive-tucv-9:svn20680.1.0-19.fc31.noarch 2712/3004 Installing : texlive-underoverlap-9:svn29019.0.0.1_r1-19.fc 2713/3004 Installing : texlive-accanthis-9:svn32089.0-19.fc31.noarch 2714/3004 Installing : texlive-alegreya-9:svn50277-19.fc31.noarch 2715/3004 Installing : texlive-cabin-9:svn50936-19.fc31.noarch 2716/3004 Installing : texlive-caladea-9:svn34991.0-19.fc31.noarch 2717/3004 Installing : texlive-carlito-9:svn35002.0-19.fc31.noarch 2718/3004 Installing : texlive-cinzel-9:svn51101-19.fc31.noarch 2719/3004 Installing : texlive-clearsans-9:svn34405.0-19.fc31.noarch 2720/3004 Installing : texlive-dantelogo-9:svn38599-19.fc31.noarch 2721/3004 Installing : texlive-gillius-9:svn32068.0-19.fc31.noarch 2722/3004 Installing : texlive-kdgdocs-9:svn24498.1.0-19.fc31.noarch 2723/3004 Installing : texlive-librebaskerville-9:svn31741.0-19.fc31. 2724/3004 Installing : texlive-librecaslon-9:svn51456-19.fc31.noarch 2725/3004 Installing : texlive-lobster2-9:svn32617.0-19.fc31.noarch 2726/3004 Installing : texlive-merriweather-9:svn51304-19.fc31.noarch 2727/3004 Installing : texlive-mintspirit-9:svn32069.0-19.fc31.noarch 2728/3004 Installing : texlive-overlock-9:svn51294-19.fc31.noarch 2729/3004 Installing : texlive-playfair-9:svn34236.0-19.fc31.noarch 2730/3004 Installing : texlive-quattrocento-9:svn50729-19.fc31.noarch 2731/3004 Installing : texlive-raleway-9:svn42629-19.fc31.noarch 2732/3004 Installing : texlive-realscripts-9:svn39706-19.fc31.noarch 2733/3004 Installing : texlive-xltxtra-9:svn49555-19.fc31.noarch 2734/3004 Installing : texlive-gmutils-9:svn24287.v0.996-19.fc31.noar 2735/3004 Installing : texlive-gmverb-9:svn24288.v0.98-19.fc31.noarch 2736/3004 Installing : texlive-gmdoc-9:svn21292.0.993-19.fc31.noarch 2737/3004 Installing : texlive-gmdoc-enhance-9:svn15878.v0.2-19.fc31. 2738/3004 Installing : texlive-beamer2thesis-9:svn27539.2.2-19.fc31.n 2739/3004 Installing : texlive-sapthesis-9:svn48365-19.fc31.noarch 2740/3004 Installing : texlive-roboto-9:svn51315-19.fc31.noarch 2741/3004 Installing : texlive-sourcesanspro-9:svn42852-19.fc31.noarc 2742/3004 Installing : texlive-sourceserifpro-9:svn49120-19.fc31.noar 2743/3004 Installing : texlive-universalis-9:svn33860.0-19.fc31.noarc 2744/3004 Installing : texlive-blox-9:svn35014.2.5-19.fc31.noarch 2745/3004 Installing : texlive-bodegraph-9:svn20047.1.4-19.fc31.noarc 2746/3004 Installing : texlive-bondgraph-9:svn21670.1.0-19.fc31.noarc 2747/3004 Installing : texlive-copyrightbox-9:svn24829.0.1-19.fc31.no 2748/3004 Installing : texlive-csvsimple-9:svn51010-19.fc31.noarch 2749/3004 Installing : texlive-drawstack-9:svn28582.0-19.fc31.noarch 2750/3004 Installing : texlive-ebproof-9:svn44392-19.fc31.noarch 2751/3004 Installing : texlive-fancyslides-9:svn36263.1.0-19.fc31.noa 2752/3004 Installing : texlive-fast-diagram-9:svn29264.1.1-19.fc31.no 2753/3004 Installing : texlive-grafcet-9:svn22509.1.3.5-19.fc31.noarc 2754/3004 Installing : texlive-harveyballs-9:svn32003.1.1-19.fc31.noa 2755/3004 Installing : texlive-interval-9:svn50265-19.fc31.noarch 2756/3004 Installing : texlive-karnaughmap-9:svn36989.2.0-19.fc31.noa 2757/3004 Installing : texlive-luasseq-9:svn37877.0-19.fc31.noarch 2758/3004 Installing : texlive-pas-cours-9:svn42036-19.fc31.noarch 2759/3004 Installing : texlive-pas-cv-9:svn32263.2.01-19.fc31.noarch 2760/3004 Installing : texlive-pas-tableur-9:svn39542-19.fc31.noarch 2761/3004 Installing : texlive-pdfmarginpar-9:svn23492.0.92-19.fc31.n 2762/3004 Installing : texlive-pdfpc-movie-9:svn48245-19.fc31.noarch 2763/3004 Installing : texlive-pgf-soroban-9:svn32269.1.1-19.fc31.noa 2764/3004 Installing : texlive-pgfgantt-9:svn46280-19.fc31.noarch 2765/3004 Installing : texlive-productbox-9:svn20886.1.1-19.fc31.noar 2766/3004 Installing : texlive-proofread-9:svn50938-19.fc31.noarch 2767/3004 Installing : texlive-reotex-9:svn34924.1.1-19.fc31.noarch 2768/3004 Installing : texlive-sa-tikz-9:svn32815.0.7a-19.fc31.noarch 2769/3004 Installing : texlive-schemabloc-9:svn15878.1.5-19.fc31.noar 2770/3004 Installing : texlive-scratchx-9:svn44906-19.fc31.noarch 2771/3004 Installing : texlive-sparklines-9:svn42821-19.fc31.noarch 2772/3004 Installing : texlive-spot-9:svn22408.1.1-19.fc31.noarch 2773/3004 Installing : texlive-sseq-9:svn31585.2.01-19.fc31.noarch 2774/3004 Installing : texlive-talk-9:svn42428-19.fc31.noarch 2775/3004 Installing : texlive-thumby-9:svn16736.0.1-19.fc31.noarch 2776/3004 Installing : texlive-tikz-3dplot-9:svn25087.0-19.fc31.noarc 2777/3004 Installing : texlive-tikz-cd-9:svn49201-19.fc31.noarch 2778/3004 Installing : texlive-tikz-dependency-9:svn42454-19.fc31.noa 2779/3004 Installing : texlive-tikz-inet-9:svn15878.0.1-19.fc31.noarc 2780/3004 Installing : texlive-tikz-qtree-9:svn26108.1.2-19.fc31.noar 2781/3004 Installing : texlive-tikzinclude-9:svn28715.1.0-19.fc31.noa 2782/3004 Installing : texlive-tikzorbital-9:svn36439.0-19.fc31.noarc 2783/3004 Installing : texlive-timing-diagrams-9:svn31491.0-19.fc31.n 2784/3004 Installing : texlive-tkz-kiviat-9:svn22857.0.1-19.fc31.noar 2785/3004 Installing : texlive-tkz-linknodes-9:svn22833.1.0c-19.fc31. 2786/3004 Installing : texlive-tkz-orm-9:svn39408-19.fc31.noarch 2787/3004 Installing : texlive-tqft-9:svn44455-19.fc31.noarch 2788/3004 Installing : texlive-ulqda-7:20190410-8.fc31.noarch 2789/3004 Installing : texlive-venndiagram-9:svn47952-19.fc31.noarch 2790/3004 Installing : texlive-vgrid-9:svn32457.0.1-19.fc31.noarch 2791/3004 Installing : texlive-curve-9:svn20745.1.16-19.fc31.noarch 2792/3004 Installing : texlive-typogrid-9:svn24994.0.21-19.fc31.noarc 2793/3004 Installing : texlive-wallpaper-9:svn15878.1.10-19.fc31.noar 2794/3004 Installing : texlive-active-conf-9:svn15878.0.3a-19.fc31.no 2795/3004 Installing : texlive-afparticle-9:svn35900.1.3-19.fc31.noar 2796/3004 Installing : texlive-amsrefs-9:svn30646.2.14-19.fc31.noarch 2797/3004 Installing : texlive-cdpbundl-9:svn46613-19.fc31.noarch 2798/3004 Installing : texlive-gsemthesis-9:svn36244.0.9.4-19.fc31.no 2799/3004 Installing : texlive-icsv-9:svn15878.0.2-19.fc31.noarch 2800/3004 Installing : texlive-minutes-9:svn42186-19.fc31.noarch 2801/3004 Installing : texlive-onrannual-9:svn17474.1.1-19.fc31.noarc 2802/3004 Installing : texlive-opcit-9:svn15878.1.1-19.fc31.noarch 2803/3004 Installing : texlive-pdfscreen-9:svn42428-19.fc31.noarch 2804/3004 Installing : texlive-pdfslide-9:svn15878.0-19.fc31.noarch 2805/3004 Installing : texlive-pdfwin-9:svn45797-19.fc31.noarch 2806/3004 Installing : texlive-pracjourn-9:svn15878.0.4n-19.fc31.noar 2807/3004 Installing : texlive-refenums-9:svn44131-19.fc31.noarch 2808/3004 Installing : texlive-thesis-ekf-9:svn51026-19.fc31.noarch 2809/3004 Installing : texlive-thumb-9:svn16549.1.0-19.fc31.noarch 2810/3004 Installing : texlive-umthesis-9:svn15878.0.2-19.fc31.noarch 2811/3004 Installing : texlive-uowthesis-9:svn19700.1.0a-19.fc31.noar 2812/3004 Installing : texlive-aastex-9:svn47692-19.fc31.noarch 2813/3004 Installing : texlive-aecc-9:svn28574.1.0-19.fc31.noarch 2814/3004 Installing : texlive-aiaa-9:svn15878.3.6-19.fc31.noarch 2815/3004 Installing : texlive-alg-9:svn15878.0-19.fc31.noarch 2816/3004 Installing : texlive-allrunes-9:svn42221-19.fc31.noarch 2817/3004 Installing : texlive-alnumsec-9:svn15878.v0.03-19.fc31.noar 2818/3004 Installing : texlive-alterqcm-9:svn51446-19.fc31.noarch 2819/3004 Installing : texlive-altfont-9:svn15878.1.1-19.fc31.noarch 2820/3004 Installing : texlive-ascelike-9:svn29129.2.3-19.fc31.noarch 2821/3004 Installing : texlive-assignment-9:svn20431.0-19.fc31.noarch 2822/3004 Installing : texlive-baskervaldx-9:svn43461-19.fc31.noarch 2823/3004 Installing : texlive-binomexp-9:svn15878.1.0-19.fc31.noarch 2824/3004 Installing : texlive-biocon-9:svn15878.0-19.fc31.noarch 2825/3004 Installing : texlive-bizcard-9:svn15878.1.1-19.fc31.noarch 2826/3004 Installing : texlive-boxhandler-9:svn28031.1.30-19.fc31.noa 2827/3004 Installing : texlive-cascadilla-9:svn25144.1.8.2-19.fc31.no 2828/3004 Installing : texlive-catechis-9:svn49061-19.fc31.noarch 2829/3004 Installing : texlive-cbcoptic-9:svn16666.0.2-19.fc31.noarch 2830/3004 Installing : texlive-cellspace-9:svn50374-19.fc31.noarch 2831/3004 Installing : texlive-chapterfolder-9:svn15878.2.0.1-19.fc31 2832/3004 Installing : texlive-chscite-9:svn28552.2.9999-19.fc31.noar 2833/3004 Installing : texlive-cmll-9:svn17964.0-19.fc31.noarch 2834/3004 Installing : texlive-codedoc-9:svn17630.0.3-19.fc31.noarch 2835/3004 Installing : texlive-colordoc-9:svn18270.0-19.fc31.noarch 2836/3004 Installing : texlive-colorwav-9:svn15878.1.0-19.fc31.noarch 2837/3004 Installing : texlive-commath-9:svn15878.0.3-19.fc31.noarch 2838/3004 Installing : texlive-complexity-9:svn45322-19.fc31.noarch 2839/3004 Installing : texlive-concmath-9:svn17219.0-19.fc31.noarch 2840/3004 Installing : texlive-coseoul-9:svn23862.1.1-19.fc31.noarch 2841/3004 Installing : texlive-coverpage-9:svn15878.1.01-19.fc31.noar 2842/3004 Installing : texlive-ctib-9:svn15878.0-19.fc31.noarch 2843/3004 Installing : texlive-pecha-9:svn15878.0.1-19.fc31.noarch 2844/3004 Installing : texlive-currvita-9:svn15878.0-19.fc31.noarch 2845/3004 Installing : texlive-dashundergaps-9:svn49198-19.fc31.noarc 2846/3004 Installing : texlive-dblfloatfix-9:svn28983.1.0a-19.fc31.no 2847/3004 Installing : texlive-decorule-9:svn23487.0.6-19.fc31.noarch 2848/3004 Installing : texlive-dotarrow-9:svn15878.0.01a-19.fc31.noar 2849/3004 Installing : texlive-eco-9:svn29349.1.3-19.fc31.noarch 2850/3004 Installing : texlive-economic-9:svn32639.0-19.fc31.noarch 2851/3004 Installing : texlive-egplot-9:svn20617.1.02a-19.fc31.noarch 2852/3004 Installing : texlive-elpres-9:svn46429-19.fc31.noarch 2853/3004 Installing : texlive-emulateapj-9:svn28469.0-19.fc31.noarch 2854/3004 Installing : texlive-epsdice-9:svn15878.2.1-19.fc31.noarch 2855/3004 Installing : texlive-erewhon-9:svn51361-19.fc31.noarch 2856/3004 Installing : texlive-exam-9:svn46084-19.fc31.noarch 2857/3004 Installing : texlive-exercise-9:svn35417.1.6-19.fc31.noarch 2858/3004 Installing : texlive-extsizes-9:svn17263.1.4a-19.fc31.noarc 2859/3004 Installing : texlive-fbb-9:svn45277-19.fc31.noarch 2860/3004 Installing : texlive-fdsymbol-9:svn26722.0.8-19.fc31.noarch 2861/3004 Installing : texlive-figsize-9:svn18784.0.1-19.fc31.noarch 2862/3004 Installing : texlive-fithesis-9:svn47409-19.fc31.noarch 2863/3004 Installing : texlive-flagderiv-9:svn15878.0.10-19.fc31.noar 2864/3004 Installing : texlive-flashcards-9:svn19667.1.0.1-19.fc31.no 2865/3004 Installing : texlive-flashmovie-9:svn25768.0.4-19.fc31.noar 2866/3004 Installing : texlive-flipbook-9:svn25584.0.2-19.fc31.noarch 2867/3004 Installing : texlive-flowfram-9:svn35291.1.17-19.fc31.noarc 2868/3004 Installing : texlive-fonetika-9:svn21326.0-19.fc31.noarch 2869/3004 Installing : texlive-frcursive-9:svn24559.0-19.fc31.noarch 2870/3004 Installing : texlive-g-brief-9:svn50415-19.fc31.noarch 2871/3004 Installing : texlive-gnuplottex-9:svn41904-19.fc31.noarch 2872/3004 Installing : texlive-gu-9:svn15878.0-19.fc31.noarch 2873/3004 Installing : texlive-heuristica-9:svn51362-19.fc31.noarch 2874/3004 Installing : texlive-hletter-9:svn30002.4.2-19.fc31.noarch 2875/3004 Installing : texlive-hvindex-9:svn46051-19.fc31.noarch 2876/3004 Installing : texlive-interactiveworkbook-9:svn15878.0-19.fc 2877/3004 Installing : texlive-invoice-9:svn48359-19.fc31.noarch 2878/3004 Installing : texlive-kerntest-9:svn15878.1.32-19.fc31.noarc 2879/3004 Installing : texlive-kluwer-9:svn45756-19.fc31.noarch 2880/3004 Installing : texlive-labbook-9:svn15878.0-19.fc31.noarch 2881/3004 Installing : texlive-listofsymbols-9:svn16134.0.2-19.fc31.n 2882/3004 Installing : texlive-logicproof-9:svn33254.0-19.fc31.noarch 2883/3004 Installing : texlive-lpic-9:svn20843.0.8-19.fc31.noarch 2884/3004 Installing : texlive-luainputenc-9:svn20491.0.973-19.fc31.n 2885/3004 Installing : texlive-chextras-9:svn27118.1.01-19.fc31.noarc 2886/3004 Installing : texlive-mailmerge-9:svn15878.1.0-19.fc31.noarc 2887/3004 Installing : texlive-mathexam-9:svn15878.1.00-19.fc31.noarc 2888/3004 Installing : texlive-mdsymbol-9:svn28399.0.5-19.fc31.noarch 2889/3004 Installing : texlive-meetingmins-9:svn31878.1.6-19.fc31.noa 2890/3004 Installing : texlive-miniplot-9:svn17483.0-19.fc31.noarch 2891/3004 Installing : texlive-minitoc-9:svn48196-19.fc31.noarch 2892/3004 Installing : texlive-msg-9:svn49578-19.fc31.noarch 2893/3004 Installing : texlive-multicap-9:svn15878.0-19.fc31.noarch 2894/3004 Installing : texlive-nature-9:svn21819.1.0-19.fc31.noarch 2895/3004 Installing : texlive-ncclatex-9:svn15878.1.5-19.fc31.noarch 2896/3004 Installing : texlive-newpx-9:svn49438-19.fc31.noarch 2897/3004 Installing : texlive-nih-9:svn15878.0-19.fc31.noarch 2898/3004 Installing : texlive-nomentbl-9:svn16549.0.4-19.fc31.noarch 2899/3004 Installing : texlive-nonfloat-9:svn17598.1.0-19.fc31.noarch 2900/3004 Installing : texlive-nrc-9:svn29027.2.01a-19.fc31.noarch 2901/3004 Installing : texlive-nuc-9:svn22256.0.1-19.fc31.noarch 2902/3004 Installing : texlive-ocr-latex-9:svn15878.0-19.fc31.noarch 2903/3004 Installing : texlive-outlines-9:svn25192.1.1-19.fc31.noarch 2904/3004 Installing : texlive-pauldoc-9:svn16005.0.5-19.fc31.noarch 2905/3004 Installing : texlive-philex-9:svn36396.1.3-19.fc31.noarch 2906/3004 Installing : texlive-plates-9:svn15878.0.1-19.fc31.noarch 2907/3004 Installing : texlive-probsoln-9:svn44783-19.fc31.noarch 2908/3004 Installing : texlive-pseudocode-9:svn15878.0-19.fc31.noarch 2909/3004 Installing : texlive-qcm-9:svn15878.2.1-19.fc31.noarch 2910/3004 Installing : texlive-recipecard-9:svn15878.2.0-19.fc31.noar 2911/3004 Installing : texlive-register-9:svn49581-19.fc31.noarch 2912/3004 Installing : texlive-repeatindex-9:svn24305.0.01-19.fc31.no 2913/3004 Installing : texlive-revtex-9:svn49751-19.fc31.noarch 2914/3004 Installing : texlive-rotpages-9:svn18740.3.0-19.fc31.noarch 2915/3004 Installing : texlive-scalebar-9:svn15878.1.0-19.fc31.noarch 2916/3004 Installing : texlive-sciposter-9:svn15878.1.18-19.fc31.noar 2917/3004 Installing : texlive-sectionbox-9:svn37749.1.01-19.fc31.noa 2918/3004 Installing : texlive-sffms-9:svn15878.2.0-19.fc31.noarch 2919/3004 Installing : texlive-shipunov-9:svn29349.1.1-19.fc31.noarch 2920/3004 Installing : texlive-simplecd-9:svn29260.1.4-19.fc31.noarch 2921/3004 Installing : texlive-spie-9:svn15878.3.25-19.fc31.noarch 2922/3004 Installing : texlive-srcltx-9:svn15878.1.6-19.fc31.noarch 2923/3004 Installing : texlive-statex-9:svn20306.1.6-19.fc31.noarch 2924/3004 Installing : texlive-statex2-9:svn23961.2.1-19.fc31.noarch 2925/3004 Installing : texlive-stix-9:svn47652-19.fc31.noarch 2926/3004 Installing : texlive-suftesi-9:svn49138-19.fc31.noarch 2927/3004 Installing : texlive-syllogism-9:svn15878.1.2-19.fc31.noarc 2928/3004 Installing : texlive-syntrace-9:svn15878.1.1-19.fc31.noarch 2929/3004 Installing : texlive-termcal-9:svn22514.1.8-19.fc31.noarch 2930/3004 Installing : texlive-texlogos-9:svn19083.1.3.1-19.fc31.noar 2931/3004 Installing : texlive-ticket-9:svn42280-19.fc31.noarch 2932/3004 Installing : texlive-tokenizer-9:svn15878.1.1.0-19.fc31.noa 2933/3004 Installing : texlive-turnstile-9:svn15878.1.0-19.fc31.noarc 2934/3004 Installing : texlive-typehtml-9:svn17134.0-19.fc31.noarch 2935/3004 Installing : texlive-collection-latex-9:svn41614-19.fc31.no 2936/3004 Installing : texlive-collection-latexrecommended-9:svn51780 2937/3004 Installing : tex-preview-12.1-7.fc31.noarch 2938/3004 Running scriptlet: tex-preview-12.1-7.fc31.noarch 2938/3004 Installing : texlive-uassign-9:svn38459-19.fc31.noarch 2939/3004 Installing : texlive-ucdavisthesis-9:svn40772-19.fc31.noarc 2940/3004 Installing : texlive-varsfromjobname-9:svn44154-19.fc31.noa 2941/3004 Installing : texlive-williams-9:svn15878.0-19.fc31.noarch 2942/3004 Installing : texlive-xcharter-9:svn51816-19.fc31.noarch 2943/3004 Installing : texlive-york-thesis-9:svn23348.3.6-19.fc31.noa 2944/3004 Installing : texlive-yplan-7:20190410-8.fc31.noarch 2945/3004 Installing : texlive-ot-tableau-9:svn44889-19.fc31.noarch 2946/3004 Installing : texlive-showhyphens-9:svn39787-19.fc31.noarch 2947/3004 Installing : texlive-IEEEconf-9:svn15878.1.4-19.fc31.noarch 2948/3004 Installing : texlive-brandeis-dissertation-9:svn32047.2.0-1 2949/3004 Installing : texlive-contracard-9:svn50217-19.fc31.noarch 2950/3004 Installing : texlive-ekaia-9:svn49594-19.fc31.noarch 2951/3004 Installing : texlive-elsarticle-9:svn50786-19.fc31.noarch 2952/3004 Installing : texlive-elteikthesis-9:svn22513.1.2-19.fc31.no 2953/3004 Installing : texlive-estcpmm-9:svn17335.0.4-19.fc31.noarch 2954/3004 Installing : texlive-flacards-9:svn19440.0.1.1b-19.fc31.noa 2955/3004 Installing : texlive-image-gallery-9:svn15878.v1.0j-19.fc31 2956/3004 Installing : texlive-lps-9:svn21322.0.7-19.fc31.noarch 2957/3004 Installing : texlive-pdfpagediff-9:svn37946.1.4-19.fc31.noa 2958/3004 Installing : texlive-postcards-9:svn21641.0-19.fc31.noarch 2959/3004 Installing : texlive-sageep-9:svn15878.1.0-19.fc31.noarch 2960/3004 Installing : texlive-tikzposter-9:svn32732.2.0-19.fc31.noar 2961/3004 Installing : texlive-uiucredborder-9:svn29974.1.00-19.fc31. 2962/3004 Installing : texlive-umich-thesis-9:svn15878.1.20-19.fc31.n 2963/3004 Installing : texlive-uowthesistitlepage-9:svn45022-19.fc31. 2964/3004 Installing : texlive-ut-thesis-9:svn38269.2.1-19.fc31.noarc 2965/3004 Installing : texlive-wordlike-9:svn15878.1.2b-19.fc31.noarc 2966/3004 Installing : cups-1:2.2.12-6.fc31.x86_64 2967/3004 Running scriptlet: cups-1:2.2.12-6.fc31.x86_64 2967/3004 Created symlink /etc/systemd/system/multi-user.target.wants/cups.path → /usr/lib/systemd/system/cups.path. Created symlink /etc/systemd/system/sockets.target.wants/cups.socket → /usr/lib/systemd/system/cups.socket. Installing : cups-filters-1.27.3-1.fc31.x86_64 2968/3004 Running scriptlet: cups-filters-1.27.3-1.fc31.x86_64 2968/3004 Installing : R-core-3.6.3-1.fc31.x86_64 2969/3004 Running scriptlet: R-core-3.6.3-1.fc31.x86_64 2969/3004 Installing : R-mime-0.9-1.fc31.x86_64 2970/3004 Installing : R-evaluate-0.14-3.fc31.noarch 2971/3004 Installing : R-stringi-1.4.6-1.fc31.x86_64 2972/3004 Installing : R-yaml-2.2.1-1.fc31.x86_64 2973/3004 Installing : R-highr-0.8-3.fc31.noarch 2974/3004 Installing : R-magrittr-1.5-8.fc31.noarch 2975/3004 Installing : R-glue-1.3.1-4.fc31.x86_64 2976/3004 Installing : R-stringr-1.4.0-4.fc31.noarch 2977/3004 Installing : R-markdown-1.1-1.fc31.x86_64 2978/3004 Installing : R-xfun-0.12-1.fc31.noarch 2979/3004 Installing : R-knitr-1.28-1.fc31.noarch 2980/3004 Installing : texlive-includernw-9:svn47557-19.fc31.noarch 2981/3004 Installing : graphviz-2.40.1-54.fc31.x86_64 2982/3004 Running scriptlet: graphviz-2.40.1-54.fc31.x86_64 2982/3004 Installing : ImageMagick-libs-1:6.9.10.86-1.fc31.x86_64 2983/3004 Installing : ImageMagick-1:6.9.10.86-1.fc31.x86_64 2984/3004 Installing : texlive-graphicxpsd-9:svn46477-19.fc31.noarch 2985/3004 Installing : texlive-collection-pictures-9:svn51051-19.fc31 2986/3004 Installing : texlive-dvisvgm-7:20190410-8.fc31.x86_64 2987/3004 Installing : texlive-webquiz-7:20190410-8.fc31.noarch 2988/3004 Installing : abc-libs-1.01-23.git20190608.fc31.x86_64 2989/3004 Installing : abc-1.01-23.git20190608.fc31.x86_64 2990/3004 Installing : texlive-collection-latexextra-9:svn51779-19.fc 2991/3004 Installing : texlive-collection-mathscience-9:svn51797-19.f 2992/3004 Installing : texlive-collection-publishers-9:svn51691-19.fc 2993/3004 Installing : texlive-collection-fontsextra-9:svn51763-19.fc 2994/3004 Installing : texlive-collection-bibtexextra-9:svn50619-19.f 2995/3004 Installing : annobin-8.78-4.fc31.x86_64 2996/3004 Installing : gcc-c++-9.3.1-2.fc31.x86_64 2997/3004 Installing : readline-devel-8.0-3.fc31.x86_64 2998/3004 Installing : tcl-devel-1:8.6.8-2.fc31.x86_64 2999/3004 Installing : bison-3.4.1-2.fc31.x86_64 3000/3004 Installing : flex-2.6.4-3.fc31.x86_64 3001/3004 Installing : txt2man-1.6.0-7.fc31.noarch 3002/3004 Installing : libffi-devel-3.1-23.fc31.x86_64 3003/3004 Installing : iverilog-10.3-1.fc31.x86_64 3004/3004 Running scriptlet: texlive-base-7:20190410-8.fc31.x86_64 3004/3004 Running scriptlet: urw-base35-bookman-fonts-20170801-13.fc31.noar 3004/3004 Running scriptlet: urw-base35-c059-fonts-20170801-13.fc31.noarch 3004/3004 Running scriptlet: urw-base35-d050000l-fonts-20170801-13.fc31.noa 3004/3004 Running scriptlet: urw-base35-gothic-fonts-20170801-13.fc31.noarc 3004/3004 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20170801-13.fc 3004/3004 Running scriptlet: urw-base35-nimbus-roman-fonts-20170801-13.fc31 3004/3004 Running scriptlet: urw-base35-nimbus-sans-fonts-20170801-13.fc31. 3004/3004 Running scriptlet: urw-base35-p052-fonts-20170801-13.fc31.noarch 3004/3004 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20170801- 3004/3004 Running scriptlet: urw-base35-z003-fonts-20170801-13.fc31.noarch 3004/3004 Running scriptlet: nss-mdns-0.14.1-7.fc31.x86_64 3004/3004 Running scriptlet: R-core-3.6.3-1.fc31.x86_64 3004/3004 Running scriptlet: iverilog-10.3-1.fc31.x86_64 3004/3004 Verifying : LibRaw-0.19.5-1.fc31.x86_64 1/3004 Verifying : OpenEXR-libs-2.3.0-4.fc31.x86_64 2/3004 Verifying : R-evaluate-0.14-3.fc31.noarch 3/3004 Verifying : R-highr-0.8-3.fc31.noarch 4/3004 Verifying : R-magrittr-1.5-8.fc31.noarch 5/3004 Verifying : R-markdown-1.1-1.fc31.x86_64 6/3004 Verifying : abc-1.01-23.git20190608.fc31.x86_64 7/3004 Verifying : abc-libs-1.01-23.git20190608.fc31.x86_64 8/3004 Verifying : acl-2.2.53-4.fc31.x86_64 9/3004 Verifying : adobe-mappings-cmap-20171205-6.fc31.noarch 10/3004 Verifying : adobe-mappings-cmap-deprecated-20171205-6.fc31 11/3004 Verifying : adobe-mappings-pdf-20180407-4.fc31.noarch 12/3004 Verifying : at-spi2-core-2.34.0-1.fc31.x86_64 13/3004 Verifying : atk-2.34.1-1.fc31.x86_64 14/3004 Verifying : avahi-0.7-20.fc31.x86_64 15/3004 Verifying : avahi-glib-0.7-20.fc31.x86_64 16/3004 Verifying : avahi-libs-0.7-20.fc31.x86_64 17/3004 Verifying : bc-1.07.1-9.fc31.x86_64 18/3004 Verifying : bison-3.4.1-2.fc31.x86_64 19/3004 Verifying : cairo-1.16.0-6.fc31.x86_64 20/3004 Verifying : cairo-gobject-1.16.0-6.fc31.x86_64 21/3004 Verifying : cdparanoia-libs-10.2-30.fc31.x86_64 22/3004 Verifying : color-filesystem-1-23.fc31.noarch 23/3004 Verifying : colord-1.4.4-2.fc31.x86_64 24/3004 Verifying : colord-libs-1.4.4-2.fc31.x86_64 25/3004 Verifying : dbus-1:1.12.16-3.fc31.x86_64 26/3004 Verifying : dbus-broker-21-6.fc31.x86_64 27/3004 Verifying : dbus-common-1:1.12.16-3.fc31.noarch 28/3004 Verifying : dbus-libs-1:1.12.16-3.fc31.x86_64 29/3004 Verifying : desktop-file-utils-0.24-1.fc31.x86_64 30/3004 Verifying : fftw-libs-double-3.3.8-6.fc31.x86_64 31/3004 Verifying : flex-2.6.4-3.fc31.x86_64 32/3004 Verifying : fontawesome-fonts-4.7.0-7.fc31.noarch 33/3004 Verifying : fontconfig-2.13.92-3.fc31.x86_64 34/3004 Verifying : freetype-2.10.0-3.fc31.x86_64 35/3004 Verifying : gdbm-1:1.18.1-1.fc31.x86_64 36/3004 Verifying : gdk-pixbuf2-2.40.0-1.fc31.x86_64 37/3004 Verifying : gdk-pixbuf2-modules-2.40.0-1.fc31.x86_64 38/3004 Verifying : google-droid-sans-fonts-20120715-16.fc31.noarc 39/3004 Verifying : graphite2-1.3.13-1.fc31.x86_64 40/3004 Verifying : graphviz-2.40.1-54.fc31.x86_64 41/3004 Verifying : groff-base-1.22.3-20.fc31.x86_64 42/3004 Verifying : gsettings-desktop-schemas-3.34.0-1.fc31.x86_64 43/3004 Verifying : gts-0.7.6-36.20121130.fc31.x86_64 44/3004 Verifying : harfbuzz-2.6.1-2.fc31.x86_64 45/3004 Verifying : harfbuzz-icu-2.6.1-2.fc31.x86_64 46/3004 Verifying : hicolor-icon-theme-0.17-7.fc31.noarch 47/3004 Verifying : ilmbase-2.3.0-3.fc31.x86_64 48/3004 Verifying : isl-0.16.1-9.fc31.x86_64 49/3004 Verifying : iso-codes-4.3-2.fc31.noarch 50/3004 Verifying : iverilog-10.3-1.fc31.x86_64 51/3004 Verifying : jasper-libs-2.0.14-9.fc31.x86_64 52/3004 Verifying : jbig2dec-libs-0.16-1.fc31.x86_64 53/3004 Verifying : jbigkit-libs-2.1-17.fc31.x86_64 54/3004 Verifying : json-glib-1.4.4-3.fc31.x86_64 55/3004 Verifying : kmod-libs-26-4.fc31.x86_64 56/3004 Verifying : lasi-1.1.2-13.fc31.x86_64 57/3004 Verifying : lcms2-2.9-6.fc31.x86_64 58/3004 Verifying : less-551-2.fc31.x86_64 59/3004 Verifying : libICE-1.0.10-2.fc31.x86_64 60/3004 Verifying : libSM-1.2.3-4.fc31.x86_64 61/3004 Verifying : libXau-1.0.9-2.fc31.x86_64 62/3004 Verifying : libXaw-1.0.13-13.fc31.x86_64 63/3004 Verifying : libXcomposite-0.4.4-17.fc31.x86_64 64/3004 Verifying : libXcursor-1.1.15-6.fc31.x86_64 65/3004 Verifying : libXdamage-1.1.4-17.fc31.x86_64 66/3004 Verifying : libXext-1.3.4-2.fc31.x86_64 67/3004 Verifying : libXfixes-5.0.3-10.fc31.x86_64 68/3004 Verifying : libXft-2.3.3-2.fc31.x86_64 69/3004 Verifying : libXi-1.7.10-2.fc31.x86_64 70/3004 Verifying : libXinerama-1.1.4-4.fc31.x86_64 71/3004 Verifying : libXmu-1.1.3-2.fc31.x86_64 72/3004 Verifying : libXpm-3.5.12-10.fc31.x86_64 73/3004 Verifying : libXrandr-1.5.2-2.fc31.x86_64 74/3004 Verifying : libXrender-0.9.10-10.fc31.x86_64 75/3004 Verifying : libXt-1.1.5-12.20190424gitba4ec9376.fc31.x86_6 76/3004 Verifying : libXtst-1.2.3-10.fc31.x86_64 77/3004 Verifying : libXv-1.0.11-10.fc31.x86_64 78/3004 Verifying : libXxf86vm-1.1.4-12.fc31.x86_64 79/3004 Verifying : libargon2-20171227-3.fc31.x86_64 80/3004 Verifying : libcroco-0.6.13-2.fc31.x86_64 81/3004 Verifying : libdaemon-0.14-18.fc31.x86_64 82/3004 Verifying : libdatrie-0.2.9-10.fc31.x86_64 83/3004 Verifying : libepoxy-1.5.3-4.fc31.x86_64 84/3004 Verifying : liberation-fonts-common-1:2.00.5-6.fc31.noarch 85/3004 Verifying : liberation-mono-fonts-1:2.00.5-6.fc31.noarch 86/3004 Verifying : libffi-devel-3.1-23.fc31.x86_64 87/3004 Verifying : libfontenc-1.1.3-11.fc31.x86_64 88/3004 Verifying : libglvnd-1:1.1.1-5.fc31.x86_64 89/3004 Verifying : libglvnd-egl-1:1.1.1-5.fc31.x86_64 90/3004 Verifying : libglvnd-gles-1:1.1.1-5.fc31.x86_64 91/3004 Verifying : libglvnd-glx-1:1.1.1-5.fc31.x86_64 92/3004 Verifying : libgudev-232-6.fc31.x86_64 93/3004 Verifying : libicu-63.2-3.fc31.x86_64 94/3004 Verifying : libidn-1.35-6.fc31.x86_64 95/3004 Verifying : libijs-0.35-10.fc31.x86_64 96/3004 Verifying : libimagequant-2.12.5-1.fc31.x86_64 97/3004 Verifying : liblqr-1-0.4.2-13.fc31.x86_64 98/3004 Verifying : libmcpp-2.7.2-24.fc31.x86_64 99/3004 Verifying : libmodman-2.0.1-20.fc31.x86_64 100/3004 Verifying : libmpc-1.1.0-4.fc31.x86_64 101/3004 Verifying : libogg-2:1.3.3-3.fc31.x86_64 102/3004 Verifying : libpaper-1.1.24-25.fc31.x86_64 103/3004 Verifying : libpciaccess-0.15-2.fc31.x86_64 104/3004 Verifying : libpng-2:1.6.37-2.fc31.x86_64 105/3004 Verifying : libproxy-0.4.15-14.fc31.x86_64 106/3004 Verifying : libthai-0.1.28-3.fc31.x86_64 107/3004 Verifying : libtheora-1:1.1.1-24.fc31.x86_64 108/3004 Verifying : libusbx-1.0.22-4.fc31.x86_64 109/3004 Verifying : libvisual-1:0.4.0-27.fc31.x86_64 110/3004 Verifying : libvorbis-1:1.3.6-5.fc31.x86_64 111/3004 Verifying : libwayland-client-1.17.0-2.fc31.x86_64 112/3004 Verifying : libwayland-cursor-1.17.0-2.fc31.x86_64 113/3004 Verifying : libwayland-egl-1.17.0-2.fc31.x86_64 114/3004 Verifying : libwayland-server-1.17.0-2.fc31.x86_64 115/3004 Verifying : libwebp-1.0.3-2.fc31.x86_64 116/3004 Verifying : libwmf-lite-0.2.12-2.fc31.x86_64 117/3004 Verifying : libxcb-1.13.1-3.fc31.x86_64 118/3004 Verifying : libxshmfence-1.3-5.fc31.x86_64 119/3004 Verifying : m4-1.4.18-11.fc31.x86_64 120/3004 Verifying : mailcap-2.1.48-6.fc31.noarch 121/3004 Verifying : mcpp-2.7.2-24.fc31.x86_64 122/3004 Verifying : ncurses-c++-libs-6.1-12.20190803.fc31.x86_64 123/3004 Verifying : ncurses-devel-6.1-12.20190803.fc31.x86_64 124/3004 Verifying : nettle-3.5.1-3.fc31.x86_64 125/3004 Verifying : oldstandard-sfd-fonts-2.0.2-26.fc31.noarch 126/3004 Verifying : opus-1.3.1-2.fc31.x86_64 127/3004 Verifying : orc-0.4.30-1.fc31.x86_64 128/3004 Verifying : pdfpc-4.3.4-3.fc31.x86_64 129/3004 Verifying : perl-B-Hooks-EndOfScope-0.24-8.fc31.noarch 130/3004 Verifying : perl-BibTeX-Parser-1.02-6.fc31.noarch 131/3004 Verifying : perl-Bit-Vector-7.4-16.fc31.x86_64 132/3004 Verifying : perl-Business-ISBN-3.004-9.fc31.noarch 133/3004 Verifying : perl-Business-ISBN-Data-20140910.003-12.fc31.n 134/3004 Verifying : perl-Business-ISMN-1.201-4.fc31.noarch 135/3004 Verifying : perl-Business-ISSN-1.003-6.fc31.noarch 136/3004 Verifying : perl-Carp-1.50-439.fc31.noarch 137/3004 Verifying : perl-Carp-Clan-6.08-1.fc31.noarch 138/3004 Verifying : perl-Class-Accessor-0.51-7.fc31.noarch 139/3004 Verifying : perl-Class-Data-Inheritable-0.08-33.fc31.noarc 140/3004 Verifying : perl-Class-Inspector-1.36-1.fc31.noarch 141/3004 Verifying : perl-Class-Method-Modifiers-2.13-1.fc31.noarch 142/3004 Verifying : perl-Class-Singleton-1.5-14.fc31.noarch 143/3004 Verifying : perl-Compress-Raw-Bzip2-2.087-1.fc31.x86_64 144/3004 Verifying : perl-Compress-Raw-Zlib-2.087-1.fc31.x86_64 145/3004 Verifying : perl-Crypt-RC4-2.02-23.fc31.noarch 146/3004 Verifying : perl-Data-Compare-1.26-1.fc31.noarch 147/3004 Verifying : perl-Data-Dump-1.23-12.fc31.noarch 148/3004 Verifying : perl-Data-Dumper-2.174-440.fc31.x86_64 149/3004 Verifying : perl-Data-OptList-0.110-11.fc31.noarch 150/3004 Verifying : perl-Data-Uniqid-0.12-20.fc31.noarch 151/3004 Verifying : perl-Date-Calc-6.4-13.fc31.noarch 152/3004 Verifying : perl-Date-ISO8601-0.005-7.fc31.noarch 153/3004 Verifying : perl-DateTime-2:1.51-4.fc31.x86_64 154/3004 Verifying : perl-DateTime-Format-Builder-0.8200-3.fc31.noa 155/3004 Verifying : perl-DateTime-Format-Strptime-1:1.76-3.fc31.no 156/3004 Verifying : perl-DateTime-TimeZone-SystemV-0.010-8.fc31.no 157/3004 Verifying : perl-DateTime-TimeZone-Tzfile-0.011-8.fc31.noa 158/3004 Verifying : perl-Devel-CallChecker-0.008-8.fc31.x86_64 159/3004 Verifying : perl-Devel-Caller-2.06-20.fc31.x86_64 160/3004 Verifying : perl-Devel-GlobalDestruction-0.14-10.fc31.noar 161/3004 Verifying : perl-Devel-LexAlias-0.05-21.fc31.x86_64 162/3004 Verifying : perl-Devel-StackTrace-1:2.04-4.fc31.noarch 163/3004 Verifying : perl-Digest-1.17-439.fc31.noarch 164/3004 Verifying : perl-Digest-HMAC-1.03-22.fc31.noarch 165/3004 Verifying : perl-Digest-MD5-2.55-439.fc31.x86_64 166/3004 Verifying : perl-Digest-Perl-MD5-1.9-17.fc31.noarch 167/3004 Verifying : perl-Digest-SHA-1:6.02-440.fc31.x86_64 168/3004 Verifying : perl-Digest-SHA1-2.13-28.fc31.x86_64 169/3004 Verifying : perl-Dist-CheckConflicts-0.11-16.fc31.noarch 170/3004 Verifying : perl-DynaLoader-Functions-0.003-7.fc31.noarch 171/3004 Verifying : perl-Email-Date-Format-1.005-14.fc31.noarch 172/3004 Verifying : perl-Encode-EUCJPASCII-0.03-28.fc31.x86_64 173/3004 Verifying : perl-Encode-HanExtra-0.23-28.fc31.x86_64 174/3004 Verifying : perl-Encode-JIS2K-0.03-13.fc31.x86_64 175/3004 Verifying : perl-Encode-Locale-1.05-14.fc31.noarch 176/3004 Verifying : perl-Eval-Closure-0.14-10.fc31.noarch 177/3004 Verifying : perl-Exception-Class-1.44-7.fc31.noarch 178/3004 Verifying : perl-Exporter-Tiny-1.002001-4.fc31.noarch 179/3004 Verifying : perl-File-Find-Rule-0.34-13.fc31.noarch 180/3004 Verifying : perl-File-Listing-6.04-22.fc31.noarch 181/3004 Verifying : perl-File-Path-2.16-439.fc31.noarch 182/3004 Verifying : perl-File-ShareDir-1.116-6.fc31.noarch 183/3004 Verifying : perl-File-Slurper-0.012-6.fc31.noarch 184/3004 Verifying : perl-File-Temp-1:0.230.900-439.fc31.noarch 185/3004 Verifying : perl-File-Which-1.23-4.fc31.noarch 186/3004 Verifying : perl-Filter-2:1.59-440.fc31.x86_64 187/3004 Verifying : perl-GD-2.71-3.fc31.x86_64 188/3004 Verifying : perl-GD-Barcode-1.15-32.fc31.noarch 189/3004 Verifying : perl-Getopt-Long-1:2.51-1.fc31.noarch 190/3004 Verifying : perl-HTML-Parser-3.72-19.fc31.x86_64 191/3004 Verifying : perl-HTML-Tagset-3.20-40.fc31.noarch 192/3004 Verifying : perl-HTTP-Cookies-6.04-7.fc31.noarch 193/3004 Verifying : perl-HTTP-Negotiate-6.01-24.fc31.noarch 194/3004 Verifying : perl-HTTP-Tiny-0.076-439.fc31.noarch 195/3004 Verifying : perl-IO-Compress-2.087-1.fc31.noarch 196/3004 Verifying : perl-IO-HTML-1.001-15.fc31.noarch 197/3004 Verifying : perl-IO-Socket-IP-0.39-440.fc31.noarch 198/3004 Verifying : perl-IO-String-1.08-36.fc31.noarch 199/3004 Verifying : perl-IO-stringy-2.111-14.fc31.noarch 200/3004 Verifying : perl-IPC-Cmd-2:1.04-2.fc31.noarch 201/3004 Verifying : perl-IPC-Run3-0.048-17.fc31.noarch 202/3004 Verifying : perl-IPC-SysV-2.07-440.fc31.x86_64 203/3004 Verifying : perl-Jcode-2.07-29.fc31.noarch 204/3004 Verifying : perl-LWP-MediaTypes-6.04-3.fc31.noarch 205/3004 Verifying : perl-LWP-Protocol-https-6.07-9.fc31.noarch 206/3004 Verifying : perl-LaTeX-ToUnicode-0.05-10.fc31.noarch 207/3004 Verifying : perl-List-MoreUtils-0.428-7.fc31.noarch 208/3004 Verifying : perl-List-MoreUtils-XS-0.428-7.fc31.x86_64 209/3004 Verifying : perl-List-SomeUtils-0.56-8.fc31.noarch 210/3004 Verifying : perl-List-UtilsBy-0.11-7.fc31.noarch 211/3004 Verifying : perl-Locale-Maketext-1.29-440.fc31.noarch 212/3004 Verifying : perl-Log-Dispatch-2.68-4.fc31.noarch 213/3004 Verifying : perl-Log-Dispatch-FileRotate-1.36-4.fc31.noarc 214/3004 Verifying : perl-Log-Log4perl-1.49-9.fc31.noarch 215/3004 Verifying : perl-MIME-Base64-3.15-439.fc31.x86_64 216/3004 Verifying : perl-MIME-Charset-1.012.2-9.fc31.noarch 217/3004 Verifying : perl-MIME-Lite-3.030-16.fc31.noarch 218/3004 Verifying : perl-MIME-Types-2.17-7.fc31.noarch 219/3004 Verifying : perl-MRO-Compat-0.13-9.fc31.noarch 220/3004 Verifying : perl-Mail-Sender-1:0.903-10.fc31.noarch 221/3004 Verifying : perl-Mail-Sendmail-0.80-7.fc31.noarch 222/3004 Verifying : perl-MailTools-2.21-3.fc31.noarch 223/3004 Verifying : perl-Math-BigInt-1:1.9998.16-439.fc31.noarch 224/3004 Verifying : perl-Module-Implementation-0.09-22.fc31.noarch 225/3004 Verifying : perl-Module-Load-1:0.34-439.fc31.noarch 226/3004 Verifying : perl-Module-Metadata-1.000037-1.fc31.noarch 227/3004 Verifying : perl-Module-Runtime-0.016-7.fc31.noarch 228/3004 Verifying : perl-Mozilla-CA-20180117-6.fc31.noarch 229/3004 Verifying : perl-NTLM-1.09-21.fc31.noarch 230/3004 Verifying : perl-Net-HTTP-6.19-3.fc31.noarch 231/3004 Verifying : perl-Net-SMTP-SSL-1.04-10.fc31.noarch 232/3004 Verifying : perl-Net-SSLeay-1.88-3.fc31.x86_64 233/3004 Verifying : perl-Number-Compare-0.03-24.fc31.noarch 234/3004 Verifying : perl-OLE-Storage_Lite-0.19-26.fc31.noarch 235/3004 Verifying : perl-Package-Generator-1.106-16.fc31.noarch 236/3004 Verifying : perl-Package-Stash-0.38-4.fc31.noarch 237/3004 Verifying : perl-Package-Stash-XS-0.29-4.fc31.x86_64 238/3004 Verifying : perl-PadWalker-2.3-7.fc31.x86_64 239/3004 Verifying : perl-Params-Check-1:0.38-439.fc31.noarch 240/3004 Verifying : perl-Params-Classify-0.015-8.fc31.x86_64 241/3004 Verifying : perl-Params-Util-1.07-28.fc31.x86_64 242/3004 Verifying : perl-Params-Validate-1.29-11.fc31.x86_64 243/3004 Verifying : perl-Params-ValidationCompiler-0.30-5.fc31.noa 244/3004 Verifying : perl-Parse-RecDescent-1.967015-9.fc31.noarch 245/3004 Verifying : perl-PathTools-3.78-439.fc31.x86_64 246/3004 Verifying : perl-PerlIO-utf8_strict-0.007-10.fc31.x86_64 247/3004 Verifying : perl-Pod-Escapes-1:1.07-439.fc31.noarch 248/3004 Verifying : perl-Pod-Perldoc-3.28.01-442.fc31.noarch 249/3004 Verifying : perl-Pod-Simple-1:3.39-2.fc31.noarch 250/3004 Verifying : perl-Ref-Util-0.204-6.fc31.noarch 251/3004 Verifying : perl-Ref-Util-XS-0.117-7.fc31.x86_64 252/3004 Verifying : perl-Regexp-Common-2017060201-10.fc31.noarch 253/3004 Verifying : perl-Socket-4:2.029-4.fc31.x86_64 254/3004 Verifying : perl-Sort-Key-1.33-16.fc31.x86_64 255/3004 Verifying : perl-Spreadsheet-ParseExcel-0.6500-24.fc31.x86 256/3004 Verifying : perl-Spreadsheet-WriteExcel-2.40-16.fc31.noarc 257/3004 Verifying : perl-Sub-Exporter-0.987-20.fc31.noarch 258/3004 Verifying : perl-Sub-Exporter-Progressive-0.001013-10.fc31 259/3004 Verifying : perl-Sub-Identify-0.14-11.fc31.x86_64 260/3004 Verifying : perl-Sub-Install-0.928-21.fc31.noarch 261/3004 Verifying : perl-Term-ANSIColor-4.06-440.fc31.noarch 262/3004 Verifying : perl-Term-Cap-1.17-439.fc31.noarch 263/3004 Verifying : perl-Text-Balanced-2.03-439.fc31.noarch 264/3004 Verifying : perl-Text-BibTeX-0.88-3.fc31.x86_64 265/3004 Verifying : perl-Text-CSV-2.00-2.fc31.noarch 266/3004 Verifying : perl-Text-CSV_XS-1.40-1.fc31.x86_64 267/3004 Verifying : perl-Text-Glob-0.11-9.fc31.noarch 268/3004 Verifying : perl-Text-ParseWords-3.30-439.fc31.noarch 269/3004 Verifying : perl-Text-Roman-3.5-14.fc31.noarch 270/3004 Verifying : perl-Text-Tabs+Wrap-2013.0523-439.fc31.noarch 271/3004 Verifying : perl-Text-Unidecode-1.30-10.fc31.noarch 272/3004 Verifying : perl-Tie-Cycle-1.225-8.fc31.noarch 273/3004 Verifying : perl-Time-HiRes-1.9760-439.fc31.x86_64 274/3004 Verifying : perl-Try-Tiny-0.30-7.fc31.noarch 275/3004 Verifying : perl-UNIVERSAL-isa-1.20171012-7.fc31.noarch 276/3004 Verifying : perl-URI-1.76-5.fc31.noarch 277/3004 Verifying : perl-Unicode-Collate-1.27-439.fc31.x86_64 278/3004 Verifying : perl-Unicode-LineBreak-2019.001-4.fc31.x86_64 279/3004 Verifying : perl-Unicode-Map-0.112-48.fc31.x86_64 280/3004 Verifying : perl-Unicode-Normalize-1.26-439.fc31.x86_64 281/3004 Verifying : perl-Variable-Magic-0.62-8.fc31.x86_64 282/3004 Verifying : perl-WWW-RobotRules-6.02-23.fc31.noarch 283/3004 Verifying : perl-XML-LibXML-1:2.0201-3.fc31.x86_64 284/3004 Verifying : perl-XML-LibXML-Simple-0.99-7.fc31.noarch 285/3004 Verifying : perl-XML-LibXSLT-1.96-6.fc31.x86_64 286/3004 Verifying : perl-XML-NamespaceSupport-1.12-9.fc31.noarch 287/3004 Verifying : perl-XML-Parser-2.44-17.fc31.x86_64 288/3004 Verifying : perl-XML-SAX-1.02-2.fc31.noarch 289/3004 Verifying : perl-XML-SAX-Base-1.09-9.fc31.noarch 290/3004 Verifying : perl-XML-Writer-0.625-15.fc31.noarch 291/3004 Verifying : perl-XML-XPath-1.44-4.fc31.noarch 292/3004 Verifying : perl-autovivification-0.18-7.fc31.x86_64 293/3004 Verifying : perl-constant-1.33-440.fc31.noarch 294/3004 Verifying : perl-libnet-3.11-440.fc31.noarch 295/3004 Verifying : perl-namespace-autoclean-0.29-1.fc31.noarch 296/3004 Verifying : perl-namespace-clean-0.27-12.fc31.noarch 297/3004 Verifying : perl-parent-1:0.237-439.fc31.noarch 298/3004 Verifying : perl-podlators-1:4.12-2.fc31.noarch 299/3004 Verifying : perl-threads-1:2.22-439.fc31.x86_64 300/3004 Verifying : perl-threads-shared-1.60-440.fc31.x86_64 301/3004 Verifying : perltidy-20190915-1.fc31.noarch 302/3004 Verifying : pixman-0.38.4-1.fc31.x86_64 303/3004 Verifying : poppler-data-0.4.9-4.fc31.noarch 304/3004 Verifying : python3-pygments-2.4.2-2.fc31.noarch 305/3004 Verifying : qpdf-libs-8.4.2-2.fc31.x86_64 306/3004 Verifying : qrencode-libs-4.0.2-4.fc31.x86_64 307/3004 Verifying : readline-devel-8.0-3.fc31.x86_64 308/3004 Verifying : rest-0.8.1-6.fc31.x86_64 309/3004 Verifying : sombok-2.4.0-10.fc31.x86_64 310/3004 Verifying : stix-fonts-1.1.0-15.fc31.noarch 311/3004 Verifying : stix-math-fonts-1.1.0-15.fc31.noarch 312/3004 Verifying : tcl-1:8.6.8-2.fc31.x86_64 313/3004 Verifying : tcl-devel-1:8.6.8-2.fc31.x86_64 314/3004 Verifying : teckit-2.5.9-2.fc31.x86_64 315/3004 Verifying : tex-preview-12.1-7.fc31.noarch 316/3004 Verifying : tre-0.8.0-26.20140228gitc2f5d13.fc31.x86_64 317/3004 Verifying : tre-common-0.8.0-26.20140228gitc2f5d13.fc31.no 318/3004 Verifying : txt2man-1.6.0-7.fc31.noarch 319/3004 Verifying : urw-base35-bookman-fonts-20170801-13.fc31.noar 320/3004 Verifying : urw-base35-c059-fonts-20170801-13.fc31.noarch 321/3004 Verifying : urw-base35-d050000l-fonts-20170801-13.fc31.noa 322/3004 Verifying : urw-base35-fonts-20170801-13.fc31.noarch 323/3004 Verifying : urw-base35-fonts-common-20170801-13.fc31.noarc 324/3004 Verifying : urw-base35-gothic-fonts-20170801-13.fc31.noarc 325/3004 Verifying : urw-base35-nimbus-mono-ps-fonts-20170801-13.fc 326/3004 Verifying : urw-base35-nimbus-roman-fonts-20170801-13.fc31 327/3004 Verifying : urw-base35-nimbus-sans-fonts-20170801-13.fc31. 328/3004 Verifying : urw-base35-p052-fonts-20170801-13.fc31.noarch 329/3004 Verifying : urw-base35-standard-symbols-ps-fonts-20170801- 330/3004 Verifying : urw-base35-z003-fonts-20170801-13.fc31.noarch 331/3004 Verifying : xdg-utils-1.1.3-5.fc31.noarch 332/3004 Verifying : xml-common-0.6.3-53.fc31.noarch 333/3004 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-23.fc31.no 334/3004 Verifying : xorg-x11-server-utils-7.7-32.fc31.x86_64 335/3004 Verifying : zziplib-0.13.69-6.fc31.x86_64 336/3004 Verifying : ImageMagick-1:6.9.10.86-1.fc31.x86_64 337/3004 Verifying : ImageMagick-libs-1:6.9.10.86-1.fc31.x86_64 338/3004 Verifying : R-core-3.6.3-1.fc31.x86_64 339/3004 Verifying : R-glue-1.3.1-4.fc31.x86_64 340/3004 Verifying : R-knitr-1.28-1.fc31.noarch 341/3004 Verifying : R-mime-0.9-1.fc31.x86_64 342/3004 Verifying : R-stringi-1.4.6-1.fc31.x86_64 343/3004 Verifying : R-stringr-1.4.0-4.fc31.noarch 344/3004 Verifying : R-xfun-0.12-1.fc31.noarch 345/3004 Verifying : R-yaml-2.2.1-1.fc31.x86_64 346/3004 Verifying : adwaita-cursor-theme-3.34.3-2.fc31.noarch 347/3004 Verifying : adwaita-icon-theme-3.34.3-2.fc31.noarch 348/3004 Verifying : alsa-lib-1.2.2-2.fc31.x86_64 349/3004 Verifying : annobin-8.78-4.fc31.x86_64 350/3004 Verifying : at-spi2-atk-2.34.2-1.fc31.x86_64 351/3004 Verifying : biber-2.12-1.fc31.noarch 352/3004 Verifying : cpp-9.3.1-2.fc31.x86_64 353/3004 Verifying : cryptsetup-libs-2.3.0-1.fc31.x86_64 354/3004 Verifying : cups-1:2.2.12-6.fc31.x86_64 355/3004 Verifying : cups-client-1:2.2.12-6.fc31.x86_64 356/3004 Verifying : cups-filesystem-1:2.2.12-6.fc31.noarch 357/3004 Verifying : cups-filters-1.27.3-1.fc31.x86_64 358/3004 Verifying : cups-filters-libs-1.27.3-1.fc31.x86_64 359/3004 Verifying : cups-ipptool-1:2.2.12-6.fc31.x86_64 360/3004 Verifying : cups-libs-1:2.2.12-6.fc31.x86_64 361/3004 Verifying : device-mapper-1.02.171-1.fc31.x86_64 362/3004 Verifying : device-mapper-libs-1.02.171-1.fc31.x86_64 363/3004 Verifying : emacs-filesystem-1:26.3-1.fc31.noarch 364/3004 Verifying : fonts-filesystem-2.0.3-1.fc31.noarch 365/3004 Verifying : fribidi-1.0.5-5.fc31.x86_64 366/3004 Verifying : gcc-9.3.1-2.fc31.x86_64 367/3004 Verifying : gcc-c++-9.3.1-2.fc31.x86_64 368/3004 Verifying : gd-2.2.5-12.fc31.x86_64 369/3004 Verifying : ghostscript-9.27-4.fc31.x86_64 370/3004 Verifying : glib-networking-2.62.3-1.fc31.x86_64 371/3004 Verifying : glib2-2.62.6-1.fc31.x86_64 372/3004 Verifying : glibc-devel-2.30-11.fc31.x86_64 373/3004 Verifying : glibc-headers-2.30-11.fc31.x86_64 374/3004 Verifying : gnutls-3.6.13-1.fc31.x86_64 375/3004 Verifying : gstreamer1-1.16.2-1.fc31.x86_64 376/3004 Verifying : gstreamer1-plugins-base-1.16.2-2.fc31.x86_64 377/3004 Verifying : gtk-update-icon-cache-3.24.13-2.fc31.x86_64 378/3004 Verifying : gtk2-2.24.32-6.fc31.x86_64 379/3004 Verifying : gtk3-3.24.13-2.fc31.x86_64 380/3004 Verifying : hwdata-0.334-1.fc31.noarch 381/3004 Verifying : iptables-libs-1.8.3-7.fc31.x86_64 382/3004 Verifying : json-c-0.13.1-8.fc31.x86_64 383/3004 Verifying : kernel-headers-5.5.17-200.fc31.x86_64 384/3004 Verifying : libRmath-3.6.3-1.fc31.x86_64 385/3004 Verifying : libX11-1.6.9-2.fc31.x86_64 386/3004 Verifying : libX11-common-1.6.9-2.fc31.noarch 387/3004 Verifying : libX11-xcb-1.6.9-2.fc31.x86_64 388/3004 Verifying : libdrm-2.4.100-1.fc31.x86_64 389/3004 Verifying : libgee-0.20.3-1.fc31.x86_64 390/3004 Verifying : libgfortran-9.3.1-2.fc31.x86_64 391/3004 Verifying : libgs-9.27-4.fc31.x86_64 392/3004 Verifying : libgusb-0.3.4-1.fc31.x86_64 393/3004 Verifying : libjpeg-turbo-2.0.2-5.fc31.x86_64 394/3004 Verifying : libpcap-14:1.9.1-2.fc31.x86_64 395/3004 Verifying : libquadmath-9.3.1-2.fc31.x86_64 396/3004 Verifying : libraqm-0.7.0-4.fc31.x86_64 397/3004 Verifying : librsvg2-2.46.4-1.fc31.x86_64 398/3004 Verifying : libseccomp-2.4.2-2.fc31.x86_64 399/3004 Verifying : libsoup-2.68.4-1.fc31.x86_64 400/3004 Verifying : libstdc++-devel-9.3.1-2.fc31.x86_64 401/3004 Verifying : libtiff-4.0.10-8.fc31.x86_64 402/3004 Verifying : libxcrypt-devel-4.4.16-1.fc31.x86_64 403/3004 Verifying : libxkbcommon-0.9.1-3.fc31.x86_64 404/3004 Verifying : libxslt-1.1.34-1.fc31.x86_64 405/3004 Verifying : mesa-libEGL-19.2.8-1.fc31.x86_64 406/3004 Verifying : mesa-libGL-19.2.8-1.fc31.x86_64 407/3004 Verifying : mesa-libgbm-19.2.8-1.fc31.x86_64 408/3004 Verifying : mesa-libglapi-19.2.8-1.fc31.x86_64 409/3004 Verifying : netpbm-10.90.00-1.fc31.x86_64 410/3004 Verifying : nspr-4.25.0-1.fc31.x86_64 411/3004 Verifying : nss-3.51.0-1.fc31.x86_64 412/3004 Verifying : nss-mdns-0.14.1-7.fc31.x86_64 413/3004 Verifying : nss-softokn-3.51.0-1.fc31.x86_64 414/3004 Verifying : nss-softokn-freebl-3.51.0-1.fc31.x86_64 415/3004 Verifying : nss-sysinit-3.51.0-1.fc31.x86_64 416/3004 Verifying : nss-util-3.51.0-1.fc31.x86_64 417/3004 Verifying : openblas-Rblas-0.3.9-2.fc31.x86_64 418/3004 Verifying : openjpeg2-2.3.1-6.fc31.x86_64 419/3004 Verifying : pango-1.44.7-1.fc31.x86_64 420/3004 Verifying : perl-Date-Manip-6.80-1.fc31.noarch 421/3004 Verifying : perl-DateTime-Calendar-Julian-0.102-1.fc31.noa 422/3004 Verifying : perl-DateTime-Locale-1.25-1.fc31.noarch 423/3004 Verifying : perl-DateTime-TimeZone-2.38-1.fc31.noarch 424/3004 Verifying : perl-Encode-4:3.05-443.fc31.x86_64 425/3004 Verifying : perl-Errno-1.30-451.fc31.x86_64 426/3004 Verifying : perl-Exporter-5.74-1.fc31.noarch 427/3004 Verifying : perl-ExtUtils-MM-Utils-2:7.44-1.fc31.noarch 428/3004 Verifying : perl-HTTP-Date-6.05-1.fc31.noarch 429/3004 Verifying : perl-HTTP-Message-6.22-1.fc31.noarch 430/3004 Verifying : perl-IO-1.40-451.fc31.x86_64 431/3004 Verifying : perl-IO-Socket-SSL-2.066-7.fc31.noarch 432/3004 Verifying : perl-List-AllUtils-0.16-1.fc31.noarch 433/3004 Verifying : perl-Locale-Maketext-Simple-1:0.21-451.fc31.no 434/3004 Verifying : perl-Math-Complex-1.59-451.fc31.noarch 435/3004 Verifying : perl-Module-CoreList-1:5.20200320-1.fc31.noarc 436/3004 Verifying : perl-Module-Load-Conditional-0.70-1.fc31.noarc 437/3004 Verifying : perl-Pod-Html-1.24-451.fc31.noarch 438/3004 Verifying : perl-Pod-Usage-4:1.70-1.fc31.noarch 439/3004 Verifying : perl-Role-Tiny-2.001004-1.fc31.noarch 440/3004 Verifying : perl-Scalar-List-Utils-3:1.53-439.fc31.x86_64 441/3004 Verifying : perl-SelfLoader-1.25-451.fc31.noarch 442/3004 Verifying : perl-Specio-0.44-2.fc31.noarch 443/3004 Verifying : perl-Storable-1:3.15-442.fc31.x86_64 444/3004 Verifying : perl-Sys-Syslog-0.36-1.fc31.x86_64 445/3004 Verifying : perl-Time-Local-2:1.300-1.fc31.noarch 446/3004 Verifying : perl-TimeDate-1:2.30-19.fc31.noarch 447/3004 Verifying : perl-encoding-4:2.22-443.fc31.x86_64 448/3004 Verifying : perl-interpreter-4:5.30.2-451.fc31.x86_64 449/3004 Verifying : perl-libs-4:5.30.2-451.fc31.x86_64 450/3004 Verifying : perl-libwww-perl-6.43-1.fc31.noarch 451/3004 Verifying : perl-macros-4:5.30.2-451.fc31.noarch 452/3004 Verifying : perl-open-1.11-451.fc31.noarch 453/3004 Verifying : perl-version-7:0.99.24-441.fc31.x86_64 454/3004 Verifying : polkit-libs-0.116-4.fc31.1.x86_64 455/3004 Verifying : poppler-0.73.0-16.fc31.x86_64 456/3004 Verifying : poppler-cpp-0.73.0-16.fc31.x86_64 457/3004 Verifying : poppler-glib-0.73.0-16.fc31.x86_64 458/3004 Verifying : poppler-utils-0.73.0-16.fc31.x86_64 459/3004 Verifying : python-unversioned-command-3.7.6-2.fc31.noarch 460/3004 Verifying : python2-2.7.17-1.fc31.x86_64 461/3004 Verifying : python2-libs-2.7.17-1.fc31.x86_64 462/3004 Verifying : python3-setuptools-41.6.0-1.fc31.noarch 463/3004 Verifying : shared-mime-info-1.15-1.fc31.x86_64 464/3004 Verifying : systemd-243.8-1.fc31.x86_64 465/3004 Verifying : systemd-pam-243.8-1.fc31.x86_64 466/3004 Verifying : systemd-rpm-macros-243.8-1.fc31.noarch 467/3004 Verifying : texlive-12many-9:svn15878.0.3-19.fc31.noarch 468/3004 Verifying : texlive-2up-9:svn41578-19.fc31.noarch 469/3004 Verifying : texlive-ESIEEcv-9:svn15878.0-19.fc31.noarch 470/3004 Verifying : texlive-GS1-9:svn44822-19.fc31.noarch 471/3004 Verifying : texlive-HA-prosper-9:svn15878.4.21-19.fc31.noa 472/3004 Verifying : texlive-IEEEconf-9:svn15878.1.4-19.fc31.noarch 473/3004 Verifying : texlive-IEEEtran-9:svn51065-19.fc31.noarch 474/3004 Verifying : texlive-SIstyle-9:svn15878.2.3a-19.fc31.noarch 475/3004 Verifying : texlive-SIunits-9:svn15878.1.36-19.fc31.noarch 476/3004 Verifying : texlive-Tabbing-9:svn17022.0-19.fc31.noarch 477/3004 Verifying : texlive-a0poster-9:svn15878.1.22b-19.fc31.noar 478/3004 Verifying : texlive-a4wide-9:svn20943.0-19.fc31.noarch 479/3004 Verifying : texlive-a5comb-9:svn17020.4-19.fc31.noarch 480/3004 Verifying : texlive-aastex-9:svn47692-19.fc31.noarch 481/3004 Verifying : texlive-abnt-9:svn49188-19.fc31.noarch 482/3004 Verifying : texlive-abntex2-9:svn49248-19.fc31.noarch 483/3004 Verifying : texlive-abraces-9:svn27880.2-19.fc31.noarch 484/3004 Verifying : texlive-abstract-9:svn15878.1.2a-19.fc31.noarc 485/3004 Verifying : texlive-academicons-9:svn48100-19.fc31.noarch 486/3004 Verifying : texlive-accanthis-9:svn32089.0-19.fc31.noarch 487/3004 Verifying : texlive-accents-9:svn51497-19.fc31.noarch 488/3004 Verifying : texlive-achemso-9:svn50025-19.fc31.noarch 489/3004 Verifying : texlive-acmart-9:svn51796-19.fc31.noarch 490/3004 Verifying : texlive-acmconf-9:svn15878.1.3-19.fc31.noarch 491/3004 Verifying : texlive-acro-9:svn52662-19.fc31.noarch 492/3004 Verifying : texlive-acronym-9:svn36582.1.41-19.fc31.noarch 493/3004 Verifying : texlive-acroterm-9:svn20498.0.1-19.fc31.noarch 494/3004 Verifying : texlive-active-conf-9:svn15878.0.3a-19.fc31.no 495/3004 Verifying : texlive-actuarialangle-9:svn51376-19.fc31.noar 496/3004 Verifying : texlive-actuarialsymbol-9:svn51371-19.fc31.noa 497/3004 Verifying : texlive-addfont-9:svn41972-19.fc31.noarch 498/3004 Verifying : texlive-addlines-9:svn49326-19.fc31.noarch 499/3004 Verifying : texlive-adfathesis-9:svn26048.2.42-19.fc31.noa 500/3004 Verifying : texlive-adforn-9:svn20019.1.001_b_2-19.fc31.no 501/3004 Verifying : texlive-adfsymbols-9:svn19766.1.001-19.fc31.no 502/3004 Verifying : texlive-adigraph-9:svn49862-19.fc31.noarch 503/3004 Verifying : texlive-adjmulticol-9:svn28936.1.1-19.fc31.noa 504/3004 Verifying : texlive-adjustbox-9:svn49596-19.fc31.noarch 505/3004 Verifying : texlive-adrconv-9:svn46817-19.fc31.noarch 506/3004 Verifying : texlive-advdate-9:svn20538.0-19.fc31.noarch 507/3004 Verifying : texlive-ae-9:svn15878.1.4-19.fc31.noarch 508/3004 Verifying : texlive-aecc-9:svn28574.1.0-19.fc31.noarch 509/3004 Verifying : texlive-aeguill-9:svn15878.0-19.fc31.noarch 510/3004 Verifying : texlive-afparticle-9:svn35900.1.3-19.fc31.noar 511/3004 Verifying : texlive-afthesis-9:svn15878.2.7-19.fc31.noarch 512/3004 Verifying : texlive-aguplus-9:svn17156.1.6b-19.fc31.noarch 513/3004 Verifying : texlive-aiaa-9:svn15878.3.6-19.fc31.noarch 514/3004 Verifying : texlive-aichej-9:svn15878.0-19.fc31.noarch 515/3004 Verifying : texlive-ajl-9:svn34016.0-19.fc31.noarch 516/3004 Verifying : texlive-akktex-9:svn26055.0.3.2-19.fc31.noarch 517/3004 Verifying : texlive-akletter-9:svn15878.1.5i-19.fc31.noarc 518/3004 Verifying : texlive-alegreya-9:svn50277-19.fc31.noarch 519/3004 Verifying : texlive-alertmessage-9:svn38055.1.1-19.fc31.no 520/3004 Verifying : texlive-alg-9:svn15878.0-19.fc31.noarch 521/3004 Verifying : texlive-algobox-9:svn45223-19.fc31.noarch 522/3004 Verifying : texlive-algolrevived-9:svn51210-19.fc31.noarch 523/3004 Verifying : texlive-algorithm2e-9:svn44846-19.fc31.noarch 524/3004 Verifying : texlive-algorithmicx-9:svn15878.0-19.fc31.noar 525/3004 Verifying : texlive-algorithms-9:svn42428-19.fc31.noarch 526/3004 Verifying : texlive-aligned-overset-9:svn47290-19.fc31.noa 527/3004 Verifying : texlive-allrunes-9:svn42221-19.fc31.noarch 528/3004 Verifying : texlive-almendra-9:svn51085-19.fc31.noarch 529/3004 Verifying : texlive-almfixed-9:svn35065.0.92-19.fc31.noarc 530/3004 Verifying : texlive-alnumsec-9:svn15878.v0.03-19.fc31.noar 531/3004 Verifying : texlive-alterqcm-9:svn51446-19.fc31.noarch 532/3004 Verifying : texlive-altfont-9:svn15878.1.1-19.fc31.noarch 533/3004 Verifying : texlive-ametsoc-9:svn36030.4.3.2-19.fc31.noarc 534/3004 Verifying : texlive-amsaddr-9:svn29630.1.1-19.fc31.noarch 535/3004 Verifying : texlive-amscdx-9:svn51532-19.fc31.noarch 536/3004 Verifying : texlive-amscls-9:svn46099-19.fc31.noarch 537/3004 Verifying : texlive-amsfonts-9:svn29208.3.04-19.fc31.noarc 538/3004 Verifying : texlive-amsmath-9:svn49390-19.fc31.noarch 539/3004 Verifying : texlive-amsrefs-9:svn30646.2.14-19.fc31.noarch 540/3004 Verifying : texlive-amstex-7:20190410-8.fc31.noarch 541/3004 Verifying : texlive-animate-9:svn51704-19.fc31.noarch 542/3004 Verifying : texlive-anonchap-9:svn17049.1.1a-19.fc31.noarc 543/3004 Verifying : texlive-anonymouspro-9:svn51631-19.fc31.noarch 544/3004 Verifying : texlive-answers-9:svn35032.2.16-19.fc31.noarch 545/3004 Verifying : texlive-antiqua-9:svn24266.001.003-19.fc31.noa 546/3004 Verifying : texlive-antt-9:svn18651.2.08-19.fc31.noarch 547/3004 Verifying : texlive-anufinalexam-doc-9:svn26053.0-19.fc31. 548/3004 Verifying : texlive-anyfontsize-9:svn17050.0-19.fc31.noarc 549/3004 Verifying : texlive-anysize-9:svn15878.0-19.fc31.noarch 550/3004 Verifying : texlive-aobs-tikz-9:svn32662.1.0-19.fc31.noarc 551/3004 Verifying : texlive-aomart-9:svn46091-19.fc31.noarch 552/3004 Verifying : texlive-apa-9:svn42428-19.fc31.noarch 553/3004 Verifying : texlive-apa6-9:svn44652-19.fc31.noarch 554/3004 Verifying : texlive-apa6e-9:svn23350.0.3-19.fc31.noarch 555/3004 Verifying : texlive-apacite-9:svn31264.6.03-19.fc31.noarch 556/3004 Verifying : texlive-apalike2-9:svn15878.0-19.fc31.noarch 557/3004 Verifying : texlive-appendix-9:svn42428-19.fc31.noarch 558/3004 Verifying : texlive-appendixnumberbeamer-9:svn46317-19.fc3 559/3004 Verifying : texlive-apptools-9:svn28400.1.0-19.fc31.noarch 560/3004 Verifying : texlive-apxproof-9:svn49865-19.fc31.noarch 561/3004 Verifying : texlive-arabicfront-9:svn51474-19.fc31.noarch 562/3004 Verifying : texlive-archaeologie-9:svn50908-19.fc31.noarch 563/3004 Verifying : texlive-archaic-9:svn38005.0-19.fc31.noarch 564/3004 Verifying : texlive-arcs-9:svn15878.1-19.fc31.noarch 565/3004 Verifying : texlive-arev-9:svn15878.0-19.fc31.noarch 566/3004 Verifying : texlive-arimo-9:svn42880-19.fc31.noarch 567/3004 Verifying : texlive-arphic-9:svn15878.0-19.fc31.noarch 568/3004 Verifying : texlive-arraycols-9:svn51491-19.fc31.noarch 569/3004 Verifying : texlive-arrayjobx-9:svn18125.1.04-19.fc31.noar 570/3004 Verifying : texlive-arraysort-9:svn31576.1.0-19.fc31.noarc 571/3004 Verifying : texlive-arsclassica-9:svn45656-19.fc31.noarch 572/3004 Verifying : texlive-articleingud-9:svn38741-19.fc31.noarch 573/3004 Verifying : texlive-arydshln-9:svn50084-19.fc31.noarch 574/3004 Verifying : texlive-asaetr-9:svn15878.1.0a-19.fc31.noarch 575/3004 Verifying : texlive-asana-math-9:svn50999-19.fc31.noarch 576/3004 Verifying : texlive-asapsym-9:svn40201-19.fc31.noarch 577/3004 Verifying : texlive-ascelike-9:svn29129.2.3-19.fc31.noarch 578/3004 Verifying : texlive-ascii-font-9:svn29989.2.0-19.fc31.noar 579/3004 Verifying : texlive-asciilist-9:svn49060-19.fc31.noarch 580/3004 Verifying : texlive-askmaps-9:svn32320.0.1-19.fc31.noarch 581/3004 Verifying : texlive-asmeconf-9:svn51583-19.fc31.noarch 582/3004 Verifying : texlive-asmejour-9:svn51567-19.fc31.noarch 583/3004 Verifying : texlive-aspectratio-9:svn25243.2.0-19.fc31.noa 584/3004 Verifying : texlive-assignment-9:svn20431.0-19.fc31.noarch 585/3004 Verifying : texlive-assoccnt-9:svn38497-19.fc31.noarch 586/3004 Verifying : texlive-astro-9:svn15878.2.20-19.fc31.noarch 587/3004 Verifying : texlive-asyfig-9:svn17512.0.1c-19.fc31.noarch 588/3004 Verifying : texlive-asypictureb-9:svn33490.0.3-19.fc31.noa 589/3004 Verifying : texlive-attachfile-9:svn42099-19.fc31.noarch 590/3004 Verifying : texlive-aucklandthesis-9:svn51323-19.fc31.noar 591/3004 Verifying : texlive-augie-9:svn18948.0-19.fc31.noarch 592/3004 Verifying : texlive-auncial-new-9:svn15878.2.0-19.fc31.noa 593/3004 Verifying : texlive-aurical-9:svn15878.1.5-19.fc31.noarch 594/3004 Verifying : texlive-aurl-9:svn41853-19.fc31.noarch 595/3004 Verifying : texlive-authoraftertitle-9:svn24863.0.9-19.fc3 596/3004 Verifying : texlive-authorarchive-9:svn51430-19.fc31.noarc 597/3004 Verifying : texlive-authorindex-7:20190410-8.fc31.noarch 598/3004 Verifying : texlive-auto-pst-pdf-9:svn23723.0.6-19.fc31.no 599/3004 Verifying : texlive-autoarea-9:svn15878.0.3a-19.fc31.noarc 600/3004 Verifying : texlive-autobreak-9:svn43337-19.fc31.noarch 601/3004 Verifying : texlive-autonum-9:svn36084.0.3.11-19.fc31.noar 602/3004 Verifying : texlive-autopdf-9:svn32377.1.1-19.fc31.noarch 603/3004 Verifying : texlive-avantgar-9:svn31835.0-19.fc31.noarch 604/3004 Verifying : texlive-avremu-9:svn35373.0.1-19.fc31.noarch 605/3004 Verifying : texlive-axodraw2-7:20190410-8.fc31.x86_64 606/3004 Verifying : texlive-b1encoding-9:svn21271.1.0-19.fc31.noar 607/3004 Verifying : texlive-babel-english-9:svn44495-19.fc31.noarc 608/3004 Verifying : texlive-babel-9:svn51681-19.fc31.noarch 609/3004 Verifying : texlive-babelbib-9:svn50354-19.fc31.noarch 610/3004 Verifying : texlive-background-9:svn42428-19.fc31.noarch 611/3004 Verifying : texlive-backnaur-9:svn51505-19.fc31.noarch 612/3004 Verifying : texlive-bangorcsthesis-9:svn45059-19.fc31.noar 613/3004 Verifying : texlive-bangorexam-9:svn46626-19.fc31.noarch 614/3004 Verifying : texlive-bankstatement-9:svn38857-19.fc31.noarc 615/3004 Verifying : texlive-barcodes-9:svn15878.0-19.fc31.noarch 616/3004 Verifying : texlive-bardiag-9:svn22013.0.4a-19.fc31.noarch 617/3004 Verifying : texlive-base-7:20190410-8.fc31.x86_64 618/3004 Verifying : texlive-bashful-9:svn25597.0.93-19.fc31.noarch 619/3004 Verifying : texlive-basicarith-9:svn35460.1.1-19.fc31.noar 620/3004 Verifying : texlive-baskervald-9:svn19490.1.016-19.fc31.no 621/3004 Verifying : texlive-baskervaldx-9:svn43461-19.fc31.noarch 622/3004 Verifying : texlive-baskervillef-9:svn51121-19.fc31.noarch 623/3004 Verifying : texlive-bath-bst-9:svn51595-19.fc31.noarch 624/3004 Verifying : texlive-bbding-9:svn17186.1.01-19.fc31.noarch 625/3004 Verifying : texlive-bbm-macros-9:svn17224.0-19.fc31.noarch 626/3004 Verifying : texlive-bbm-9:svn15878.0-19.fc31.noarch 627/3004 Verifying : texlive-bbold-9:svn17187.1.01-19.fc31.noarch 628/3004 Verifying : texlive-bbold-type1-9:svn33143.0-19.fc31.noarc 629/3004 Verifying : texlive-bchart-9:svn43928-19.fc31.noarch 630/3004 Verifying : texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-1 631/3004 Verifying : texlive-beamer-rl-9:svn50970-19.fc31.noarch 632/3004 Verifying : texlive-beamer-9:svn51711-19.fc31.noarch 633/3004 Verifying : texlive-beamer-verona-9:svn39180-19.fc31.noarc 634/3004 Verifying : texlive-beamer2thesis-9:svn27539.2.2-19.fc31.n 635/3004 Verifying : texlive-beameraudience-9:svn23427.0.1-19.fc31. 636/3004 Verifying : texlive-beamerauxtheme-9:svn51053-19.fc31.noar 637/3004 Verifying : texlive-beamercolorthemeowl-9:svn40105-19.fc31 638/3004 Verifying : texlive-beamerdarkthemes-9:svn35101.0.4.1-19.f 639/3004 Verifying : texlive-beamerposter-9:svn47508-19.fc31.noarch 640/3004 Verifying : texlive-beamersubframe-9:svn23510.0.2-19.fc31. 641/3004 Verifying : texlive-beamerswitch-9:svn51341-19.fc31.noarch 642/3004 Verifying : texlive-beamertheme-cuerna-9:svn42161-19.fc31. 643/3004 Verifying : texlive-beamertheme-detlevcm-9:svn39048-19.fc3 644/3004 Verifying : texlive-beamertheme-epyt-9:svn41404-19.fc31.no 645/3004 Verifying : texlive-beamertheme-focus-9:svn51489-19.fc31.n 646/3004 Verifying : texlive-beamertheme-light-9:svn49867-19.fc31.n 647/3004 Verifying : texlive-beamertheme-metropolis-9:svn43031-19.f 648/3004 Verifying : texlive-beamertheme-npbt-9:svn48424-19.fc31.no 649/3004 Verifying : texlive-beamertheme-phnompenh-9:svn39100-19.fc 650/3004 Verifying : texlive-beamertheme-saintpetersburg-9:svn45877 651/3004 Verifying : texlive-beamertheme-upenn-bc-9:svn29937.1.0-19 652/3004 Verifying : texlive-beamerthemejltree-9:svn21977.1.1-19.fc 653/3004 Verifying : texlive-beamerthemenirma-9:svn20765.0.1-19.fc3 654/3004 Verifying : texlive-beebe-9:svn46314-19.fc31.noarch 655/3004 Verifying : texlive-begriff-9:svn15878.1.6-19.fc31.noarch 656/3004 Verifying : texlive-beilstein-9:svn46503-19.fc31.noarch 657/3004 Verifying : texlive-belleek-9:svn18651.0-19.fc31.noarch 658/3004 Verifying : texlive-bera-9:svn20031.0-19.fc31.noarch 659/3004 Verifying : texlive-berenisadf-9:svn32215.1.004-19.fc31.no 660/3004 Verifying : texlive-besjournals-9:svn45662-19.fc31.noarch 661/3004 Verifying : texlive-bestpapers-9:svn38708-19.fc31.noarch 662/3004 Verifying : texlive-beton-9:svn15878.0-19.fc31.noarch 663/3004 Verifying : texlive-beuron-9:svn46374-19.fc31.noarch 664/3004 Verifying : texlive-bewerbung-9:svn37880.1.1-19.fc31.noarc 665/3004 Verifying : texlive-bez123-9:svn15878.1.1b-19.fc31.noarch 666/3004 Verifying : texlive-bgteubner-9:svn44205-19.fc31.noarch 667/3004 Verifying : texlive-bguq-9:svn27401.0.4-19.fc31.noarch 668/3004 Verifying : texlive-bhcexam-9:svn39041-19.fc31.noarch 669/3004 Verifying : texlive-bib2gls-7:20190410-8.fc31.noarch 670/3004 Verifying : texlive-bibarts-9:svn50226-19.fc31.noarch 671/3004 Verifying : texlive-bibexport-7:20190410-8.fc31.noarch 672/3004 Verifying : texlive-bibhtml-9:svn31607.2.0.2-19.fc31.noarc 673/3004 Verifying : texlive-biblatex-abnt-9:svn49179-19.fc31.noarc 674/3004 Verifying : texlive-biblatex-anonymous-9:svn45855-19.fc31. 675/3004 Verifying : texlive-biblatex-apa-9:svn47268-19.fc31.noarch 676/3004 Verifying : texlive-biblatex-archaeology-9:svn49202-19.fc3 677/3004 Verifying : texlive-biblatex-arthistory-bonn-9:svn46637-19 678/3004 Verifying : texlive-biblatex-bath-9:svn51599-19.fc31.noarc 679/3004 Verifying : texlive-biblatex-bookinarticle-9:svn40323-19.f 680/3004 Verifying : texlive-biblatex-bookinother-9:svn45856-19.fc3 681/3004 Verifying : texlive-biblatex-bwl-9:svn26556.0.02-19.fc31.n 682/3004 Verifying : texlive-biblatex-caspervector-9:svn48122-19.fc 683/3004 Verifying : texlive-biblatex-chem-9:svn46441-19.fc31.noarc 684/3004 Verifying : texlive-biblatex-chicago-9:svn46331-19.fc31.no 685/3004 Verifying : texlive-biblatex-claves-9:svn43723-19.fc31.noa 686/3004 Verifying : texlive-biblatex-dw-9:svn42649-19.fc31.noarch 687/3004 Verifying : texlive-biblatex-enc-9:svn44627-19.fc31.noarch 688/3004 Verifying : texlive-biblatex-ext-9:svn50759-19.fc31.noarch 689/3004 Verifying : texlive-biblatex-fiwi-9:svn45876-19.fc31.noarc 690/3004 Verifying : texlive-biblatex-gb7714-2015-9:svn50661-19.fc3 691/3004 Verifying : texlive-biblatex-gost-9:svn46709-19.fc31.noarc 692/3004 Verifying : texlive-biblatex-historian-9:svn19787.0.4-19.f 693/3004 Verifying : texlive-biblatex-ieee-9:svn51402-19.fc31.noarc 694/3004 Verifying : texlive-biblatex-ijsra-9:svn41634-19.fc31.noar 695/3004 Verifying : texlive-biblatex-iso690-9:svn44066-19.fc31.noa 696/3004 Verifying : texlive-biblatex-juradiss-9:svn29252.0.1g-19.f 697/3004 Verifying : texlive-biblatex-lni-9:svn49935-19.fc31.noarch 698/3004 Verifying : texlive-biblatex-luh-ipw-9:svn32180.0.3-19.fc3 699/3004 Verifying : texlive-biblatex-manuscripts-philology-9:svn45 700/3004 Verifying : texlive-biblatex-mla-9:svn42445-19.fc31.noarch 701/3004 Verifying : texlive-biblatex-morenames-9:svn43049-19.fc31. 702/3004 Verifying : texlive-biblatex-multiple-dm-9:svn37081.1.0.1- 703/3004 Verifying : texlive-biblatex-musuos-9:svn24097.1.0-19.fc31 704/3004 Verifying : texlive-biblatex-nature-9:svn43382-19.fc31.noa 705/3004 Verifying : texlive-biblatex-nejm-9:svn49839-19.fc31.noarc 706/3004 Verifying : texlive-biblatex-nottsclassic-9:svn41596-19.fc 707/3004 Verifying : texlive-biblatex-opcit-booktitle-9:svn43621-19 708/3004 Verifying : texlive-biblatex-oxref-9:svn50061-19.fc31.noar 709/3004 Verifying : texlive-biblatex-philosophy-9:svn47283-19.fc31 710/3004 Verifying : texlive-biblatex-phys-9:svn41922-19.fc31.noarc 711/3004 Verifying : texlive-biblatex-publist-9:svn50989-19.fc31.no 712/3004 Verifying : texlive-biblatex-realauthor-9:svn45865-19.fc31 713/3004 Verifying : texlive-biblatex-sbl-9:svn49426-19.fc31.noarch 714/3004 Verifying : texlive-biblatex-science-9:svn42147-19.fc31.no 715/3004 Verifying : texlive-biblatex-shortfields-9:svn45858-19.fc3 716/3004 Verifying : texlive-biblatex-socialscienceshuberlin-9:svn4 717/3004 Verifying : texlive-biblatex-source-division-9:svn45379-19 718/3004 Verifying : texlive-biblatex-subseries-9:svn43330-19.fc31. 719/3004 Verifying : texlive-biblatex-9:svn49069-19.fc31.noarch 720/3004 Verifying : texlive-biblatex-swiss-legal-9:svn32750.1.1.2a 721/3004 Verifying : texlive-biblatex-trad-9:svn46668-19.fc31.noarc 722/3004 Verifying : texlive-biblatex-true-citepages-omit-9:svn4465 723/3004 Verifying : texlive-bibletext-9:svn45196-19.fc31.noarch 724/3004 Verifying : texlive-biblist-9:svn17116.0-19.fc31.noarch 725/3004 Verifying : texlive-bibtex-7:20190410-8.fc31.x86_64 726/3004 Verifying : texlive-bibtexperllibs-9:svn47520-19.fc31.noar 727/3004 Verifying : texlive-bibtopic-9:svn15878.1.1a-19.fc31.noarc 728/3004 Verifying : texlive-bibtopicprefix-9:svn15878.1.10-19.fc31 729/3004 Verifying : texlive-bibunits-9:svn15878.2.2-19.fc31.noarch 730/3004 Verifying : texlive-bidi-9:svn51377-19.fc31.noarch 731/3004 Verifying : texlive-bigfoot-9:svn38248.2.1-19.fc31.noarch 732/3004 Verifying : texlive-bigints-9:svn29803.0-19.fc31.noarch 733/3004 Verifying : texlive-binarytree-9:svn41777-19.fc31.noarch 734/3004 Verifying : texlive-binomexp-9:svn15878.1.0-19.fc31.noarch 735/3004 Verifying : texlive-biochemistry-colors-9:svn43960-19.fc31 736/3004 Verifying : texlive-biocon-9:svn15878.0-19.fc31.noarch 737/3004 Verifying : texlive-biolett-bst-9:svn42217-19.fc31.noarch 738/3004 Verifying : texlive-bitpattern-9:svn39073-19.fc31.noarch 739/3004 Verifying : texlive-bitter-9:svn51086-19.fc31.noarch 740/3004 Verifying : texlive-bizcard-9:svn15878.1.1-19.fc31.noarch 741/3004 Verifying : texlive-blacklettert1-9:svn15878.0-19.fc31.noa 742/3004 Verifying : texlive-blindtext-9:svn25039.2.0-19.fc31.noarc 743/3004 Verifying : texlive-blkarray-9:svn36406.0.07-19.fc31.noarc 744/3004 Verifying : texlive-blochsphere-9:svn38388-19.fc31.noarch 745/3004 Verifying : texlive-block-9:svn17209.0-19.fc31.noarch 746/3004 Verifying : texlive-bloques-9:svn22490.1.0-19.fc31.noarch 747/3004 Verifying : texlive-blowup-9:svn46213-19.fc31.noarch 748/3004 Verifying : texlive-blox-9:svn35014.2.5-19.fc31.noarch 749/3004 Verifying : texlive-bnumexpr-9:svn49643-19.fc31.noarch 750/3004 Verifying : texlive-bodegraph-9:svn20047.1.4-19.fc31.noarc 751/3004 Verifying : texlive-bohr-9:svn37657.1.0-19.fc31.noarch 752/3004 Verifying : texlive-boisik-9:svn15878.0.5-19.fc31.noarch 753/3004 Verifying : texlive-boites-9:svn32235.1.1-19.fc31.noarch 754/3004 Verifying : texlive-bold-extra-9:svn17076.0.1-19.fc31.noar 755/3004 Verifying : texlive-boldtensors-9:svn15878.0-19.fc31.noarc 756/3004 Verifying : texlive-bondgraph-9:svn21670.1.0-19.fc31.noarc 757/3004 Verifying : texlive-bondgraphs-9:svn36605.1.0.1-19.fc31.no 758/3004 Verifying : texlive-bookcover-9:svn46410-19.fc31.noarch 759/3004 Verifying : texlive-bookdb-9:svn37536.0.2-19.fc31.noarch 760/3004 Verifying : texlive-bookest-9:svn15878.1.1-19.fc31.noarch 761/3004 Verifying : texlive-bookhands-9:svn46480-19.fc31.noarch 762/3004 Verifying : texlive-booklet-9:svn15878.0.7b-19.fc31.noarch 763/3004 Verifying : texlive-bookman-9:svn31835.0-19.fc31.noarch 764/3004 Verifying : texlive-booktabs-9:svn40846-19.fc31.noarch 765/3004 Verifying : texlive-boolexpr-9:svn17830.3.14-19.fc31.noarc 766/3004 Verifying : texlive-boondox-9:svn43344-19.fc31.noarch 767/3004 Verifying : texlive-bophook-9:svn17062.0.02-19.fc31.noarch 768/3004 Verifying : texlive-bosisio-9:svn16989.0-19.fc31.noarch 769/3004 Verifying : texlive-boxedminipage-9:svn17087.2-19.fc31.noa 770/3004 Verifying : texlive-boxedminipage2e-9:svn36477.1.0-19.fc31 771/3004 Verifying : texlive-boxhandler-9:svn28031.1.30-19.fc31.noa 772/3004 Verifying : texlive-bpchem-9:svn45120-19.fc31.noarch 773/3004 Verifying : texlive-br-lex-9:svn44939-19.fc31.noarch 774/3004 Verifying : texlive-bracketkey-9:svn17129.1.0-19.fc31.noar 775/3004 Verifying : texlive-braids-9:svn51048-19.fc31.noarch 776/3004 Verifying : texlive-braille-9:svn20655.0-19.fc31.noarch 777/3004 Verifying : texlive-braket-9:svn17127.0-19.fc31.noarch 778/3004 Verifying : texlive-brandeis-dissertation-9:svn32047.2.0-1 779/3004 Verifying : texlive-brandeis-problemset-9:svn50991-19.fc31 780/3004 Verifying : texlive-breakcites-9:svn21014-19.fc31.noarch 781/3004 Verifying : texlive-breakurl-9:svn29901.1.40-19.fc31.noarc 782/3004 Verifying : texlive-breqn-9:svn43071-19.fc31.noarch 783/3004 Verifying : texlive-bropd-9:svn35383.1.2-19.fc31.noarch 784/3004 Verifying : texlive-brushscr-9:svn28363.0-19.fc31.noarch 785/3004 Verifying : texlive-bullcntr-9:svn15878.0.04-19.fc31.noarc 786/3004 Verifying : texlive-bussproofs-extra-9:svn51299-19.fc31.no 787/3004 Verifying : texlive-bussproofs-9:svn27488.1.1-19.fc31.noar 788/3004 Verifying : texlive-bxcalc-9:svn46482-19.fc31.noarch 789/3004 Verifying : texlive-bxdpx-beamer-9:svn41813-19.fc31.noarch 790/3004 Verifying : texlive-bxdvidriver-9:svn43219-19.fc31.noarch 791/3004 Verifying : texlive-bxeepic-9:svn30559.0.2-19.fc31.noarch 792/3004 Verifying : texlive-bxenclose-9:svn40213-19.fc31.noarch 793/3004 Verifying : texlive-bxnewfont-9:svn44173-19.fc31.noarch 794/3004 Verifying : texlive-bxpapersize-9:svn45501-19.fc31.noarch 795/3004 Verifying : texlive-bxpdfver-9:svn43201-19.fc31.noarch 796/3004 Verifying : texlive-bxtexlogo-9:svn47230-19.fc31.noarch 797/3004 Verifying : texlive-bytefield-9:svn45339-19.fc31.noarch 798/3004 Verifying : texlive-cabin-9:svn50936-19.fc31.noarch 799/3004 Verifying : texlive-cachepic-7:20190410-8.fc31.noarch 800/3004 Verifying : texlive-caladea-9:svn34991.0-19.fc31.noarch 801/3004 Verifying : texlive-calcage-9:svn27725.0.90-19.fc31.noarch 802/3004 Verifying : texlive-calctab-9:svn15878.v0.6.1-19.fc31.noar 803/3004 Verifying : texlive-calculation-9:svn35973.1.0-19.fc31.noa 804/3004 Verifying : texlive-calculator-9:svn33041.2.0-19.fc31.noar 805/3004 Verifying : texlive-calligra-9:svn15878.0-19.fc31.noarch 806/3004 Verifying : texlive-calligra-type1-9:svn24302.001.000-19.f 807/3004 Verifying : texlive-callouts-9:svn44899-19.fc31.noarch 808/3004 Verifying : texlive-calrsfs-9:svn17125.0-19.fc31.noarch 809/3004 Verifying : texlive-cals-9:svn43003-19.fc31.noarch 810/3004 Verifying : texlive-calxxxx-yyyy-9:svn49554-19.fc31.noarch 811/3004 Verifying : texlive-cancel-9:svn32508.2.2-19.fc31.noarch 812/3004 Verifying : texlive-canoniclayout-9:svn24523.0.4-19.fc31.n 813/3004 Verifying : texlive-cantarell-9:svn51459-19.fc31.noarch 814/3004 Verifying : texlive-capt-of-9:svn29803.0-19.fc31.noarch 815/3004 Verifying : texlive-captcont-9:svn15878.2.0-19.fc31.noarch 816/3004 Verifying : texlive-captdef-9:svn17353.0-19.fc31.noarch 817/3004 Verifying : texlive-caption-9:svn47968-19.fc31.noarch 818/3004 Verifying : texlive-carbohydrates-9:svn39000-19.fc31.noarc 819/3004 Verifying : texlive-carlisle-9:svn47876-19.fc31.noarch 820/3004 Verifying : texlive-carlito-9:svn35002.0-19.fc31.noarch 821/3004 Verifying : texlive-carolmin-ps-9:svn15878.0-19.fc31.noarc 822/3004 Verifying : texlive-cascade-9:svn48200-19.fc31.noarch 823/3004 Verifying : texlive-cascadilla-9:svn25144.1.8.2-19.fc31.no 824/3004 Verifying : texlive-cases-9:svn17123.2.5-19.fc31.noarch 825/3004 Verifying : texlive-casyl-9:svn15878.2.0-19.fc31.noarch 826/3004 Verifying : texlive-catchfilebetweentags-9:svn21476.1.1-19 827/3004 Verifying : texlive-catcodes-9:svn38859-19.fc31.noarch 828/3004 Verifying : texlive-catechis-9:svn49061-19.fc31.noarch 829/3004 Verifying : texlive-catoptions-9:svn35069.0.2.7h-19.fc31.n 830/3004 Verifying : texlive-cbcoptic-9:svn16666.0.2-19.fc31.noarch 831/3004 Verifying : texlive-cbfonts-fd-9:svn44917-19.fc31.noarch 832/3004 Verifying : texlive-ccaption-9:svn23443.3.2c-19.fc31.noarc 833/3004 Verifying : texlive-ccfonts-9:svn17122.1.1-19.fc31.noarch 834/3004 Verifying : texlive-ccicons-9:svn45646-19.fc31.noarch 835/3004 Verifying : texlive-cclicenses-9:svn15878.0-19.fc31.noarch 836/3004 Verifying : texlive-cd-cover-9:svn17121.1.0-19.fc31.noarch 837/3004 Verifying : texlive-cd-9:svn34452.1.4-19.fc31.noarch 838/3004 Verifying : texlive-cdpbundl-9:svn46613-19.fc31.noarch 839/3004 Verifying : texlive-cell-9:svn42428-19.fc31.noarch 840/3004 Verifying : texlive-cellprops-9:svn48227-19.fc31.noarch 841/3004 Verifying : texlive-cellspace-9:svn50374-19.fc31.noarch 842/3004 Verifying : texlive-celtic-9:svn39797-19.fc31.noarch 843/3004 Verifying : texlive-censor-9:svn49168-19.fc31.noarch 844/3004 Verifying : texlive-centeredline-9:svn50971-19.fc31.noarch 845/3004 Verifying : texlive-cesenaexam-9:svn44960-19.fc31.noarch 846/3004 Verifying : texlive-cfr-initials-9:svn36728.1.01-19.fc31.n 847/3004 Verifying : texlive-cfr-lm-9:svn36195.1.5-19.fc31.noarch 848/3004 Verifying : texlive-changebar-9:svn46919-19.fc31.noarch 849/3004 Verifying : texlive-changelayout-9:svn16094.1.0-19.fc31.no 850/3004 Verifying : texlive-changelog-9:svn51574-19.fc31.noarch 851/3004 Verifying : texlive-changepage-9:svn15878.1.0c-19.fc31.noa 852/3004 Verifying : texlive-changes-9:svn51705-19.fc31.noarch 853/3004 Verifying : texlive-chappg-9:svn15878.2.1b-19.fc31.noarch 854/3004 Verifying : texlive-chapterfolder-9:svn15878.2.0.1-19.fc31 855/3004 Verifying : texlive-charter-9:svn15878.0-19.fc31.noarch 856/3004 Verifying : texlive-chbibref-9:svn17120.1.0-19.fc31.noarch 857/3004 Verifying : texlive-cheatsheet-9:svn45069-19.fc31.noarch 858/3004 Verifying : texlive-checkend-9:svn51475-19.fc31.noarch 859/3004 Verifying : texlive-chem-journal-9:svn15878.0-19.fc31.noar 860/3004 Verifying : texlive-chemarrow-9:svn17146.0.9-19.fc31.noarc 861/3004 Verifying : texlive-chembst-9:svn15878.0.2.5-19.fc31.noarc 862/3004 Verifying : texlive-chemcompounds-9:svn15878.0-19.fc31.noa 863/3004 Verifying : texlive-chemcono-9:svn17119.1.3-19.fc31.noarch 864/3004 Verifying : texlive-chemexec-9:svn21632.1.0-19.fc31.noarch 865/3004 Verifying : texlive-chemfig-9:svn51176-19.fc31.noarch 866/3004 Verifying : texlive-chemformula-9:svn43583-19.fc31.noarch 867/3004 Verifying : texlive-chemgreek-9:svn42758-19.fc31.noarch 868/3004 Verifying : texlive-chemmacros-9:svn45164-19.fc31.noarch 869/3004 Verifying : texlive-chemnum-9:svn40522-19.fc31.noarch 870/3004 Verifying : texlive-chemschemex-9:svn46723-19.fc31.noarch 871/3004 Verifying : texlive-chemsec-9:svn46972-19.fc31.noarch 872/3004 Verifying : texlive-chemstyle-9:svn31096.2.0m-19.fc31.noar 873/3004 Verifying : texlive-cherokee-9:svn21046.0-19.fc31.noarch 874/3004 Verifying : texlive-chessfss-9:svn19440.1.2a-19.fc31.noarc 875/3004 Verifying : texlive-chet-9:svn45081-19.fc31.noarch 876/3004 Verifying : texlive-chextras-9:svn27118.1.01-19.fc31.noarc 877/3004 Verifying : texlive-chicago-annote-9:svn15878.0-19.fc31.no 878/3004 Verifying : texlive-chicago-9:svn15878.0-19.fc31.noarch 879/3004 Verifying : texlive-childdoc-9:svn49543-19.fc31.noarch 880/3004 Verifying : texlive-chivo-9:svn51689-19.fc31.noarch 881/3004 Verifying : texlive-chkfloat-9:svn27473.0.1-19.fc31.noarch 882/3004 Verifying : texlive-chletter-9:svn20060.2.0-19.fc31.noarch 883/3004 Verifying : texlive-chngcntr-9:svn47577-19.fc31.noarch 884/3004 Verifying : texlive-chronology-9:svn37934.1.1.1-19.fc31.no 885/3004 Verifying : texlive-chs-physics-report-9:svn48549-19.fc31. 886/3004 Verifying : texlive-chscite-9:svn28552.2.9999-19.fc31.noar 887/3004 Verifying : texlive-cinzel-9:svn51101-19.fc31.noarch 888/3004 Verifying : texlive-circ-9:svn15878.1.1-19.fc31.noarch 889/3004 Verifying : texlive-circuitikz-9:svn51634-19.fc31.noarch 890/3004 Verifying : texlive-cite-9:svn36428.5.5-19.fc31.noarch 891/3004 Verifying : texlive-citeall-9:svn45975-19.fc31.noarch 892/3004 Verifying : texlive-citeref-9:svn47407-19.fc31.noarch 893/3004 Verifying : texlive-cje-9:svn46721-19.fc31.noarch 894/3004 Verifying : texlive-cjk-9:svn36951.4.8.4-19.fc31.noarch 895/3004 Verifying : texlive-classics-9:svn29018.0.1-19.fc31.noarch 896/3004 Verifying : texlive-classicthesis-9:svn48041-19.fc31.noarc 897/3004 Verifying : texlive-classpack-9:svn33101.0.77-19.fc31.noar 898/3004 Verifying : texlive-cleanthesis-9:svn51472-19.fc31.noarch 899/3004 Verifying : texlive-clearsans-9:svn34405.0-19.fc31.noarch 900/3004 Verifying : texlive-clefval-9:svn16549.0-19.fc31.noarch 901/3004 Verifying : texlive-cleveref-9:svn47525-19.fc31.noarch 902/3004 Verifying : texlive-clipboard-9:svn47747-19.fc31.noarch 903/3004 Verifying : texlive-clock-9:svn15878.0-19.fc31.noarch 904/3004 Verifying : texlive-cloze-9:svn41531-19.fc31.noarch 905/3004 Verifying : texlive-clrdblpg-9:svn47511-19.fc31.noarch 906/3004 Verifying : texlive-clrscode-9:svn51136-19.fc31.noarch 907/3004 Verifying : texlive-clrscode3e-9:svn51137-19.fc31.noarch 908/3004 Verifying : texlive-clrstrip-9:svn51307-19.fc31.noarch 909/3004 Verifying : texlive-cm-lgc-9:svn28250.0.5-19.fc31.noarch 910/3004 Verifying : texlive-cm-mf-extra-bold-9:svn45796-19.fc31.no 911/3004 Verifying : texlive-cm-super-9:svn15878.0-19.fc31.noarch 912/3004 Verifying : texlive-cm-9:svn49028-19.fc31.noarch 913/3004 Verifying : texlive-cm-unicode-9:svn19445.0.7.0-19.fc31.no 914/3004 Verifying : texlive-cmap-9:svn42428-19.fc31.noarch 915/3004 Verifying : texlive-cmbright-9:svn21107.8.1-19.fc31.noarch 916/3004 Verifying : texlive-cmdstring-9:svn15878.1.1-19.fc31.noarc 917/3004 Verifying : texlive-cmdtrack-9:svn28910-19.fc31.noarch 918/3004 Verifying : texlive-cmexb-9:svn45677-19.fc31.noarch 919/3004 Verifying : texlive-cmextra-9:svn42428-19.fc31.noarch 920/3004 Verifying : texlive-cmll-9:svn17964.0-19.fc31.noarch 921/3004 Verifying : texlive-cmpica-9:svn15878.0-19.fc31.noarch 922/3004 Verifying : texlive-cmpj-9:svn51661-19.fc31.noarch 923/3004 Verifying : texlive-cmsd-9:svn18787.0-19.fc31.noarch 924/3004 Verifying : texlive-cmsrb-9:svn50531-19.fc31.noarch 925/3004 Verifying : texlive-cmtiup-9:svn39728-19.fc31.noarch 926/3004 Verifying : texlive-cnltx-9:svn38138.0.13-19.fc31.noarch 927/3004 Verifying : texlive-cns-9:svn45677-19.fc31.noarch 928/3004 Verifying : texlive-cntformats-9:svn34668.0.7-19.fc31.noar 929/3004 Verifying : texlive-cntperchap-9:svn37572.0.3-19.fc31.noar 930/3004 Verifying : texlive-cochineal-9:svn51460-19.fc31.noarch 931/3004 Verifying : texlive-codeanatomy-9:svn51627-19.fc31.noarch 932/3004 Verifying : texlive-codedoc-9:svn17630.0.3-19.fc31.noarch 933/3004 Verifying : texlive-codepage-9:svn51502-19.fc31.noarch 934/3004 Verifying : texlive-codesection-9:svn34481.0.1-19.fc31.noa 935/3004 Verifying : texlive-coelacanth-9:svn45270-19.fc31.noarch 936/3004 Verifying : texlive-collcell-9:svn21539.0.5-19.fc31.noarch 937/3004 Verifying : texlive-collectbox-9:svn26557.0.4b-19.fc31.noa 938/3004 Verifying : texlive-collection-basic-9:svn51558-19.fc31.no 939/3004 Verifying : texlive-collection-bibtexextra-9:svn50619-19.f 940/3004 Verifying : texlive-collection-fontsextra-9:svn51763-19.fc 941/3004 Verifying : texlive-collection-fontsrecommended-9:svn35830 942/3004 Verifying : texlive-collection-latex-9:svn41614-19.fc31.no 943/3004 Verifying : texlive-collection-latexextra-9:svn51779-19.fc 944/3004 Verifying : texlive-collection-latexrecommended-9:svn51780 945/3004 Verifying : texlive-collection-mathscience-9:svn51797-19.f 946/3004 Verifying : texlive-collection-pictures-9:svn51051-19.fc31 947/3004 Verifying : texlive-collection-publishers-9:svn51691-19.fc 948/3004 Verifying : texlive-collref-9:svn46358-19.fc31.noarch 949/3004 Verifying : texlive-colophon-9:svn47913-19.fc31.noarch 950/3004 Verifying : texlive-colordoc-9:svn18270.0-19.fc31.noarch 951/3004 Verifying : texlive-colorinfo-9:svn15878.0.3c-19.fc31.noar 952/3004 Verifying : texlive-coloring-9:svn41042-19.fc31.noarch 953/3004 Verifying : texlive-colorprofiles-9:svn49086-19.fc31.noarc 954/3004 Verifying : texlive-colorspace-9:svn50585-19.fc31.noarch 955/3004 Verifying : texlive-colortab-9:svn22155.1.0-19.fc31.noarch 956/3004 Verifying : texlive-colortbl-9:svn49404-19.fc31.noarch 957/3004 Verifying : texlive-colorwav-9:svn15878.1.0-19.fc31.noarch 958/3004 Verifying : texlive-colorweb-9:svn31490.1.3-19.fc31.noarch 959/3004 Verifying : texlive-colourchange-9:svn21741.1.22-19.fc31.n 960/3004 Verifying : texlive-combelow-9:svn18462.0.99f-19.fc31.noar 961/3004 Verifying : texlive-combine-9:svn19361.0.7a-19.fc31.noarch 962/3004 Verifying : texlive-combinedgraphics-9:svn27198.0.2.2-19.f 963/3004 Verifying : texlive-comfortaa-9:svn51461-19.fc31.noarch 964/3004 Verifying : texlive-comicneue-9:svn42851-19.fc31.noarch 965/3004 Verifying : texlive-comma-9:svn18259.1.2-19.fc31.noarch 966/3004 Verifying : texlive-commado-9:svn38875-19.fc31.noarch 967/3004 Verifying : texlive-commath-9:svn15878.0.3-19.fc31.noarch 968/3004 Verifying : texlive-commedit-9:svn50116-19.fc31.noarch 969/3004 Verifying : texlive-comment-9:svn41927-19.fc31.noarch 970/3004 Verifying : texlive-compactbib-9:svn15878.0-19.fc31.noarch 971/3004 Verifying : texlive-competences-9:svn47573-19.fc31.noarch 972/3004 Verifying : texlive-complexity-9:svn45322-19.fc31.noarch 973/3004 Verifying : texlive-computational-complexity-9:svn44847-19 974/3004 Verifying : texlive-concepts-9:svn29020.0.0.5_r1-19.fc31.n 975/3004 Verifying : texlive-concmath-fonts-9:svn17218.0-19.fc31.no 976/3004 Verifying : texlive-concmath-9:svn17219.0-19.fc31.noarch 977/3004 Verifying : texlive-concprog-9:svn18791.0-19.fc31.noarch 978/3004 Verifying : texlive-concrete-9:svn15878.0-19.fc31.noarch 979/3004 Verifying : texlive-confproc-9:svn29349.0.8-19.fc31.noarch 980/3004 Verifying : texlive-constants-9:svn15878.1.0-19.fc31.noarc 981/3004 Verifying : texlive-conteq-9:svn37868.0.1.1-19.fc31.noarch 982/3004 Verifying : texlive-continue-9:svn49449-19.fc31.noarch 983/3004 Verifying : texlive-contour-9:svn18950.2.14-19.fc31.noarch 984/3004 Verifying : texlive-contracard-9:svn50217-19.fc31.noarch 985/3004 Verifying : texlive-conv-xkv-9:svn43558-19.fc31.noarch 986/3004 Verifying : texlive-cooking-9:svn15878.0.9b-19.fc31.noarch 987/3004 Verifying : texlive-cooking-units-9:svn47943-19.fc31.noarc 988/3004 Verifying : texlive-cookingsymbols-9:svn35929.1.1-19.fc31. 989/3004 Verifying : texlive-cool-9:svn15878.1.35-19.fc31.noarch 990/3004 Verifying : texlive-coollist-9:svn15878.1.4-19.fc31.noarch 991/3004 Verifying : texlive-coolstr-9:svn15878.2.2-19.fc31.noarch 992/3004 Verifying : texlive-coolthms-9:svn29062.1.2-19.fc31.noarch 993/3004 Verifying : texlive-cooltooltips-9:svn15878.1.0-19.fc31.no 994/3004 Verifying : texlive-coordsys-9:svn15878.1.4-19.fc31.noarch 995/3004 Verifying : texlive-copyedit-9:svn37928.1.6-19.fc31.noarch 996/3004 Verifying : texlive-copyrightbox-9:svn24829.0.1-19.fc31.no 997/3004 Verifying : texlive-cormorantgaramond-9:svn51443-19.fc31.n 998/3004 Verifying : texlive-correctmathalign-9:svn44131-19.fc31.no 999/3004 Verifying : texlive-coseoul-9:svn23862.1.1-19.fc31.noarch 1000/3004 Verifying : texlive-countriesofeurope-9:svn49525-19.fc31.n 1001/3004 Verifying : texlive-counttexruns-9:svn27576.1.00a-19.fc31. 1002/3004 Verifying : texlive-courier-scaled-9:svn24940.0-19.fc31.no 1003/3004 Verifying : texlive-courier-9:svn35058.0-19.fc31.noarch 1004/3004 Verifying : texlive-courseoutline-9:svn15878.1.0-19.fc31.n 1005/3004 Verifying : texlive-coursepaper-9:svn15878.2.0-19.fc31.noa 1006/3004 Verifying : texlive-coverpage-9:svn15878.1.01-19.fc31.noar 1007/3004 Verifying : texlive-cprotect-9:svn21209.1.0e-19.fc31.noarc 1008/3004 Verifying : texlive-cquthesis-9:svn46863-19.fc31.noarch 1009/3004 Verifying : texlive-crbox-9:svn29803.0.1-19.fc31.noarch 1010/3004 Verifying : texlive-crimson-9:svn43525-19.fc31.noarch 1011/3004 Verifying : texlive-crimsonpro-9:svn49568-19.fc31.noarch 1012/3004 Verifying : texlive-crop-9:svn15878.1.5-19.fc31.noarch 1013/3004 Verifying : texlive-crossreference-9:svn15878.0-19.fc31.no 1014/3004 Verifying : texlive-crossreftools-9:svn49589-19.fc31.noarc 1015/3004 Verifying : texlive-crossrefware-7:20190410-8.fc31.noarch 1016/3004 Verifying : texlive-cryptocode-9:svn49131-19.fc31.noarch 1017/3004 Verifying : texlive-cryst-9:svn15878.0-19.fc31.noarch 1018/3004 Verifying : texlive-csquotes-9:svn51091-19.fc31.noarch 1019/3004 Verifying : texlive-css-colors-9:svn43961-19.fc31.noarch 1020/3004 Verifying : texlive-csvsimple-9:svn51010-19.fc31.noarch 1021/3004 Verifying : texlive-ctable-9:svn38672-19.fc31.noarch 1022/3004 Verifying : texlive-ctex-9:svn51262-19.fc31.noarch 1023/3004 Verifying : texlive-ctib-9:svn15878.0-19.fc31.noarch 1024/3004 Verifying : texlive-cuisine-9:svn34453.0.7-19.fc31.noarch 1025/3004 Verifying : texlive-cuprum-9:svn49909-19.fc31.noarch 1026/3004 Verifying : texlive-currency-9:svn44489-19.fc31.noarch 1027/3004 Verifying : texlive-currfile-9:svn40725-19.fc31.noarch 1028/3004 Verifying : texlive-currvita-9:svn15878.0-19.fc31.noarch 1029/3004 Verifying : texlive-curve-9:svn20745.1.16-19.fc31.noarch 1030/3004 Verifying : texlive-curve2e-9:svn50663-19.fc31.noarch 1031/3004 Verifying : texlive-curves-9:svn45255-19.fc31.noarch 1032/3004 Verifying : texlive-custom-bib-9:svn24729.4.33-19.fc31.noa 1033/3004 Verifying : texlive-cutwin-9:svn29803.0.1-19.fc31.noarch 1034/3004 Verifying : texlive-cv-9:svn15878.0-19.fc31.noarch 1035/3004 Verifying : texlive-cv4tw-9:svn34577.0.2-19.fc31.noarch 1036/3004 Verifying : texlive-cweb-latex-9:svn28878.0-19.fc31.noarch 1037/3004 Verifying : texlive-cyber-9:svn46776-19.fc31.noarch 1038/3004 Verifying : texlive-cybercic-9:svn37659.2.1-19.fc31.noarch 1039/3004 Verifying : texlive-cyklop-9:svn18651.0.915-19.fc31.noarch 1040/3004 Verifying : texlive-dancers-9:svn13293.0-19.fc31.noarch 1041/3004 Verifying : texlive-dantelogo-9:svn38599-19.fc31.noarch 1042/3004 Verifying : texlive-dashbox-9:svn23425.1.14-19.fc31.noarch 1043/3004 Verifying : texlive-dashrule-9:svn29579.1.3-19.fc31.noarch 1044/3004 Verifying : texlive-dashundergaps-9:svn49198-19.fc31.noarc 1045/3004 Verifying : texlive-dataref-9:svn42883-19.fc31.noarch 1046/3004 Verifying : texlive-datatool-9:svn49344-19.fc31.noarch 1047/3004 Verifying : texlive-dateiliste-9:svn27974.0.6-19.fc31.noar 1048/3004 Verifying : texlive-datenumber-9:svn18951.0.02-19.fc31.noa 1049/3004 Verifying : texlive-datetime-9:svn36650.2.60-19.fc31.noarc 1050/3004 Verifying : texlive-datetime2-bahasai-9:svn46287-19.fc31.n 1051/3004 Verifying : texlive-datetime2-basque-9:svn47064-19.fc31.no 1052/3004 Verifying : texlive-datetime2-breton-9:svn47030-19.fc31.no 1053/3004 Verifying : texlive-datetime2-bulgarian-9:svn47031-19.fc31 1054/3004 Verifying : texlive-datetime2-catalan-9:svn47032-19.fc31.n 1055/3004 Verifying : texlive-datetime2-croatian-9:svn36682.1.0-19.f 1056/3004 Verifying : texlive-datetime2-czech-9:svn47033-19.fc31.noa 1057/3004 Verifying : texlive-datetime2-danish-9:svn47034-19.fc31.no 1058/3004 Verifying : texlive-datetime2-dutch-9:svn47355-19.fc31.noa 1059/3004 Verifying : texlive-datetime2-en-fulltext-9:svn36705.1.0-1 1060/3004 Verifying : texlive-datetime2-english-9:svn39991-19.fc31.n 1061/3004 Verifying : texlive-datetime2-esperanto-9:svn47356-19.fc31 1062/3004 Verifying : texlive-datetime2-estonian-9:svn47565-19.fc31. 1063/3004 Verifying : texlive-datetime2-finnish-9:svn47047-19.fc31.n 1064/3004 Verifying : texlive-datetime2-french-9:svn43742-19.fc31.no 1065/3004 Verifying : texlive-datetime2-galician-9:svn47631-19.fc31. 1066/3004 Verifying : texlive-datetime2-german-9:svn45800-19.fc31.no 1067/3004 Verifying : texlive-datetime2-greek-9:svn47533-19.fc31.noa 1068/3004 Verifying : texlive-datetime2-hebrew-9:svn47534-19.fc31.no 1069/3004 Verifying : texlive-datetime2-icelandic-9:svn47501-19.fc31 1070/3004 Verifying : texlive-datetime2-irish-9:svn47632-19.fc31.noa 1071/3004 Verifying : texlive-datetime2-it-fulltext-9:svn38093.1.6-1 1072/3004 Verifying : texlive-datetime2-italian-9:svn37146.1.3-19.fc 1073/3004 Verifying : texlive-datetime2-latin-9:svn47748-19.fc31.noa 1074/3004 Verifying : texlive-datetime2-lsorbian-9:svn47749-19.fc31. 1075/3004 Verifying : texlive-datetime2-magyar-9:svn48266-19.fc31.no 1076/3004 Verifying : texlive-datetime2-norsk-9:svn48267-19.fc31.noa 1077/3004 Verifying : texlive-datetime2-polish-9:svn36692.1.0-19.fc3 1078/3004 Verifying : texlive-datetime2-portuges-9:svn36670.1.0-19.f 1079/3004 Verifying : texlive-datetime2-romanian-9:svn43743-19.fc31. 1080/3004 Verifying : texlive-datetime2-russian-9:svn49345-19.fc31.n 1081/3004 Verifying : texlive-datetime2-samin-9:svn49346-19.fc31.noa 1082/3004 Verifying : texlive-datetime2-scottish-9:svn36625.1.0-19.f 1083/3004 Verifying : texlive-datetime2-serbian-9:svn36699.1.0-19.fc 1084/3004 Verifying : texlive-datetime2-slovak-9:svn36700.1.0-19.fc3 1085/3004 Verifying : texlive-datetime2-slovene-9:svn36700.1.0-19.fc 1086/3004 Verifying : texlive-datetime2-spanish-9:svn45785-19.fc31.n 1087/3004 Verifying : texlive-datetime2-9:svn48236-19.fc31.noarch 1088/3004 Verifying : texlive-datetime2-swedish-9:svn36700.1.0-19.fc 1089/3004 Verifying : texlive-datetime2-turkish-9:svn36700.1.0-19.fc 1090/3004 Verifying : texlive-datetime2-ukrainian-9:svn47552-19.fc31 1091/3004 Verifying : texlive-datetime2-usorbian-9:svn36700.1.0-19.f 1092/3004 Verifying : texlive-datetime2-welsh-9:svn36636.1.0-19.fc31 1093/3004 Verifying : texlive-dblfloatfix-9:svn28983.1.0a-19.fc31.no 1094/3004 Verifying : texlive-dccpaper-9:svn50294-19.fc31.noarch 1095/3004 Verifying : texlive-dcpic-9:svn30206.5.0.0-19.fc31.noarch 1096/3004 Verifying : texlive-decimal-9:svn23374.0-19.fc31.noarch 1097/3004 Verifying : texlive-decorule-9:svn23487.0.6-19.fc31.noarch 1098/3004 Verifying : texlive-dehyph-9:svn48599-19.fc31.noarch 1099/3004 Verifying : texlive-dejavu-otf-9:svn45991-19.fc31.noarch 1100/3004 Verifying : texlive-dejavu-9:svn31771.2.34-19.fc31.noarch 1101/3004 Verifying : texlive-delim-9:svn23974.1.0-19.fc31.noarch 1102/3004 Verifying : texlive-delimseasy-9:svn39589-19.fc31.noarch 1103/3004 Verifying : texlive-delimset-9:svn49544-19.fc31.noarch 1104/3004 Verifying : texlive-delimtxt-9:svn16549.0-19.fc31.noarch 1105/3004 Verifying : texlive-denisbdoc-9:svn42829-19.fc31.noarch 1106/3004 Verifying : texlive-derivative-9:svn51696-19.fc31.noarch 1107/3004 Verifying : texlive-dhua-9:svn24035.0.11-19.fc31.noarch 1108/3004 Verifying : texlive-diagbox-9:svn42843-19.fc31.noarch 1109/3004 Verifying : texlive-diagmac2-9:svn15878.2.1-19.fc31.noarch 1110/3004 Verifying : texlive-diagnose-9:svn19387.0.2-19.fc31.noarch 1111/3004 Verifying : texlive-dialogl-9:svn28946.0-19.fc31.noarch 1112/3004 Verifying : texlive-dice-9:svn28501.0-19.fc31.noarch 1113/3004 Verifying : texlive-dichokey-9:svn17192.0-19.fc31.noarch 1114/3004 Verifying : texlive-dictsym-9:svn20031.0-19.fc31.noarch 1115/3004 Verifying : texlive-diffcoeff-9:svn50320-19.fc31.noarch 1116/3004 Verifying : texlive-digiconfigs-9:svn15878.0.5-19.fc31.noa 1117/3004 Verifying : texlive-dijkstra-9:svn45256-19.fc31.noarch 1118/3004 Verifying : texlive-din1505-9:svn19441.0-19.fc31.noarch 1119/3004 Verifying : texlive-dinbrief-9:svn15878.0-19.fc31.noarch 1120/3004 Verifying : texlive-dingbat-9:svn27918.1.0-19.fc31.noarch 1121/3004 Verifying : texlive-directory-9:svn15878.1.20-19.fc31.noar 1122/3004 Verifying : texlive-dirtree-9:svn42428-19.fc31.noarch 1123/3004 Verifying : texlive-dirtytalk-9:svn20520.1.0-19.fc31.noarc 1124/3004 Verifying : texlive-dithesis-9:svn34295.0.2-19.fc31.noarch 1125/3004 Verifying : texlive-dk-bib-9:svn15878.0.6-19.fc31.noarch 1126/3004 Verifying : texlive-dlfltxb-9:svn17337.0-19.fc31.noarch 1127/3004 Verifying : texlive-dnaseq-9:svn17194.0.01-19.fc31.noarch 1128/3004 Verifying : texlive-doc-pictex-doc-9:svn24927.0-19.fc31.no 1129/3004 Verifying : texlive-doclicense-9:svn51332-19.fc31.noarch 1130/3004 Verifying : texlive-docmfp-9:svn15878.1.2d-19.fc31.noarch 1131/3004 Verifying : texlive-docmute-9:svn25741.1.4-19.fc31.noarch 1132/3004 Verifying : texlive-doctools-9:svn34474.0.1-19.fc31.noarch 1133/3004 Verifying : texlive-documentation-9:svn34521.0.1-19.fc31.n 1134/3004 Verifying : texlive-doi-9:svn15878.0-19.fc31.noarch 1135/3004 Verifying : texlive-doipubmed-9:svn15878.1.01-19.fc31.noar 1136/3004 Verifying : texlive-dot2texi-9:svn26237.3.0-19.fc31.noarch 1137/3004 Verifying : texlive-dotarrow-9:svn15878.0.01a-19.fc31.noar 1138/3004 Verifying : texlive-dotlessi-9:svn51476-19.fc31.noarch 1139/3004 Verifying : texlive-dotseqn-9:svn17195.1.1-19.fc31.noarch 1140/3004 Verifying : texlive-dottex-9:svn15878.0.6-19.fc31.noarch 1141/3004 Verifying : texlive-doublestroke-9:svn15878.1.111-19.fc31. 1142/3004 Verifying : texlive-dowith-9:svn38860-19.fc31.noarch 1143/3004 Verifying : texlive-download-9:svn30695.1.1-19.fc31.noarch 1144/3004 Verifying : texlive-dox-9:svn46011-19.fc31.noarch 1145/3004 Verifying : texlive-dozenal-9:svn47680-19.fc31.noarch 1146/3004 Verifying : texlive-dpfloat-9:svn17196.0-19.fc31.noarch 1147/3004 Verifying : texlive-dprogress-9:svn15878.0.1-19.fc31.noarc 1148/3004 Verifying : texlive-drac-9:svn15878.1-19.fc31.noarch 1149/3004 Verifying : texlive-draftcopy-9:svn15878.2.16-19.fc31.noar 1150/3004 Verifying : texlive-draftfigure-9:svn44854-19.fc31.noarch 1151/3004 Verifying : texlive-draftwatermark-9:svn37498.1.2-19.fc31. 1152/3004 Verifying : texlive-dratex-9:svn15878.0-19.fc31.noarch 1153/3004 Verifying : texlive-drawmatrix-9:svn44471-19.fc31.noarch 1154/3004 Verifying : texlive-drawstack-9:svn28582.0-19.fc31.noarch 1155/3004 Verifying : texlive-drm-9:svn38157.4.4-19.fc31.noarch 1156/3004 Verifying : texlive-droid-9:svn51468-19.fc31.noarch 1157/3004 Verifying : texlive-drs-9:svn19232.1.1b-19.fc31.noarch 1158/3004 Verifying : texlive-dsserif-9:svn47570-19.fc31.noarch 1159/3004 Verifying : texlive-dtk-9:svn50789-19.fc31.noarch 1160/3004 Verifying : texlive-dtxdescribe-9:svn51652-19.fc31.noarch 1161/3004 Verifying : texlive-dtxgallery-doc-9:svn49504-19.fc31.noar 1162/3004 Verifying : texlive-ducksay-9:svn51364-19.fc31.noarch 1163/3004 Verifying : texlive-duckuments-9:svn51308-19.fc31.noarch 1164/3004 Verifying : texlive-duerer-latex-9:svn15878.1.1-19.fc31.no 1165/3004 Verifying : texlive-duerer-9:svn20741.0-19.fc31.noarch 1166/3004 Verifying : texlive-duotenzor-9:svn18728.1.00-19.fc31.noar 1167/3004 Verifying : texlive-dutchcal-9:svn23448.1.0-19.fc31.noarch 1168/3004 Verifying : texlive-dvdcoll-9:svn15878.v1.1a-19.fc31.noarc 1169/3004 Verifying : texlive-dvipdfmx-7:20190410-8.fc31.x86_64 1170/3004 Verifying : texlive-dvips-7:20190410-8.fc31.x86_64 1171/3004 Verifying : texlive-dvisvgm-7:20190410-8.fc31.x86_64 1172/3004 Verifying : texlive-dynamicnumber-9:svn38726-19.fc31.noarc 1173/3004 Verifying : texlive-dynblocks-9:svn35193.0.2b-19.fc31.noar 1174/3004 Verifying : texlive-dynkin-diagrams-9:svn49808-19.fc31.noa 1175/3004 Verifying : texlive-dyntree-9:svn15878.1.0-19.fc31.noarch 1176/3004 Verifying : texlive-ean-9:svn20851.0-19.fc31.noarch 1177/3004 Verifying : texlive-ean13isbn-9:svn15878.0-19.fc31.noarch 1178/3004 Verifying : texlive-easy-9:svn19440.0.99-19.fc31.noarch 1179/3004 Verifying : texlive-easy-todo-9:svn32677.0-19.fc31.noarch 1180/3004 Verifying : texlive-easyfig-9:svn47193-19.fc31.noarch 1181/3004 Verifying : texlive-easyformat-9:svn44543-19.fc31.noarch 1182/3004 Verifying : texlive-easylist-9:svn32661.1.3-19.fc31.noarch 1183/3004 Verifying : texlive-easyreview-9:svn38352.1.0-19.fc31.noar 1184/3004 Verifying : texlive-ebezier-9:svn15878.4-19.fc31.noarch 1185/3004 Verifying : texlive-ebgaramond-maths-9:svn35701.1.1-19.fc3 1186/3004 Verifying : texlive-ebgaramond-9:svn51134-19.fc31.noarch 1187/3004 Verifying : texlive-ebook-9:svn29466.0-19.fc31.noarch 1188/3004 Verifying : texlive-ebproof-9:svn44392-19.fc31.noarch 1189/3004 Verifying : texlive-ebsthesis-9:svn15878.1.0-19.fc31.noarc 1190/3004 Verifying : texlive-ec-9:svn25033.1.0-19.fc31.noarch 1191/3004 Verifying : texlive-ecc-9:svn15878.0-19.fc31.noarch 1192/3004 Verifying : texlive-ecclesiastic-9:svn38172.0.3-19.fc31.no 1193/3004 Verifying : texlive-ecgdraw-9:svn41617-19.fc31.noarch 1194/3004 Verifying : texlive-eco-9:svn29349.1.3-19.fc31.noarch 1195/3004 Verifying : texlive-ecobiblatex-9:svn39233-19.fc31.noarch 1196/3004 Verifying : texlive-econometrics-9:svn39396-19.fc31.noarch 1197/3004 Verifying : texlive-economic-9:svn32639.0-19.fc31.noarch 1198/3004 Verifying : texlive-ecothesis-9:svn48007-19.fc31.noarch 1199/3004 Verifying : texlive-ecv-9:svn24928.0.3-19.fc31.noarch 1200/3004 Verifying : texlive-ed-9:svn25231.1.8-19.fc31.noarch 1201/3004 Verifying : texlive-edmargin-9:svn27599.1.2-19.fc31.noarch 1202/3004 Verifying : texlive-eemeir-9:svn15878.1.1b-19.fc31.noarch 1203/3004 Verifying : texlive-eepic-9:svn15878.1.1e-19.fc31.noarch 1204/3004 Verifying : texlive-efbox-9:svn33236.1.0-19.fc31.noarch 1205/3004 Verifying : texlive-egplot-9:svn20617.1.02a-19.fc31.noarch 1206/3004 Verifying : texlive-ehhline-9:svn51122-19.fc31.noarch 1207/3004 Verifying : texlive-eiad-ltx-9:svn15878.1.0-19.fc31.noarch 1208/3004 Verifying : texlive-eiad-9:svn15878.0-19.fc31.noarch 1209/3004 Verifying : texlive-ejpecp-9:svn50761-19.fc31.noarch 1210/3004 Verifying : texlive-ekaia-9:svn49594-19.fc31.noarch 1211/3004 Verifying : texlive-elbioimp-9:svn21758.1.2-19.fc31.noarch 1212/3004 Verifying : texlive-electrum-9:svn19705.1.005_b-19.fc31.no 1213/3004 Verifying : texlive-elegantbook-9:svn51246-19.fc31.noarch 1214/3004 Verifying : texlive-elegantnote-9:svn49926-19.fc31.noarch 1215/3004 Verifying : texlive-elegantpaper-9:svn50679-19.fc31.noarch 1216/3004 Verifying : texlive-elements-9:svn46505-19.fc31.noarch 1217/3004 Verifying : texlive-ellipse-9:svn39025-19.fc31.noarch 1218/3004 Verifying : texlive-ellipsis-9:svn15878.0-19.fc31.noarch 1219/3004 Verifying : texlive-elmath-9:svn15878.v1.2-19.fc31.noarch 1220/3004 Verifying : texlive-elocalloc-9:svn42712-19.fc31.noarch 1221/3004 Verifying : texlive-elpres-9:svn46429-19.fc31.noarch 1222/3004 Verifying : texlive-els-cas-templates-9:svn50820-19.fc31.n 1223/3004 Verifying : texlive-elsarticle-9:svn50786-19.fc31.noarch 1224/3004 Verifying : texlive-elteikthesis-9:svn22513.1.2-19.fc31.no 1225/3004 Verifying : texlive-eltex-9:svn15878.2.0-19.fc31.noarch 1226/3004 Verifying : texlive-elvish-9:svn15878.0-19.fc31.noarch 1227/3004 Verifying : texlive-elzcards-9:svn44785-19.fc31.noarch 1228/3004 Verifying : texlive-emarks-9:svn24504.1.0-19.fc31.noarch 1229/3004 Verifying : texlive-embedall-9:svn51177-19.fc31.noarch 1230/3004 Verifying : texlive-embrac-9:svn44757-19.fc31.noarch 1231/3004 Verifying : texlive-emf-9:svn42023-19.fc31.noarch 1232/3004 Verifying : texlive-emisa-9:svn46734-19.fc31.noarch 1233/3004 Verifying : texlive-emptypage-9:svn18064.1.2-19.fc31.noarc 1234/3004 Verifying : texlive-emulateapj-9:svn28469.0-19.fc31.noarch 1235/3004 Verifying : texlive-enctex-9:svn34957.0-19.fc31.noarch 1236/3004 Verifying : texlive-endfloat-9:svn51003-19.fc31.noarch 1237/3004 Verifying : texlive-endheads-9:svn43750-19.fc31.noarch 1238/3004 Verifying : texlive-endiagram-9:svn34486.0.1d-19.fc31.noar 1239/3004 Verifying : texlive-endnotes-9:svn17197.0-19.fc31.noarch 1240/3004 Verifying : texlive-endofproofwd-9:svn45116-19.fc31.noarch 1241/3004 Verifying : texlive-engpron-9:svn16558.2-19.fc31.noarch 1242/3004 Verifying : texlive-engrec-9:svn15878.1.1-19.fc31.noarch 1243/3004 Verifying : texlive-engtlc-9:svn28571.3.2-19.fc31.noarch 1244/3004 Verifying : texlive-enotez-9:svn44024-19.fc31.noarch 1245/3004 Verifying : texlive-enumitem-9:svn51423-19.fc31.noarch 1246/3004 Verifying : texlive-enumitem-zref-9:svn21472.1.8-19.fc31.n 1247/3004 Verifying : texlive-envbig-9:svn15878.0-19.fc31.noarch 1248/3004 Verifying : texlive-environ-9:svn33821.0.3-19.fc31.noarch 1249/3004 Verifying : texlive-envlab-9:svn15878.1.2-19.fc31.noarch 1250/3004 Verifying : texlive-epigrafica-9:svn17210.1.01-19.fc31.noa 1251/3004 Verifying : texlive-epigraph-9:svn15878.1.5c-19.fc31.noarc 1252/3004 Verifying : texlive-epiolmec-9:svn15878.0-19.fc31.noarch 1253/3004 Verifying : texlive-epsdice-9:svn15878.2.1-19.fc31.noarch 1254/3004 Verifying : texlive-epsf-9:svn21461.2.7.4-19.fc31.noarch 1255/3004 Verifying : texlive-epspdf-7:20190410-8.fc31.noarch 1256/3004 Verifying : texlive-epspdfconversion-9:svn18703.0.61-19.fc 1257/3004 Verifying : texlive-eqell-9:svn22931.0-19.fc31.noarch 1258/3004 Verifying : texlive-eqexpl-9:svn51524-19.fc31.noarch 1259/3004 Verifying : texlive-eqlist-9:svn32257.2.1-19.fc31.noarch 1260/3004 Verifying : texlive-eqnalign-9:svn43278-19.fc31.noarch 1261/3004 Verifying : texlive-eqname-9:svn20678.0-19.fc31.noarch 1262/3004 Verifying : texlive-eqnarray-9:svn20641.1.3-19.fc31.noarch 1263/3004 Verifying : texlive-eqnnumwarn-9:svn45511-19.fc31.noarch 1264/3004 Verifying : texlive-eqparbox-9:svn45215-19.fc31.noarch 1265/3004 Verifying : texlive-erdc-9:svn15878.1.1-19.fc31.noarch 1266/3004 Verifying : texlive-erewhon-9:svn51361-19.fc31.noarch 1267/3004 Verifying : texlive-errata-9:svn42428-19.fc31.noarch 1268/3004 Verifying : texlive-erw-l3-9:svn48069-19.fc31.noarch 1269/3004 Verifying : texlive-esami-9:svn47639-19.fc31.noarch 1270/3004 Verifying : texlive-esdiff-9:svn21385.1.2-19.fc31.noarch 1271/3004 Verifying : texlive-esint-9:svn51679-19.fc31.noarch 1272/3004 Verifying : texlive-esint-type1-9:svn15878.0-19.fc31.noarc 1273/3004 Verifying : texlive-esk-9:svn18115.1.0-19.fc31.noarch 1274/3004 Verifying : texlive-eso-pic-9:svn47694-19.fc31.noarch 1275/3004 Verifying : texlive-esrelation-9:svn37236.0-19.fc31.noarch 1276/3004 Verifying : texlive-esstix-9:svn22426.1.0-19.fc31.noarch 1277/3004 Verifying : texlive-estcpmm-9:svn17335.0.4-19.fc31.noarch 1278/3004 Verifying : texlive-esvect-9:svn32098.1.3-19.fc31.noarch 1279/3004 Verifying : texlive-etaremune-9:svn15878.v1.2-19.fc31.noar 1280/3004 Verifying : texlive-etex-pkg-9:svn41784-19.fc31.noarch 1281/3004 Verifying : texlive-etex-9:svn37057.0-19.fc31.noarch 1282/3004 Verifying : texlive-etextools-9:svn20694.3.1415926-19.fc31 1283/3004 Verifying : texlive-etoc-9:svn50317-19.fc31.noarch 1284/3004 Verifying : texlive-etoolbox-9:svn46602-19.fc31.noarch 1285/3004 Verifying : texlive-etsvthor-9:svn48186-19.fc31.noarch 1286/3004 Verifying : texlive-euenc-9:svn19795.0.1h-19.fc31.noarch 1287/3004 Verifying : texlive-euflag-9:svn49970-19.fc31.noarch 1288/3004 Verifying : texlive-eukdate-9:svn15878.1.04-19.fc31.noarch 1289/3004 Verifying : texlive-euler-9:svn42428-19.fc31.noarch 1290/3004 Verifying : texlive-eulerpx-9:svn43735-19.fc31.noarch 1291/3004 Verifying : texlive-eulervm-9:svn15878.4.0-19.fc31.noarch 1292/3004 Verifying : texlive-euro-ce-9:svn25714-19.fc31.noarch 1293/3004 Verifying : texlive-euro-9:svn22191.1.1-19.fc31.noarch 1294/3004 Verifying : texlive-europasscv-9:svn49703-19.fc31.noarch 1295/3004 Verifying : texlive-europecv-9:svn50470-19.fc31.noarch 1296/3004 Verifying : texlive-eurosym-9:svn17265.1.4_subrfix-19.fc31 1297/3004 Verifying : texlive-euxm-9:svn45696-19.fc31.noarch 1298/3004 Verifying : texlive-everyhook-9:svn35675.1.2-19.fc31.noarc 1299/3004 Verifying : texlive-everypage-9:svn15878.1.1-19.fc31.noarc 1300/3004 Verifying : texlive-exam-n-9:svn42755-19.fc31.noarch 1301/3004 Verifying : texlive-exam-randomizechoices-9:svn49662-19.fc 1302/3004 Verifying : texlive-exam-9:svn46084-19.fc31.noarch 1303/3004 Verifying : texlive-examdesign-9:svn15878.1.02-19.fc31.noa 1304/3004 Verifying : texlive-example-9:svn33398.0-19.fc31.noarch 1305/3004 Verifying : texlive-examplep-9:svn16916.0.04-19.fc31.noarc 1306/3004 Verifying : texlive-exceltex-7:20190410-8.fc31.noarch 1307/3004 Verifying : texlive-excludeonly-9:svn17262.1.0-19.fc31.noa 1308/3004 Verifying : texlive-exercise-9:svn35417.1.6-19.fc31.noarch 1309/3004 Verifying : texlive-exercisebank-9:svn50448-19.fc31.noarch 1310/3004 Verifying : texlive-exercisepoints-9:svn49590-19.fc31.noar 1311/3004 Verifying : texlive-exercises-9:svn42428-19.fc31.noarch 1312/3004 Verifying : texlive-exframe-9:svn51388-19.fc31.noarch 1313/3004 Verifying : texlive-exp-testopt-9:svn15878.0.3-19.fc31.noa 1314/3004 Verifying : texlive-expdlist-9:svn15878.2.4-19.fc31.noarch 1315/3004 Verifying : texlive-export-9:svn27206.1.8-19.fc31.noarch 1316/3004 Verifying : texlive-exsheets-9:svn43188-19.fc31.noarch 1317/3004 Verifying : texlive-exsol-9:svn41377-19.fc31.noarch 1318/3004 Verifying : texlive-extarrows-9:svn15878.1.0b-19.fc31.noar 1319/3004 Verifying : texlive-extpfeil-9:svn16243.0.4-19.fc31.noarch 1320/3004 Verifying : texlive-extract-9:svn15878.1.8-19.fc31.noarch 1321/3004 Verifying : texlive-extsizes-9:svn17263.1.4a-19.fc31.noarc 1322/3004 Verifying : texlive-facsimile-9:svn21328.1.0-19.fc31.noarc 1323/3004 Verifying : texlive-factura-9:svn48333-19.fc31.noarch 1324/3004 Verifying : texlive-facture-belge-simple-sans-tva-9:svn490 1325/3004 Verifying : texlive-faktor-9:svn15878.0.1b-19.fc31.noarch 1326/3004 Verifying : texlive-fancybox-9:svn18304.1.4-19.fc31.noarch 1327/3004 Verifying : texlive-fancyhandout-9:svn46411-19.fc31.noarch 1328/3004 Verifying : texlive-fancyhdr-9:svn49886-19.fc31.noarch 1329/3004 Verifying : texlive-fancylabel-9:svn46736-19.fc31.noarch 1330/3004 Verifying : texlive-fancynum-9:svn15878.0.92-19.fc31.noarc 1331/3004 Verifying : texlive-fancypar-9:svn49801-19.fc31.noarch 1332/3004 Verifying : texlive-fancyref-9:svn15878.0.9c-19.fc31.noarc 1333/3004 Verifying : texlive-fancyslides-9:svn36263.1.0-19.fc31.noa 1334/3004 Verifying : texlive-fancytabs-9:svn27684.1.8-19.fc31.noarc 1335/3004 Verifying : texlive-fancytooltips-9:svn27129.1.8-19.fc31.n 1336/3004 Verifying : texlive-fancyvrb-9:svn49717-19.fc31.noarch 1337/3004 Verifying : texlive-fascicules-9:svn49457-19.fc31.noarch 1338/3004 Verifying : texlive-fast-diagram-9:svn29264.1.1-19.fc31.no 1339/3004 Verifying : texlive-fbb-9:svn45277-19.fc31.noarch 1340/3004 Verifying : texlive-fbithesis-9:svn21340.1.2m-19.fc31.noar 1341/3004 Verifying : texlive-fbox-9:svn50305-19.fc31.noarch 1342/3004 Verifying : texlive-fbs-9:svn15878.0-19.fc31.noarch 1343/3004 Verifying : texlive-fcavtex-9:svn38074.1.1-19.fc31.noarch 1344/3004 Verifying : texlive-fcltxdoc-9:svn24500.1.0-19.fc31.noarch 1345/3004 Verifying : texlive-fcolumn-9:svn50937-19.fc31.noarch 1346/3004 Verifying : texlive-fdsymbol-9:svn26722.0.8-19.fc31.noarch 1347/3004 Verifying : texlive-fei-9:svn51287-19.fc31.noarch 1348/3004 Verifying : texlive-fetamont-9:svn43812-19.fc31.noarch 1349/3004 Verifying : texlive-fetchcls-9:svn45245-19.fc31.noarch 1350/3004 Verifying : texlive-feyn-9:svn45679-19.fc31.noarch 1351/3004 Verifying : texlive-feynmf-9:svn17259.1.08-19.fc31.noarch 1352/3004 Verifying : texlive-ffslides-9:svn38895-19.fc31.noarch 1353/3004 Verifying : texlive-fge-9:svn37628.1.25-19.fc31.noarch 1354/3004 Verifying : texlive-fgruler-9:svn42966-19.fc31.noarch 1355/3004 Verifying : texlive-fibeamer-9:svn44239-19.fc31.noarch 1356/3004 Verifying : texlive-fifo-stack-9:svn33288.1.0-19.fc31.noar 1357/3004 Verifying : texlive-fig4latex-7:20190410-8.fc31.noarch 1358/3004 Verifying : texlive-figbib-9:svn19388.0-19.fc31.noarch 1359/3004 Verifying : texlive-figsize-9:svn18784.0.1-19.fc31.noarch 1360/3004 Verifying : texlive-filecontents-9:svn47890-19.fc31.noarch 1361/3004 Verifying : texlive-filecontentsdef-9:svn50942-19.fc31.noa 1362/3004 Verifying : texlive-filedate-9:svn29529.0-19.fc31.noarch 1363/3004 Verifying : texlive-filehook-9:svn24280.0.5d-19.fc31.noarc 1364/3004 Verifying : texlive-fileinfo-9:svn28421.0.81a-19.fc31.noar 1365/3004 Verifying : texlive-filemod-9:svn24042.1.2-19.fc31.noarch 1366/3004 Verifying : texlive-fink-9:svn24329.2.2.1-19.fc31.noarch 1367/3004 Verifying : texlive-finstrut-9:svn21719.0.5-19.fc31.noarch 1368/3004 Verifying : texlive-fira-9:svn51328-19.fc31.noarch 1369/3004 Verifying : texlive-firamath-otf-9:svn50732-19.fc31.noarch 1370/3004 Verifying : texlive-firamath-9:svn51333-19.fc31.noarch 1371/3004 Verifying : texlive-fitbox-9:svn50088-19.fc31.noarch 1372/3004 Verifying : texlive-fithesis-9:svn47409-19.fc31.noarch 1373/3004 Verifying : texlive-fix2col-9:svn38770-19.fc31.noarch 1374/3004 Verifying : texlive-fixcmex-9:svn38816-19.fc31.noarch 1375/3004 Verifying : texlive-fixfoot-9:svn17131.0.3a-19.fc31.noarch 1376/3004 Verifying : texlive-fixltxhyph-9:svn25832.0.4-19.fc31.noar 1377/3004 Verifying : texlive-fixme-9:svn49591-19.fc31.noarch 1378/3004 Verifying : texlive-fixmetodonotes-9:svn30168.0.2.2-19.fc3 1379/3004 Verifying : texlive-fjodor-9:svn20220.0-19.fc31.noarch 1380/3004 Verifying : texlive-flabels-9:svn17272.1.0-19.fc31.noarch 1381/3004 Verifying : texlive-flacards-9:svn19440.0.1.1b-19.fc31.noa 1382/3004 Verifying : texlive-flagderiv-9:svn15878.0.10-19.fc31.noar 1383/3004 Verifying : texlive-flashcards-9:svn19667.1.0.1-19.fc31.no 1384/3004 Verifying : texlive-flashmovie-9:svn25768.0.4-19.fc31.noar 1385/3004 Verifying : texlive-flipbook-9:svn25584.0.2-19.fc31.noarch 1386/3004 Verifying : texlive-flippdf-9:svn15878.1.0-19.fc31.noarch 1387/3004 Verifying : texlive-float-9:svn15878.1.3d-19.fc31.noarch 1388/3004 Verifying : texlive-floatflt-9:svn25540.1.31-19.fc31.noarc 1389/3004 Verifying : texlive-floatrow-9:svn15878.0.3b-19.fc31.noarc 1390/3004 Verifying : texlive-flowchart-9:svn36572.3.3-19.fc31.noarc 1391/3004 Verifying : texlive-flowfram-9:svn35291.1.17-19.fc31.noarc 1392/3004 Verifying : texlive-fltpoint-9:svn15878.1.1b-19.fc31.noarc 1393/3004 Verifying : texlive-fmp-9:svn15878.0-19.fc31.noarch 1394/3004 Verifying : texlive-fmtcount-9:svn46159-19.fc31.noarch 1395/3004 Verifying : texlive-fn2end-9:svn15878.1.1-19.fc31.noarch 1396/3004 Verifying : texlive-fnbreak-9:svn25003.1.30-19.fc31.noarch 1397/3004 Verifying : texlive-fncychap-9:svn20710.v1.34-19.fc31.noar 1398/3004 Verifying : texlive-fncylab-9:svn17382.1.0-19.fc31.noarch 1399/3004 Verifying : texlive-fnpara-9:svn25607.0-19.fc31.noarch 1400/3004 Verifying : texlive-fnpct-9:svn50046-19.fc31.noarch 1401/3004 Verifying : texlive-fnspe-9:svn45360-19.fc31.noarch 1402/3004 Verifying : texlive-fnumprint-9:svn29173.1.1a-19.fc31.noar 1403/3004 Verifying : texlive-foekfont-9:svn15878.0-19.fc31.noarch 1404/3004 Verifying : texlive-foilhtml-9:svn21855.1.2-19.fc31.noarch 1405/3004 Verifying : texlive-fonetika-9:svn21326.0-19.fc31.noarch 1406/3004 Verifying : texlive-fontawesome-9:svn48145-19.fc31.noarch 1407/3004 Verifying : texlive-fontawesome5-9:svn51339-19.fc31.noarch 1408/3004 Verifying : texlive-fontaxes-9:svn33276.1.0d-19.fc31.noarc 1409/3004 Verifying : texlive-fontmfizz-9:svn43546-19.fc31.noarch 1410/3004 Verifying : texlive-fonts-churchslavonic-9:svn43121-19.fc3 1411/3004 Verifying : texlive-fonts-tlwg-9:svn49085-19.fc31.noarch 1412/3004 Verifying : texlive-fontspec-9:svn50387-19.fc31.noarch 1413/3004 Verifying : texlive-fonttable-9:svn44799-19.fc31.noarch 1414/3004 Verifying : texlive-footbib-9:svn17115.2.0.7-19.fc31.noarc 1415/3004 Verifying : texlive-footmisc-9:svn23330.5.5b-19.fc31.noarc 1416/3004 Verifying : texlive-footmisx-9:svn42621-19.fc31.noarch 1417/3004 Verifying : texlive-footnotebackref-9:svn27034.1.0-19.fc31 1418/3004 Verifying : texlive-footnoterange-9:svn25430.1.0a-19.fc31. 1419/3004 Verifying : texlive-footnpag-9:svn15878.0-19.fc31.noarch 1420/3004 Verifying : texlive-forarray-9:svn15878.1.01-19.fc31.noarc 1421/3004 Verifying : texlive-foreign-9:svn27819.2.7-19.fc31.noarch 1422/3004 Verifying : texlive-forest-9:svn44797-19.fc31.noarch 1423/3004 Verifying : texlive-forloop-9:svn15878.3.0-19.fc31.noarch 1424/3004 Verifying : texlive-formlett-9:svn21480.2.3-19.fc31.noarch 1425/3004 Verifying : texlive-forms16be-9:svn51305-19.fc31.noarch 1426/3004 Verifying : texlive-formular-9:svn15878.1.0a-19.fc31.noarc 1427/3004 Verifying : texlive-forum-9:svn51403-19.fc31.noarch 1428/3004 Verifying : texlive-fouridx-9:svn32214.2.00-19.fc31.noarch 1429/3004 Verifying : texlive-fourier-9:svn15878.1.3-19.fc31.noarch 1430/3004 Verifying : texlive-fouriernc-9:svn29646.0-19.fc31.noarch 1431/3004 Verifying : texlive-fp-9:svn49719-19.fc31.noarch 1432/3004 Verifying : texlive-fpl-9:svn49603-19.fc31.noarch 1433/3004 Verifying : texlive-fragments-9:svn15878.0-19.fc31.noarch 1434/3004 Verifying : texlive-frame-9:svn18312.1.0-19.fc31.noarch 1435/3004 Verifying : texlive-framed-9:svn26789.0.96-19.fc31.noarch 1436/3004 Verifying : texlive-francais-bst-9:svn38922-19.fc31.noarch 1437/3004 Verifying : texlive-frankenstein-9:svn15878.0-19.fc31.noar 1438/3004 Verifying : texlive-frcursive-9:svn24559.0-19.fc31.noarch 1439/3004 Verifying : texlive-frederika2016-9:svn42157-19.fc31.noarc 1440/3004 Verifying : texlive-frege-9:svn27417.1.3-19.fc31.noarch 1441/3004 Verifying : texlive-ftc-notebook-9:svn50043-19.fc31.noarch 1442/3004 Verifying : texlive-ftcap-9:svn17275.1.4-19.fc31.noarch 1443/3004 Verifying : texlive-ftnxtra-9:svn29652.0.1-19.fc31.noarch 1444/3004 Verifying : texlive-fullblck-9:svn25434.1.03-19.fc31.noarc 1445/3004 Verifying : texlive-fullminipage-9:svn34545.0.1.1-19.fc31. 1446/3004 Verifying : texlive-fullwidth-9:svn24684.0.1-19.fc31.noarc 1447/3004 Verifying : texlive-functan-9:svn15878.0-19.fc31.noarch 1448/3004 Verifying : texlive-fundus-calligra-9:svn26018.1.2-19.fc31 1449/3004 Verifying : texlive-fundus-cyr-9:svn26019.0-19.fc31.noarch 1450/3004 Verifying : texlive-fundus-sueterlin-9:svn26030.1.2-19.fc3 1451/3004 Verifying : texlive-fvextra-9:svn49947-19.fc31.noarch 1452/3004 Verifying : texlive-fwlw-9:svn29803.0-19.fc31.noarch 1453/3004 Verifying : texlive-g-brief-9:svn50415-19.fc31.noarch 1454/3004 Verifying : texlive-gaceta-9:svn15878.1.06-19.fc31.noarch 1455/3004 Verifying : texlive-galois-9:svn15878.1.5-19.fc31.noarch 1456/3004 Verifying : texlive-gammas-9:svn50012-19.fc31.noarch 1457/3004 Verifying : texlive-garamond-libre-9:svn51703-19.fc31.noar 1458/3004 Verifying : texlive-garamond-math-9:svn49933-19.fc31.noarc 1459/3004 Verifying : texlive-garuda-c90-9:svn37677.0-19.fc31.noarch 1460/3004 Verifying : texlive-gastex-9:svn15878.2.8-19.fc31.noarch 1461/3004 Verifying : texlive-gatech-thesis-9:svn19886.1.8-19.fc31.n 1462/3004 Verifying : texlive-gatherenum-9:svn48051-19.fc31.noarch 1463/3004 Verifying : texlive-gauss-9:svn32934.0-19.fc31.noarch 1464/3004 Verifying : texlive-gb4e-9:svn19216.0-19.fc31.noarch 1465/3004 Verifying : texlive-gbt7714-9:svn50504-19.fc31.noarch 1466/3004 Verifying : texlive-gcard-9:svn15878.0-19.fc31.noarch 1467/3004 Verifying : texlive-gcite-9:svn15878.1.0.1-19.fc31.noarch 1468/3004 Verifying : texlive-gender-9:svn36464.1.0-19.fc31.noarch 1469/3004 Verifying : texlive-gene-logic-9:svn15878.1.4-19.fc31.noar 1470/3004 Verifying : texlive-genealogy-9:svn25112.0-19.fc31.noarch 1471/3004 Verifying : texlive-genealogytree-9:svn50872-19.fc31.noarc 1472/3004 Verifying : texlive-genmisc-9:svn45851-19.fc31.noarch 1473/3004 Verifying : texlive-genmpage-9:svn15878.0.3.1-19.fc31.noar 1474/3004 Verifying : texlive-gentium-tug-9:svn51613-19.fc31.noarch 1475/3004 Verifying : texlive-geometry-9:svn47638-19.fc31.noarch 1476/3004 Verifying : texlive-geschichtsfrkl-9:svn42121-19.fc31.noar 1477/3004 Verifying : texlive-getfiledate-9:svn16189.1.2-19.fc31.noa 1478/3004 Verifying : texlive-getitems-9:svn39365-19.fc31.noarch 1479/3004 Verifying : texlive-getmap-7:20190410-8.fc31.noarch 1480/3004 Verifying : texlive-gfsartemisia-9:svn19469.1.0-19.fc31.no 1481/3004 Verifying : texlive-gfsbodoni-9:svn28484.1.01-19.fc31.noar 1482/3004 Verifying : texlive-gfscomplutum-9:svn19469.1.0-19.fc31.no 1483/3004 Verifying : texlive-gfsdidot-9:svn46310-19.fc31.noarch 1484/3004 Verifying : texlive-gfsneohellenic-9:svn31979.0-19.fc31.no 1485/3004 Verifying : texlive-gfsneohellenicmath-9:svn46869-19.fc31. 1486/3004 Verifying : texlive-gfssolomos-9:svn18651.1.0-19.fc31.noar 1487/3004 Verifying : texlive-ghsystem-9:svn41714-19.fc31.noarch 1488/3004 Verifying : texlive-gillcm-9:svn19878.1.1-19.fc31.noarch 1489/3004 Verifying : texlive-gillius-9:svn32068.0-19.fc31.noarch 1490/3004 Verifying : texlive-gincltex-9:svn23835.0.3-19.fc31.noarch 1491/3004 Verifying : texlive-ginpenc-9:svn24980.1.0-19.fc31.noarch 1492/3004 Verifying : texlive-gitfile-info-9:svn50885-19.fc31.noarch 1493/3004 Verifying : texlive-gitinfo-9:svn34049.1.0-19.fc31.noarch 1494/3004 Verifying : texlive-gitinfo2-9:svn38913-19.fc31.noarch 1495/3004 Verifying : texlive-gitlog-9:svn38932-19.fc31.noarch 1496/3004 Verifying : texlive-gitver-9:svn49980-19.fc31.noarch 1497/3004 Verifying : texlive-globalvals-9:svn49962-19.fc31.noarch 1498/3004 Verifying : texlive-glosmathtools-9:svn51809-19.fc31.noarc 1499/3004 Verifying : texlive-gloss-9:svn15878.1.5.2-19.fc31.noarch 1500/3004 Verifying : texlive-glossaries-7:20190410-8.fc31.noarch 1501/3004 Verifying : texlive-glossaries-danish-9:svn35665.1.0-19.fc 1502/3004 Verifying : texlive-glossaries-dutch-9:svn35685.1.1-19.fc3 1503/3004 Verifying : texlive-glossaries-english-9:svn35665.1.0-19.f 1504/3004 Verifying : texlive-glossaries-estonian-9:svn49928-19.fc31 1505/3004 Verifying : texlive-glossaries-extra-9:svn51006-19.fc31.no 1506/3004 Verifying : texlive-glossaries-finnish-9:svn45604-19.fc31. 1507/3004 Verifying : texlive-glossaries-french-9:svn42873-19.fc31.n 1508/3004 Verifying : texlive-glossaries-german-9:svn35665.1.0-19.fc 1509/3004 Verifying : texlive-glossaries-irish-9:svn35665.1.0-19.fc3 1510/3004 Verifying : texlive-glossaries-italian-9:svn35665.1.0-19.f 1511/3004 Verifying : texlive-glossaries-magyar-9:svn35665.1.0-19.fc 1512/3004 Verifying : texlive-glossaries-polish-9:svn35665.1.0-19.fc 1513/3004 Verifying : texlive-glossaries-portuges-9:svn36064.1.1-19. 1514/3004 Verifying : texlive-glossaries-serbian-9:svn35665.1.0-19.f 1515/3004 Verifying : texlive-glossaries-slovene-9:svn51211-19.fc31. 1516/3004 Verifying : texlive-glossaries-spanish-9:svn35665.1.0-19.f 1517/3004 Verifying : texlive-glyphlist-7:20190410-8.fc31.noarch 1518/3004 Verifying : texlive-gmdoc-enhance-9:svn15878.v0.2-19.fc31. 1519/3004 Verifying : texlive-gmdoc-9:svn21292.0.993-19.fc31.noarch 1520/3004 Verifying : texlive-gmiflink-9:svn15878.v0.97-19.fc31.noar 1521/3004 Verifying : texlive-gmp-9:svn21691.1.0-19.fc31.noarch 1522/3004 Verifying : texlive-gmutils-9:svn24287.v0.996-19.fc31.noar 1523/3004 Verifying : texlive-gmverb-9:svn24288.v0.98-19.fc31.noarch 1524/3004 Verifying : texlive-gnu-freefont-9:svn29349.0-19.fc31.noar 1525/3004 Verifying : texlive-gnuplottex-9:svn41904-19.fc31.noarch 1526/3004 Verifying : texlive-gofonts-9:svn51314-19.fc31.noarch 1527/3004 Verifying : texlive-gothic-9:svn49869-19.fc31.noarch 1528/3004 Verifying : texlive-gotoh-9:svn44764-19.fc31.noarch 1529/3004 Verifying : texlive-grabbox-9:svn51052-19.fc31.noarch 1530/3004 Verifying : texlive-gradientframe-9:svn21387.0.2-19.fc31.n 1531/3004 Verifying : texlive-gradstudentresume-9:svn38832-19.fc31.n 1532/3004 Verifying : texlive-grafcet-9:svn22509.1.3.5-19.fc31.noarc 1533/3004 Verifying : texlive-grant-9:svn41905-19.fc31.noarch 1534/3004 Verifying : texlive-graph35-9:svn47522-19.fc31.noarch 1535/3004 Verifying : texlive-graphbox-9:svn46360-19.fc31.noarch 1536/3004 Verifying : texlive-graphics-cfg-9:svn40269-19.fc31.noarch 1537/3004 Verifying : texlive-graphics-def-9:svn46267-19.fc31.noarch 1538/3004 Verifying : texlive-graphics-9:svn51733-19.fc31.noarch 1539/3004 Verifying : texlive-graphicx-psmin-9:svn15878.1.1-19.fc31. 1540/3004 Verifying : texlive-graphicxbox-9:svn32630.1.0-19.fc31.noa 1541/3004 Verifying : texlive-graphicxpsd-9:svn46477-19.fc31.noarch 1542/3004 Verifying : texlive-graphviz-9:svn31517.0.94-19.fc31.noarc 1543/3004 Verifying : texlive-grayhints-9:svn49052-19.fc31.noarch 1544/3004 Verifying : texlive-greek-fontenc-9:svn51616-19.fc31.noarc 1545/3004 Verifying : texlive-greenpoint-9:svn15878.0-19.fc31.noarch 1546/3004 Verifying : texlive-grfpaste-9:svn17354.0.2-19.fc31.noarch 1547/3004 Verifying : texlive-grid-9:svn15878.1.0-19.fc31.noarch 1548/3004 Verifying : texlive-grid-system-9:svn32981.0.3.0-19.fc31.n 1549/3004 Verifying : texlive-gridset-9:svn15878.0.1-19.fc31.noarch 1550/3004 Verifying : texlive-gridslides-9:svn45933-19.fc31.noarch 1551/3004 Verifying : texlive-grotesq-9:svn35859.0-19.fc31.noarch 1552/3004 Verifying : texlive-grundgesetze-9:svn34439.1.02-19.fc31.n 1553/3004 Verifying : texlive-gsemthesis-9:svn36244.0.9.4-19.fc31.no 1554/3004 Verifying : texlive-gsftopk-7:20190410-8.fc31.x86_64 1555/3004 Verifying : texlive-gtl-9:svn49527-19.fc31.noarch 1556/3004 Verifying : texlive-gtrlib-largetrees-9:svn49062-19.fc31.n 1557/3004 Verifying : texlive-gu-9:svn15878.0-19.fc31.noarch 1558/3004 Verifying : texlive-guitlogo-9:svn51582-19.fc31.noarch 1559/3004 Verifying : texlive-gzt-9:svn47381-19.fc31.noarch 1560/3004 Verifying : texlive-h2020proposal-9:svn38428-19.fc31.noarc 1561/3004 Verifying : texlive-hackthefootline-9:svn46494-19.fc31.noa 1562/3004 Verifying : texlive-hacm-9:svn27671.0.1-19.fc31.noarch 1563/3004 Verifying : texlive-hagenberg-thesis-9:svn51150-19.fc31.no 1564/3004 Verifying : texlive-halloweenmath-9:svn44043-19.fc31.noarc 1565/3004 Verifying : texlive-handin-9:svn48255-19.fc31.noarch 1566/3004 Verifying : texlive-handout-9:svn43962-19.fc31.noarch 1567/3004 Verifying : texlive-hands-9:svn13293.0-19.fc31.noarch 1568/3004 Verifying : texlive-hang-9:svn43280-19.fc31.noarch 1569/3004 Verifying : texlive-hanging-9:svn15878.1.2b-19.fc31.noarch 1570/3004 Verifying : texlive-har2nat-9:svn17356.1.0-19.fc31.noarch 1571/3004 Verifying : texlive-hardwrap-9:svn21396.0.2-19.fc31.noarch 1572/3004 Verifying : texlive-harnon-cv-9:svn26543.1.0-19.fc31.noarc 1573/3004 Verifying : texlive-harpoon-9:svn21327.1.0-19.fc31.noarch 1574/3004 Verifying : texlive-harvard-9:svn15878.2.0.5-19.fc31.noarc 1575/3004 Verifying : texlive-harveyballs-9:svn32003.1.1-19.fc31.noa 1576/3004 Verifying : texlive-harvmac-9:svn15878.0-19.fc31.noarch 1577/3004 Verifying : texlive-hc-9:svn15878.0-19.fc31.noarch 1578/3004 Verifying : texlive-he-she-9:svn41359-19.fc31.noarch 1579/3004 Verifying : texlive-hecthese-9:svn50590-19.fc31.noarch 1580/3004 Verifying : texlive-helvetic-9:svn31835.0-19.fc31.noarch 1581/3004 Verifying : texlive-hep-9:svn15878.1.0-19.fc31.noarch 1582/3004 Verifying : texlive-hepnames-9:svn35722.2.0-19.fc31.noarch 1583/3004 Verifying : texlive-hepparticles-9:svn35723.2.0-19.fc31.no 1584/3004 Verifying : texlive-hepthesis-9:svn46054-19.fc31.noarch 1585/3004 Verifying : texlive-hepunits-9:svn15878.1.1.1-19.fc31.noar 1586/3004 Verifying : texlive-here-9:svn16135.0-19.fc31.noarch 1587/3004 Verifying : texlive-heuristica-9:svn51362-19.fc31.noarch 1588/3004 Verifying : texlive-hf-tikz-9:svn34733.0.3a-19.fc31.noarch 1589/3004 Verifying : texlive-hfbright-9:svn29349.0-19.fc31.noarch 1590/3004 Verifying : texlive-hfoldsty-9:svn29349.1.15-19.fc31.noarc 1591/3004 Verifying : texlive-hhtensor-9:svn24981.0.61-19.fc31.noarc 1592/3004 Verifying : texlive-histogr-9:svn15878.1.01-19.fc31.noarch 1593/3004 Verifying : texlive-historische-zeitschrift-9:svn42635-19. 1594/3004 Verifying : texlive-hitec-9:svn15878.0.0_beta_-19.fc31.noa 1595/3004 Verifying : texlive-hithesis-9:svn50062-19.fc31.noarch 1596/3004 Verifying : texlive-hletter-9:svn30002.4.2-19.fc31.noarch 1597/3004 Verifying : texlive-hobby-9:svn44474-19.fc31.noarch 1598/3004 Verifying : texlive-hobete-9:svn27036.0-19.fc31.noarch 1599/3004 Verifying : texlive-hpsdiss-9:svn15878.1.0-19.fc31.noarch 1600/3004 Verifying : texlive-hrefhide-9:svn22255.1.0f-19.fc31.noarc 1601/3004 Verifying : texlive-hu-berlin-bundle-9:svn51477-19.fc31.no 1602/3004 Verifying : texlive-hustthesis-9:svn42547-19.fc31.noarch 1603/3004 Verifying : texlive-hvfloat-9:svn51123-19.fc31.noarch 1604/3004 Verifying : texlive-hvindex-9:svn46051-19.fc31.noarch 1605/3004 Verifying : texlive-hypdvips-9:svn51798-19.fc31.noarch 1606/3004 Verifying : texlive-hyper-9:svn17357.4.2d-19.fc31.noarch 1607/3004 Verifying : texlive-hyperbar-9:svn48147-19.fc31.noarch 1608/3004 Verifying : texlive-hypernat-9:svn17358.1.0b-19.fc31.noarc 1609/3004 Verifying : texlive-hyperref-9:svn51742-19.fc31.noarch 1610/3004 Verifying : texlive-hyperxmp-9:svn50812-19.fc31.noarch 1611/3004 Verifying : texlive-hyph-utf8-9:svn51186-19.fc31.noarch 1612/3004 Verifying : texlive-hyphen-base-9:svn51200-19.fc31.noarch 1613/3004 Verifying : texlive-hyphen-polish-9:svn51186-19.fc31.noarc 1614/3004 Verifying : texlive-hyphenat-9:svn15878.2.3c-19.fc31.noarc 1615/3004 Verifying : texlive-hyphenex-9:svn37354.0-19.fc31.noarch 1616/3004 Verifying : texlive-icite-9:svn50429-19.fc31.noarch 1617/3004 Verifying : texlive-icsv-9:svn15878.0.2-19.fc31.noarch 1618/3004 Verifying : texlive-identkey-9:svn49018-19.fc31.noarch 1619/3004 Verifying : texlive-idxcmds-9:svn38115.0.2c-19.fc31.noarch 1620/3004 Verifying : texlive-idxlayout-9:svn25821.0.4d-19.fc31.noar 1621/3004 Verifying : texlive-ieeepes-9:svn17359.4.0-19.fc31.noarch 1622/3004 Verifying : texlive-ietfbibs-doc-9:svn41332-19.fc31.noarch 1623/3004 Verifying : texlive-ifetex-9:svn47231-19.fc31.noarch 1624/3004 Verifying : texlive-iffont-9:svn38823-19.fc31.noarch 1625/3004 Verifying : texlive-ifluatex-9:svn51770-19.fc31.noarch 1626/3004 Verifying : texlive-ifmslide-9:svn20727.0.47-19.fc31.noarc 1627/3004 Verifying : texlive-ifmtarg-9:svn47544-19.fc31.noarch 1628/3004 Verifying : texlive-ifnextok-9:svn23379.0.3-19.fc31.noarch 1629/3004 Verifying : texlive-ifoddpage-9:svn40726-19.fc31.noarch 1630/3004 Verifying : texlive-ifplatform-9:svn45533-19.fc31.noarch 1631/3004 Verifying : texlive-ifsym-9:svn24868.0-19.fc31.noarch 1632/3004 Verifying : texlive-iftex-9:svn29654.0.2-19.fc31.noarch 1633/3004 Verifying : texlive-ifthenx-9:svn25819.0.1a-19.fc31.noarch 1634/3004 Verifying : texlive-ifxetex-9:svn19685.0.5-19.fc31.noarch 1635/3004 Verifying : texlive-iitem-9:svn29613.1.0-19.fc31.noarch 1636/3004 Verifying : texlive-ijmart-9:svn30958.1.7-19.fc31.noarch 1637/3004 Verifying : texlive-ijqc-9:svn15878.1.2-19.fc31.noarch 1638/3004 Verifying : texlive-ijsra-9:svn44886-19.fc31.noarch 1639/3004 Verifying : texlive-imac-9:svn17347.0-19.fc31.noarch 1640/3004 Verifying : texlive-image-gallery-9:svn15878.v1.0j-19.fc31 1641/3004 Verifying : texlive-imakeidx-9:svn42287-19.fc31.noarch 1642/3004 Verifying : texlive-imfellenglish-9:svn38547-19.fc31.noarc 1643/3004 Verifying : texlive-import-9:svn17361.5.1-19.fc31.noarch 1644/3004 Verifying : texlive-imtekda-9:svn17667.1.7-19.fc31.noarch 1645/3004 Verifying : texlive-incgraph-9:svn36500.1.12-19.fc31.noarc 1646/3004 Verifying : texlive-includernw-9:svn47557-19.fc31.noarch 1647/3004 Verifying : texlive-inconsolata-9:svn51433-19.fc31.noarch 1648/3004 Verifying : texlive-index-9:svn24099.4.1beta-19.fc31.noarc 1649/3004 Verifying : texlive-indextools-9:svn38931-19.fc31.noarch 1650/3004 Verifying : texlive-initials-9:svn15878.0-19.fc31.noarch 1651/3004 Verifying : texlive-inkpaper-9:svn51447-19.fc31.noarch 1652/3004 Verifying : texlive-inline-images-9:svn48415-19.fc31.noarc 1653/3004 Verifying : texlive-inlinebib-9:svn22018.0-19.fc31.noarch 1654/3004 Verifying : texlive-inlinedef-9:svn15878.1.0-19.fc31.noarc 1655/3004 Verifying : texlive-inputtrc-9:svn28019.0.3-19.fc31.noarch 1656/3004 Verifying : texlive-inriafonts-9:svn49826-19.fc31.noarch 1657/3004 Verifying : texlive-interactiveworkbook-9:svn15878.0-19.fc 1658/3004 Verifying : texlive-interfaces-9:svn21474.3.1-19.fc31.noar 1659/3004 Verifying : texlive-interval-9:svn50265-19.fc31.noarch 1660/3004 Verifying : texlive-intopdf-9:svn51247-19.fc31.noarch 1661/3004 Verifying : texlive-inversepath-9:svn15878.0.2-19.fc31.noa 1662/3004 Verifying : texlive-invoice-class-9:svn49749-19.fc31.noarc 1663/3004 Verifying : texlive-invoice-9:svn48359-19.fc31.noarch 1664/3004 Verifying : texlive-invoice2-9:svn46364-19.fc31.noarch 1665/3004 Verifying : texlive-iodhbwm-9:svn51175-19.fc31.noarch 1666/3004 Verifying : texlive-ionumbers-9:svn33457.0.3.3-19.fc31.noa 1667/3004 Verifying : texlive-iopart-num-9:svn15878.2.1-19.fc31.noar 1668/3004 Verifying : texlive-ipaex-type1-9:svn47700-19.fc31.noarch 1669/3004 Verifying : texlive-iscram-9:svn45801-19.fc31.noarch 1670/3004 Verifying : texlive-iso-9:svn15878.2.4-19.fc31.noarch 1671/3004 Verifying : texlive-iso10303-9:svn15878.1.5-19.fc31.noarch 1672/3004 Verifying : texlive-isodate-9:svn16613.2.28-19.fc31.noarch 1673/3004 Verifying : texlive-isodoc-9:svn47868-19.fc31.noarch 1674/3004 Verifying : texlive-isomath-9:svn27654.0.6.1-19.fc31.noarc 1675/3004 Verifying : texlive-isonums-9:svn17362.1.0-19.fc31.noarch 1676/3004 Verifying : texlive-isopt-9:svn45509-19.fc31.noarch 1677/3004 Verifying : texlive-isorot-9:svn15878.0-19.fc31.noarch 1678/3004 Verifying : texlive-isotope-9:svn23711.v0.3-19.fc31.noarch 1679/3004 Verifying : texlive-issuulinks-9:svn25742.1.1-19.fc31.noar 1680/3004 Verifying : texlive-istgame-9:svn49848-19.fc31.noarch 1681/3004 Verifying : texlive-itnumpar-9:svn15878.1.0-19.fc31.noarch 1682/3004 Verifying : texlive-iwhdp-9:svn37552.0.50-19.fc31.noarch 1683/3004 Verifying : texlive-iwona-9:svn19611.0.995b-19.fc31.noarch 1684/3004 Verifying : texlive-jablantile-9:svn16364.0-19.fc31.noarch 1685/3004 Verifying : texlive-jacow-9:svn50870-19.fc31.noarch 1686/3004 Verifying : texlive-jamtimes-9:svn20408.1.12-19.fc31.noarc 1687/3004 Verifying : texlive-jkmath-9:svn47109-19.fc31.noarch 1688/3004 Verifying : texlive-jknapltx-9:svn19440.0-19.fc31.noarch 1689/3004 Verifying : texlive-jlabels-9:svn24858.0-19.fc31.noarch 1690/3004 Verifying : texlive-jmlr-9:svn44935-19.fc31.noarch 1691/3004 Verifying : texlive-jneurosci-9:svn17346.1.00-19.fc31.noar 1692/3004 Verifying : texlive-jnuexam-9:svn49212-19.fc31.noarch 1693/3004 Verifying : texlive-jpsj-9:svn15878.1.2.2-19.fc31.noarch 1694/3004 Verifying : texlive-jslectureplanner-9:svn49963-19.fc31.no 1695/3004 Verifying : texlive-jumplines-9:svn37553.0.2-19.fc31.noarc 1696/3004 Verifying : texlive-junicode-9:svn28286.0.7.7-19.fc31.noar 1697/3004 Verifying : texlive-jurabib-9:svn15878.0.6-19.fc31.noarch 1698/3004 Verifying : texlive-jvlisting-9:svn24638.0.7-19.fc31.noarc 1699/3004 Verifying : texlive-kalendarium-9:svn48744-19.fc31.noarch 1700/3004 Verifying : texlive-kantlipsum-9:svn51727-19.fc31.noarch 1701/3004 Verifying : texlive-karnaugh-map-9:svn44131-19.fc31.noarch 1702/3004 Verifying : texlive-karnaugh-9:svn21338.0-19.fc31.noarch 1703/3004 Verifying : texlive-karnaughmap-9:svn36989.2.0-19.fc31.noa 1704/3004 Verifying : texlive-kastrup-9:svn15878.0-19.fc31.noarch 1705/3004 Verifying : texlive-kdgdocs-9:svn24498.1.0-19.fc31.noarch 1706/3004 Verifying : texlive-kerntest-9:svn15878.1.32-19.fc31.noarc 1707/3004 Verifying : texlive-keycommand-9:svn18042.3.1415-19.fc31.n 1708/3004 Verifying : texlive-keyfloat-9:svn50534-19.fc31.noarch 1709/3004 Verifying : texlive-keyreader-9:svn28195.0.5b-19.fc31.noar 1710/3004 Verifying : texlive-keystroke-9:svn17992.v1.6-19.fc31.noar 1711/3004 Verifying : texlive-keyval2e-9:svn23698.0.0.2-19.fc31.noar 1712/3004 Verifying : texlive-keyvaltable-9:svn51288-19.fc31.noarch 1713/3004 Verifying : texlive-kix-9:svn21606.0-19.fc31.noarch 1714/3004 Verifying : texlive-kixfont-9:svn18488.0-19.fc31.noarch 1715/3004 Verifying : texlive-kluwer-9:svn45756-19.fc31.noarch 1716/3004 Verifying : texlive-knitting-9:svn50782-19.fc31.noarch 1717/3004 Verifying : texlive-knittingpattern-9:svn17205.0-19.fc31.n 1718/3004 Verifying : texlive-knowledge-9:svn50031-19.fc31.noarch 1719/3004 Verifying : texlive-knuth-lib-9:svn35820.0-19.fc31.noarch 1720/3004 Verifying : texlive-knuth-local-9:svn38627-19.fc31.noarch 1721/3004 Verifying : texlive-koma-moderncvclassic-9:svn25025.v0.5-1 1722/3004 Verifying : texlive-koma-script-sfs-9:svn26137.1.0-19.fc31 1723/3004 Verifying : texlive-koma-script-9:svn49929-19.fc31.noarch 1724/3004 Verifying : texlive-komacv-rg-9:svn49064-19.fc31.noarch 1725/3004 Verifying : texlive-komacv-9:svn43902-19.fc31.noarch 1726/3004 Verifying : texlive-kpathsea-7:20190410-8.fc31.x86_64 1727/3004 Verifying : texlive-kpfonts-9:svn29803.3.31-19.fc31.noarch 1728/3004 Verifying : texlive-ksfh_nat-9:svn24825.1.1-19.fc31.noarch 1729/3004 Verifying : texlive-ksp-thesis-9:svn39080-19.fc31.noarch 1730/3004 Verifying : texlive-ktv-texdata-9:svn27369.05.34-19.fc31.n 1731/3004 Verifying : texlive-ku-template-9:svn45935-19.fc31.noarch 1732/3004 Verifying : texlive-kurier-9:svn19612.0.995b-19.fc31.noarc 1733/3004 Verifying : texlive-kvmap-9:svn48708-19.fc31.noarch 1734/3004 Verifying : texlive-l3backend-9:svn52343-19.fc31.noarch 1735/3004 Verifying : texlive-l3build-7:20190410-8.fc31.noarch 1736/3004 Verifying : texlive-l3experimental-9:svn51546-19.fc31.noar 1737/3004 Verifying : texlive-l3kernel-9:svn51745-19.fc31.noarch 1738/3004 Verifying : texlive-l3packages-9:svn51546-19.fc31.noarch 1739/3004 Verifying : texlive-labbook-9:svn15878.0-19.fc31.noarch 1740/3004 Verifying : texlive-labels-9:svn15878.13-19.fc31.noarch 1741/3004 Verifying : texlive-labels4easylist-9:svn51124-19.fc31.noa 1742/3004 Verifying : texlive-labelschanged-9:svn46040-19.fc31.noarc 1743/3004 Verifying : texlive-ladder-9:svn44394-19.fc31.noarch 1744/3004 Verifying : texlive-lambda-lists-9:svn31402.0-19.fc31.noar 1745/3004 Verifying : texlive-langcode-9:svn27764.0.2-19.fc31.noarch 1746/3004 Verifying : texlive-langsci-9:svn50706-19.fc31.noarch 1747/3004 Verifying : texlive-lapdf-9:svn23806.1.1-19.fc31.noarch 1748/3004 Verifying : texlive-lastpackage-9:svn34481.0.1-19.fc31.noa 1749/3004 Verifying : texlive-lastpage-9:svn36680.1.2m-19.fc31.noarc 1750/3004 Verifying : texlive-latex-7:20190410-8.fc31.noarch 1751/3004 Verifying : texlive-latex-base-dev-9:svn51730-19.fc31.noar 1752/3004 Verifying : texlive-latex-bin-dev-9:svn51839-19.fc31.noarc 1753/3004 Verifying : texlive-latex-fonts-9:svn28888.0-19.fc31.noarc 1754/3004 Verifying : texlive-latex-graphics-dev-9:svn51730-19.fc31. 1755/3004 Verifying : texlive-latex-make-9:svn47869-19.fc31.noarch 1756/3004 Verifying : texlive-latex-tds-doc-9:svn40613-19.fc31.noarc 1757/3004 Verifying : texlive-latex-uni8-9:svn49729-19.fc31.noarch 1758/3004 Verifying : texlive-latexbug-9:svn49573-19.fc31.noarch 1759/3004 Verifying : texlive-latexcolors-9:svn49888-19.fc31.noarch 1760/3004 Verifying : texlive-latexconfig-9:svn45777-19.fc31.noarch 1761/3004 Verifying : texlive-latexdemo-9:svn34481.0.1-19.fc31.noarc 1762/3004 Verifying : texlive-latexgit-9:svn41920-19.fc31.noarch 1763/3004 Verifying : texlive-lato-9:svn51462-19.fc31.noarch 1764/3004 Verifying : texlive-layouts-9:svn42428-19.fc31.noarch 1765/3004 Verifying : texlive-lazylist-9:svn17691.1.0a-19.fc31.noarc 1766/3004 Verifying : texlive-lccaps-9:svn46432-19.fc31.noarch 1767/3004 Verifying : texlive-lcd-9:svn16549.0.3-19.fc31.noarch 1768/3004 Verifying : texlive-lcg-9:svn31474.1.3-19.fc31.noarch 1769/3004 Verifying : texlive-leading-9:svn15878.0.3-19.fc31.noarch 1770/3004 Verifying : texlive-leaflet-9:svn43523-19.fc31.noarch 1771/3004 Verifying : texlive-lectures-9:svn49863-19.fc31.noarch 1772/3004 Verifying : texlive-leftidx-9:svn15878.0-19.fc31.noarch 1773/3004 Verifying : texlive-leipzig-9:svn51357-19.fc31.noarch 1774/3004 Verifying : texlive-lengthconvert-9:svn30867.1.0a-19.fc31. 1775/3004 Verifying : texlive-lettre-9:svn44950-19.fc31.noarch 1776/3004 Verifying : texlive-lettrine-9:svn50847-19.fc31.noarch 1777/3004 Verifying : texlive-lewis-9:svn15878.0.1-19.fc31.noarch 1778/3004 Verifying : texlive-lfb-9:svn15878.1.0-19.fc31.noarch 1779/3004 Verifying : texlive-lhelp-9:svn23638.2.0-19.fc31.noarch 1780/3004 Verifying : texlive-lib-7:20190410-8.fc31.x86_64 1781/3004 Verifying : texlive-libertine-9:svn51174-19.fc31.noarch 1782/3004 Verifying : texlive-libertinegc-9:svn44616-19.fc31.noarch 1783/3004 Verifying : texlive-libertinus-fonts-9:svn51614-19.fc31.no 1784/3004 Verifying : texlive-libertinus-otf-9:svn51277-19.fc31.noar 1785/3004 Verifying : texlive-libertinus-9:svn47488-19.fc31.noarch 1786/3004 Verifying : texlive-libertinus-type1-9:svn51261-19.fc31.no 1787/3004 Verifying : texlive-libertinust1math-9:svn48077-19.fc31.no 1788/3004 Verifying : texlive-libgreek-9:svn27789.1.0-19.fc31.noarch 1789/3004 Verifying : texlive-librebaskerville-9:svn31741.0-19.fc31. 1790/3004 Verifying : texlive-librebodoni-9:svn39375-19.fc31.noarch 1791/3004 Verifying : texlive-librecaslon-9:svn51456-19.fc31.noarch 1792/3004 Verifying : texlive-librefranklin-9:svn51100-19.fc31.noarc 1793/3004 Verifying : texlive-libris-9:svn19409.1.007-19.fc31.noarch 1794/3004 Verifying : texlive-limap-9:svn44863-19.fc31.noarch 1795/3004 Verifying : texlive-limecv-9:svn45906-19.fc31.noarch 1796/3004 Verifying : texlive-lineara-9:svn15878.0-19.fc31.noarch 1797/3004 Verifying : texlive-linegoal-9:svn21523.2.9-19.fc31.noarch 1798/3004 Verifying : texlive-lineno-9:svn21442.4.41-19.fc31.noarch 1799/3004 Verifying : texlive-linguex-9:svn30815.4.3-19.fc31.noarch 1800/3004 Verifying : texlive-linguisticspro-9:svn51457-19.fc31.noar 1801/3004 Verifying : texlive-linop-9:svn41304-19.fc31.noarch 1802/3004 Verifying : texlive-lion-msc-9:svn51143-19.fc31.noarch 1803/3004 Verifying : texlive-lipsum-9:svn49610-19.fc31.noarch 1804/3004 Verifying : texlive-lisp-on-tex-9:svn38722-19.fc31.noarch 1805/3004 Verifying : texlive-listbib-7:20190410-8.fc31.noarch 1806/3004 Verifying : texlive-listing-9:svn17373.1.2-19.fc31.noarch 1807/3004 Verifying : texlive-listings-9:svn50175-19.fc31.noarch 1808/3004 Verifying : texlive-listlbls-9:svn34893.1.03-19.fc31.noarc 1809/3004 Verifying : texlive-listliketab-9:svn15878.0-19.fc31.noarc 1810/3004 Verifying : texlive-listofsymbols-9:svn16134.0.2-19.fc31.n 1811/3004 Verifying : texlive-lkproof-9:svn20021.3.1-19.fc31.noarch 1812/3004 Verifying : texlive-llncsconf-9:svn46707-19.fc31.noarch 1813/3004 Verifying : texlive-lm-math-9:svn36915.1.959-19.fc31.noarc 1814/3004 Verifying : texlive-lm-9:svn48145-19.fc31.noarch 1815/3004 Verifying : texlive-lmake-9:svn25552.1.0-19.fc31.noarch 1816/3004 Verifying : texlive-lni-9:svn50754-19.fc31.noarch 1817/3004 Verifying : texlive-lobster2-9:svn32617.0-19.fc31.noarch 1818/3004 Verifying : texlive-locality-9:svn20422.0.2-19.fc31.noarch 1819/3004 Verifying : texlive-localloc-9:svn21934.0-19.fc31.noarch 1820/3004 Verifying : texlive-logbox-9:svn24499.1.0-19.fc31.noarch 1821/3004 Verifying : texlive-logical-markup-utils-9:svn15878.0-19.f 1822/3004 Verifying : texlive-logicproof-9:svn33254.0-19.fc31.noarch 1823/3004 Verifying : texlive-logpap-9:svn15878.0.6-19.fc31.noarch 1824/3004 Verifying : texlive-logreq-9:svn19640.1.0-19.fc31.noarch 1825/3004 Verifying : texlive-longdivision-9:svn50586-19.fc31.noarch 1826/3004 Verifying : texlive-longfbox-9:svn39028-19.fc31.noarch 1827/3004 Verifying : texlive-longfigure-9:svn34302.1.0-19.fc31.noar 1828/3004 Verifying : texlive-longnamefilelist-9:svn27889.0.2-19.fc3 1829/3004 Verifying : texlive-loops-9:svn30704.1.3-19.fc31.noarch 1830/3004 Verifying : texlive-lpform-9:svn36918.0-19.fc31.noarch 1831/3004 Verifying : texlive-lpic-9:svn20843.0.8-19.fc31.noarch 1832/3004 Verifying : texlive-lplfitch-9:svn31077.0.9-19.fc31.noarch 1833/3004 Verifying : texlive-lps-9:svn21322.0.7-19.fc31.noarch 1834/3004 Verifying : texlive-lroundrect-9:svn39804-19.fc31.noarch 1835/3004 Verifying : texlive-lsc-9:svn15878.0-19.fc31.noarch 1836/3004 Verifying : texlive-lstaddons-9:svn26196.0.1-19.fc31.noarc 1837/3004 Verifying : texlive-lstbayes-9:svn48160-19.fc31.noarch 1838/3004 Verifying : texlive-lstfiracode-9:svn49503-19.fc31.noarch 1839/3004 Verifying : texlive-lt3graph-9:svn45913-19.fc31.noarch 1840/3004 Verifying : texlive-ltablex-9:svn34923.1.1-19.fc31.noarch 1841/3004 Verifying : texlive-ltabptch-9:svn17533.1.74d-19.fc31.noar 1842/3004 Verifying : texlive-ltb2bib-9:svn43746-19.fc31.noarch 1843/3004 Verifying : texlive-ltxdockit-9:svn21869.1.2d-19.fc31.noar 1844/3004 Verifying : texlive-ltxguidex-9:svn50992-19.fc31.noarch 1845/3004 Verifying : texlive-ltxindex-9:svn15878.0.1c-19.fc31.noarc 1846/3004 Verifying : texlive-ltxkeys-9:svn28332.0.0.3c-19.fc31.noar 1847/3004 Verifying : texlive-ltxmisc-9:svn21927.0-19.fc31.noarch 1848/3004 Verifying : texlive-ltxnew-9:svn21586.1.3-19.fc31.noarch 1849/3004 Verifying : texlive-ltxtools-9:svn24897.0.0.1a-19.fc31.noa 1850/3004 Verifying : texlive-lua-alt-getopt-9:svn29349.0.7.0-19.fc3 1851/3004 Verifying : texlive-lua-check-hyphen-9:svn47527-19.fc31.no 1852/3004 Verifying : texlive-luabibentry-9:svn31783.0.1a-19.fc31.no 1853/3004 Verifying : texlive-luabidi-9:svn30790.0.2-19.fc31.noarch 1854/3004 Verifying : texlive-luacode-9:svn25193.1.2a-19.fc31.noarch 1855/3004 Verifying : texlive-luainputenc-9:svn20491.0.973-19.fc31.n 1856/3004 Verifying : texlive-lualatex-math-9:svn49904-19.fc31.noarc 1857/3004 Verifying : texlive-lualibs-9:svn51642-19.fc31.noarch 1858/3004 Verifying : texlive-luamesh-9:svn43814-19.fc31.noarch 1859/3004 Verifying : texlive-luaotfload-7:20190410-8.fc31.noarch 1860/3004 Verifying : texlive-luasseq-9:svn37877.0-19.fc31.noarch 1861/3004 Verifying : texlive-luatex-7:20190410-8.fc31.x86_64 1862/3004 Verifying : texlive-luatexbase-9:svn38550-19.fc31.noarch 1863/3004 Verifying : texlive-luatextra-9:svn20747.1.0.1-19.fc31.noa 1864/3004 Verifying : texlive-luatodonotes-9:svn45454-19.fc31.noarch 1865/3004 Verifying : texlive-lwarp-7:20190410-8.fc31.noarch 1866/3004 Verifying : texlive-lxfonts-9:svn32354.2.0b-19.fc31.noarch 1867/3004 Verifying : texlive-ly1-9:svn47848-19.fc31.noarch 1868/3004 Verifying : texlive-macroswap-9:svn31498.1.1-19.fc31.noarc 1869/3004 Verifying : texlive-magaz-9:svn24694.0.4-19.fc31.noarch 1870/3004 Verifying : texlive-mailing-9:svn15878.0-19.fc31.noarch 1871/3004 Verifying : texlive-mailmerge-9:svn15878.1.0-19.fc31.noarc 1872/3004 Verifying : texlive-make4ht-7:20190410-8.fc31.noarch 1873/3004 Verifying : texlive-makebarcode-9:svn15878.1.0-19.fc31.noa 1874/3004 Verifying : texlive-makebase-9:svn41012-19.fc31.noarch 1875/3004 Verifying : texlive-makebox-9:svn15878.0.1-19.fc31.noarch 1876/3004 Verifying : texlive-makecell-9:svn15878.0.1e-19.fc31.noarc 1877/3004 Verifying : texlive-makecirc-9:svn15878.0-19.fc31.noarch 1878/3004 Verifying : texlive-makecmds-9:svn15878.0-19.fc31.noarch 1879/3004 Verifying : texlive-makecookbook-9:svn49311-19.fc31.noarch 1880/3004 Verifying : texlive-makedtx-7:20190410-8.fc31.noarch 1881/3004 Verifying : texlive-makeglos-9:svn15878.0-19.fc31.noarch 1882/3004 Verifying : texlive-makeindex-7:20190410-8.fc31.x86_64 1883/3004 Verifying : texlive-maker-9:svn44823-19.fc31.noarch 1884/3004 Verifying : texlive-makeshape-9:svn28973.2.1-19.fc31.noarc 1885/3004 Verifying : texlive-mandi-9:svn49720-19.fc31.noarch 1886/3004 Verifying : texlive-manfnt-font-9:svn45777-19.fc31.noarch 1887/3004 Verifying : texlive-manfnt-9:svn42428-19.fc31.noarch 1888/3004 Verifying : texlive-manuscript-9:svn36110.1.7-19.fc31.noar 1889/3004 Verifying : texlive-manyind-9:svn49874-19.fc31.noarch 1890/3004 Verifying : texlive-marcellus-9:svn52367-19.fc31.noarch 1891/3004 Verifying : texlive-margbib-9:svn15878.1.0c-19.fc31.noarch 1892/3004 Verifying : texlive-marginfit-9:svn48281-19.fc31.noarch 1893/3004 Verifying : texlive-marginfix-9:svn31598.1.1-19.fc31.noarc 1894/3004 Verifying : texlive-marginnote-9:svn48383-19.fc31.noarch 1895/3004 Verifying : texlive-markdown-9:svn50906-19.fc31.noarch 1896/3004 Verifying : texlive-marvosym-9:svn29349.2.2a-19.fc31.noarc 1897/3004 Verifying : texlive-matc3-9:svn29845.1.0.1-19.fc31.noarch 1898/3004 Verifying : texlive-matc3mem-9:svn35773.1.1-19.fc31.noarch 1899/3004 Verifying : texlive-mathabx-9:svn15878.0-19.fc31.noarch 1900/3004 Verifying : texlive-mathabx-type1-9:svn21129.0-19.fc31.noa 1901/3004 Verifying : texlive-mathalpha-9:svn51179-19.fc31.noarch 1902/3004 Verifying : texlive-mathastext-9:svn42447-19.fc31.noarch 1903/3004 Verifying : texlive-mathcommand-9:svn51542-19.fc31.noarch 1904/3004 Verifying : texlive-mathcomp-9:svn15878.0.1f-19.fc31.noarc 1905/3004 Verifying : texlive-mathdesign-9:svn31639.2.31-19.fc31.noa 1906/3004 Verifying : texlive-mathexam-9:svn15878.1.00-19.fc31.noarc 1907/3004 Verifying : texlive-mathfam256-9:svn46412-19.fc31.noarch 1908/3004 Verifying : texlive-mathfixs-9:svn49547-19.fc31.noarch 1909/3004 Verifying : texlive-mathfont-9:svn51017-19.fc31.noarch 1910/3004 Verifying : texlive-mathpartir-9:svn39864-19.fc31.noarch 1911/3004 Verifying : texlive-mathpazo-9:svn15878.1.003-19.fc31.noar 1912/3004 Verifying : texlive-mathpunctspace-9:svn46754-19.fc31.noar 1913/3004 Verifying : texlive-mathspec-9:svn42773-19.fc31.noarch 1914/3004 Verifying : texlive-mathspic-7:20190410-8.fc31.noarch 1915/3004 Verifying : texlive-mathtools-9:svn51788-19.fc31.noarch 1916/3004 Verifying : texlive-matlab-prettifier-9:svn34323.0.3-19.fc 1917/3004 Verifying : texlive-mattens-9:svn17582.1.3-19.fc31.noarch 1918/3004 Verifying : texlive-maybemath-9:svn15878.0-19.fc31.noarch 1919/3004 Verifying : texlive-mcaption-9:svn15878.3.0-19.fc31.noarch 1920/3004 Verifying : texlive-mceinleger-9:svn15878.0-19.fc31.noarch 1921/3004 Verifying : texlive-mcexam-9:svn46155-19.fc31.noarch 1922/3004 Verifying : texlive-mcite-9:svn18173.1.6-19.fc31.noarch 1923/3004 Verifying : texlive-mciteplus-9:svn31648.1.2-19.fc31.noarc 1924/3004 Verifying : texlive-mcmthesis-9:svn49825-19.fc31.noarch 1925/3004 Verifying : texlive-mdframed-9:svn31075.1.9b-19.fc31.noarc 1926/3004 Verifying : texlive-mdputu-9:svn20298.1.2-19.fc31.noarch 1927/3004 Verifying : texlive-mdsymbol-9:svn28399.0.5-19.fc31.noarch 1928/3004 Verifying : texlive-mdwtools-9:svn15878.1.05.4-19.fc31.noa 1929/3004 Verifying : texlive-media9-9:svn51619-19.fc31.noarch 1930/3004 Verifying : texlive-medstarbeamer-9:svn38828-19.fc31.noarc 1931/3004 Verifying : texlive-meetingmins-9:svn31878.1.6-19.fc31.noa 1932/3004 Verifying : texlive-memexsupp-9:svn15878.0.1-19.fc31.noarc 1933/3004 Verifying : texlive-memoir-9:svn49394-19.fc31.noarch 1934/3004 Verifying : texlive-memory-9:svn30452.1.2-19.fc31.noarch 1935/3004 Verifying : texlive-memorygraphs-9:svn49631-19.fc31.noarch 1936/3004 Verifying : texlive-mensa-tex-9:svn45997-19.fc31.noarch 1937/3004 Verifying : texlive-mentis-9:svn15878.1.5-19.fc31.noarch 1938/3004 Verifying : texlive-menu-9:svn15878.0.994-19.fc31.noarch 1939/3004 Verifying : texlive-menukeys-9:svn41823-19.fc31.noarch 1940/3004 Verifying : texlive-merriweather-9:svn51304-19.fc31.noarch 1941/3004 Verifying : texlive-metafont-7:20190410-8.fc31.x86_64 1942/3004 Verifying : texlive-metalogo-9:svn18611.0.12-19.fc31.noarc 1943/3004 Verifying : texlive-metalogox-9:svn49774-19.fc31.noarch 1944/3004 Verifying : texlive-method-9:svn17485.2.0b-19.fc31.noarch 1945/3004 Verifying : texlive-metre-9:svn18489.1.0-19.fc31.noarch 1946/3004 Verifying : texlive-mfirstuc-9:svn45803-19.fc31.noarch 1947/3004 Verifying : texlive-mflogo-font-9:svn36898.1.002-19.fc31.n 1948/3004 Verifying : texlive-mflogo-9:svn42428-19.fc31.noarch 1949/3004 Verifying : texlive-mfnfss-9:svn46036-19.fc31.noarch 1950/3004 Verifying : texlive-mftinc-9:svn15878.1.0a-19.fc31.noarch 1951/3004 Verifying : texlive-mfware-7:20190410-8.fc31.x86_64 1952/3004 Verifying : texlive-mgltex-9:svn41676-19.fc31.noarch 1953/3004 Verifying : texlive-mhchem-9:svn48088-19.fc31.noarch 1954/3004 Verifying : texlive-mhequ-9:svn38224.1.7-19.fc31.noarch 1955/3004 Verifying : texlive-mi-solns-9:svn49651-19.fc31.noarch 1956/3004 Verifying : texlive-miama-9:svn51395-19.fc31.noarch 1957/3004 Verifying : texlive-microtype-9:svn50187-19.fc31.noarch 1958/3004 Verifying : texlive-midpage-9:svn17484.1.1a-19.fc31.noarch 1959/3004 Verifying : texlive-miller-9:svn18789.1.2-19.fc31.noarch 1960/3004 Verifying : texlive-milsymb-9:svn51566-19.fc31.noarch 1961/3004 Verifying : texlive-minibox-9:svn30914.0.2a-19.fc31.noarch 1962/3004 Verifying : texlive-minidocument-9:svn43752-19.fc31.noarch 1963/3004 Verifying : texlive-minifp-9:svn32559.0.96-19.fc31.noarch 1964/3004 Verifying : texlive-minipage-marginpar-9:svn15878.v0.2-19. 1965/3004 Verifying : texlive-miniplot-9:svn17483.0-19.fc31.noarch 1966/3004 Verifying : texlive-minitoc-9:svn48196-19.fc31.noarch 1967/3004 Verifying : texlive-minorrevision-9:svn32165.1.1-19.fc31.n 1968/3004 Verifying : texlive-minted-9:svn44855-19.fc31.noarch 1969/3004 Verifying : texlive-mintspirit-9:svn32069.0-19.fc31.noarch 1970/3004 Verifying : texlive-minutes-9:svn42186-19.fc31.noarch 1971/3004 Verifying : texlive-mismath-9:svn51436-19.fc31.noarch 1972/3004 Verifying : texlive-missaali-9:svn42810-19.fc31.noarch 1973/3004 Verifying : texlive-mkpic-7:20190410-8.fc31.noarch 1974/3004 Verifying : texlive-mla-paper-9:svn20885.0-19.fc31.noarch 1975/3004 Verifying : texlive-mlacls-9:svn51157-19.fc31.noarch 1976/3004 Verifying : texlive-mlist-9:svn15878.0.6a-19.fc31.noarch 1977/3004 Verifying : texlive-mmap-9:svn15878.1.03-19.fc31.noarch 1978/3004 Verifying : texlive-mnotes-9:svn35521.0.8-19.fc31.noarch 1979/3004 Verifying : texlive-mnras-9:svn37579.3.0-19.fc31.noarch 1980/3004 Verifying : texlive-mnsymbol-9:svn18651.1.4-19.fc31.noarch 1981/3004 Verifying : texlive-moderncv-9:svn37992.2.0.0-19.fc31.noar 1982/3004 Verifying : texlive-modernposter-9:svn47269-19.fc31.noarch 1983/3004 Verifying : texlive-moderntimeline-9:svn50228-19.fc31.noar 1984/3004 Verifying : texlive-modiagram-9:svn38448-19.fc31.noarch 1985/3004 Verifying : texlive-modref-9:svn15878.1.0-19.fc31.noarch 1986/3004 Verifying : texlive-modroman-9:svn29803.1-19.fc31.noarch 1987/3004 Verifying : texlive-modular-9:svn44142-19.fc31.noarch 1988/3004 Verifying : texlive-monofill-9:svn28140.0.2-19.fc31.noarch 1989/3004 Verifying : texlive-montserrat-9:svn43347-19.fc31.noarch 1990/3004 Verifying : texlive-moodle-9:svn39367-19.fc31.noarch 1991/3004 Verifying : texlive-moreenum-9:svn24479.1.03-19.fc31.noarc 1992/3004 Verifying : texlive-morefloats-9:svn37927.1.0h-19.fc31.noa 1993/3004 Verifying : texlive-morehype-9:svn38815-19.fc31.noarch 1994/3004 Verifying : texlive-moresize-9:svn17513.1.9-19.fc31.noarch 1995/3004 Verifying : texlive-moreverb-9:svn22126.2.3a-19.fc31.noarc 1996/3004 Verifying : texlive-morewrites-9:svn49531-19.fc31.noarch 1997/3004 Verifying : texlive-mparhack-9:svn15878.1.4-19.fc31.noarch 1998/3004 Verifying : texlive-mpostinl-9:svn49559-19.fc31.noarch 1999/3004 Verifying : texlive-mptopdf-7:20190410-8.fc31.noarch 2000/3004 Verifying : texlive-ms-9:svn51784-19.fc31.noarch 2001/3004 Verifying : texlive-msc-9:svn15878.1.16-19.fc31.noarch 2002/3004 Verifying : texlive-msg-9:svn49578-19.fc31.noarch 2003/3004 Verifying : texlive-mslapa-9:svn17514.0-19.fc31.noarch 2004/3004 Verifying : texlive-msu-thesis-9:svn46106-19.fc31.noarch 2005/3004 Verifying : texlive-mtgreek-9:svn17967.1.1+-19.fc31.noarch 2006/3004 Verifying : texlive-mucproc-9:svn43445-19.fc31.noarch 2007/3004 Verifying : texlive-mugsthesis-9:svn34878.0-19.fc31.noarch 2008/3004 Verifying : texlive-multenum-9:svn21775.0-19.fc31.noarch 2009/3004 Verifying : texlive-multiaudience-9:svn38035.1.03-19.fc31. 2010/3004 Verifying : texlive-multibbl-9:svn15878.v1.1-19.fc31.noarc 2011/3004 Verifying : texlive-multibib-9:svn15878.1.4-19.fc31.noarch 2012/3004 Verifying : texlive-multibibliography-7:20190410-8.fc31.no 2013/3004 Verifying : texlive-multicap-9:svn15878.0-19.fc31.noarch 2014/3004 Verifying : texlive-multicolrule-9:svn49579-19.fc31.noarch 2015/3004 Verifying : texlive-multidef-9:svn40637-19.fc31.noarch 2016/3004 Verifying : texlive-multido-9:svn18302.1.42-19.fc31.noarch 2017/3004 Verifying : texlive-multienv-9:svn26544.1.0-19.fc31.noarch 2018/3004 Verifying : texlive-multiexpand-9:svn45943-19.fc31.noarch 2019/3004 Verifying : texlive-multilang-9:svn49065-19.fc31.noarch 2020/3004 Verifying : texlive-multiobjective-9:svn15878.1.0-19.fc31. 2021/3004 Verifying : texlive-multirow-9:svn51278-19.fc31.noarch 2022/3004 Verifying : texlive-munich-9:svn15878.0-19.fc31.noarch 2023/3004 Verifying : texlive-musuos-9:svn24857.1.1d-19.fc31.noarch 2024/3004 Verifying : texlive-muthesis-9:svn23861.0-19.fc31.noarch 2025/3004 Verifying : texlive-mversion-9:svn29370.1.0.1-19.fc31.noar 2026/3004 Verifying : texlive-mwe-9:svn47194-19.fc31.noarch 2027/3004 Verifying : texlive-mweights-9:svn43647-19.fc31.noarch 2028/3004 Verifying : texlive-mychemistry-9:svn28611.1.99b-19.fc31.n 2029/3004 Verifying : texlive-mycv-9:svn26807.1.5.6-19.fc31.noarch 2030/3004 Verifying : texlive-mylatexformat-9:svn21392.3.4-19.fc31.n 2031/3004 Verifying : texlive-mynsfc-9:svn41996-19.fc31.noarch 2032/3004 Verifying : texlive-nag-9:svn24741.0.7-19.fc31.noarch 2033/3004 Verifying : texlive-nameauth-9:svn43586-19.fc31.noarch 2034/3004 Verifying : texlive-namespc-9:svn15878.0-19.fc31.noarch 2035/3004 Verifying : texlive-nar-9:svn38100.3.19-19.fc31.noarch 2036/3004 Verifying : texlive-natbib-9:svn20668.8.31b-19.fc31.noarch 2037/3004 Verifying : texlive-natded-9:svn32693.0.1-19.fc31.noarch 2038/3004 Verifying : texlive-nath-9:svn15878.0-19.fc31.noarch 2039/3004 Verifying : texlive-nature-9:svn21819.1.0-19.fc31.noarch 2040/3004 Verifying : texlive-navydocs-9:svn41643-19.fc31.noarch 2041/3004 Verifying : texlive-ncclatex-9:svn15878.1.5-19.fc31.noarch 2042/3004 Verifying : texlive-ncctools-9:svn51810-19.fc31.noarch 2043/3004 Verifying : texlive-ncntrsbk-9:svn31835.0-19.fc31.noarch 2044/3004 Verifying : texlive-nddiss-9:svn45107-19.fc31.noarch 2045/3004 Verifying : texlive-ndsu-thesis-9:svn46639-19.fc31.noarch 2046/3004 Verifying : texlive-needspace-9:svn29601.1.3d-19.fc31.noar 2047/3004 Verifying : texlive-nestquot-9:svn27323.0-19.fc31.noarch 2048/3004 Verifying : texlive-neuralnetwork-9:svn31500.1.0-19.fc31.n 2049/3004 Verifying : texlive-newcommand-doc-9:svn18704.2.0-19.fc31. 2050/3004 Verifying : texlive-newenviron-9:svn29331.1.0-19.fc31.noar 2051/3004 Verifying : texlive-newfile-9:svn15878.1.0c-19.fc31.noarch 2052/3004 Verifying : texlive-newlfm-9:svn15878.9.4-19.fc31.noarch 2053/3004 Verifying : texlive-newpx-9:svn49438-19.fc31.noarch 2054/3004 Verifying : texlive-newspaper-9:svn15878.1.0-19.fc31.noarc 2055/3004 Verifying : texlive-newtx-9:svn53549-19.fc31.noarch 2056/3004 Verifying : texlive-newtxsf-9:svn47958-19.fc31.noarch 2057/3004 Verifying : texlive-newtxtt-9:svn44510-19.fc31.noarch 2058/3004 Verifying : texlive-newunicodechar-9:svn47382-19.fc31.noar 2059/3004 Verifying : texlive-newvbtm-9:svn23996.1.1-19.fc31.noarch 2060/3004 Verifying : texlive-newverbs-9:svn26258.1.3a-19.fc31.noarc 2061/3004 Verifying : texlive-nextpage-9:svn15878.1.1a-19.fc31.noarc 2062/3004 Verifying : texlive-nfssext-cfr-9:svn43640-19.fc31.noarch 2063/3004 Verifying : texlive-nicefilelist-9:svn28527.0.7a-19.fc31.n 2064/3004 Verifying : texlive-niceframe-9:svn36086.1.1c-19.fc31.noar 2065/3004 Verifying : texlive-niceframe-type1-9:svn44671-19.fc31.noa 2066/3004 Verifying : texlive-nicematrix-9:svn51680-19.fc31.noarch 2067/3004 Verifying : texlive-nicetext-9:svn38914-19.fc31.noarch 2068/3004 Verifying : texlive-nidanfloat-9:svn48295-19.fc31.noarch 2069/3004 Verifying : texlive-nih-9:svn15878.0-19.fc31.noarch 2070/3004 Verifying : texlive-nihbiosketch-9:svn39460-19.fc31.noarch 2071/3004 Verifying : texlive-nimbus15-9:svn39343-19.fc31.noarch 2072/3004 Verifying : texlive-nkarta-9:svn16437.0.2-19.fc31.noarch 2073/3004 Verifying : texlive-nlctdoc-9:svn44353-19.fc31.noarch 2074/3004 Verifying : texlive-nmbib-9:svn37984.1.04-19.fc31.noarch 2075/3004 Verifying : texlive-noconflict-9:svn30140.1.0-19.fc31.noar 2076/3004 Verifying : texlive-noindentafter-9:svn35709.0.2.2-19.fc31 2077/3004 Verifying : texlive-noitcrul-9:svn15878.0.2-19.fc31.noarch 2078/3004 Verifying : texlive-nolbreaks-9:svn26786.1.2-19.fc31.noarc 2079/3004 Verifying : texlive-nomencl-9:svn51025-19.fc31.noarch 2080/3004 Verifying : texlive-nomentbl-9:svn16549.0.4-19.fc31.noarch 2081/3004 Verifying : texlive-nonfloat-9:svn17598.1.0-19.fc31.noarch 2082/3004 Verifying : texlive-nonumonpart-9:svn22114.1-19.fc31.noarc 2083/3004 Verifying : texlive-nopageno-9:svn18128.0-19.fc31.noarch 2084/3004 Verifying : texlive-norasi-c90-9:svn37675.0-19.fc31.noarch 2085/3004 Verifying : texlive-normalcolor-9:svn40125-19.fc31.noarch 2086/3004 Verifying : texlive-nostarch-9:svn15878.1.3-19.fc31.noarch 2087/3004 Verifying : texlive-notes-9:svn42428-19.fc31.noarch 2088/3004 Verifying : texlive-notes2bib-9:svn31162.2.0k-19.fc31.noar 2089/3004 Verifying : texlive-notespages-9:svn41906-19.fc31.noarch 2090/3004 Verifying : texlive-notestex-9:svn45396-19.fc31.noarch 2091/3004 Verifying : texlive-notex-bst-9:svn42361-19.fc31.noarch 2092/3004 Verifying : texlive-noto-9:svn53105-19.fc31.noarch 2093/3004 Verifying : texlive-notoccite-9:svn18129.0-19.fc31.noarch 2094/3004 Verifying : texlive-novel-9:svn47492-19.fc31.noarch 2095/3004 Verifying : texlive-nowidow-9:svn24066.1.0-19.fc31.noarch 2096/3004 Verifying : texlive-nox-9:svn30991.1.0-19.fc31.noarch 2097/3004 Verifying : texlive-nrc-9:svn29027.2.01a-19.fc31.noarch 2098/3004 Verifying : texlive-ntgclass-9:svn15878.2.1a-19.fc31.noarc 2099/3004 Verifying : texlive-ntheorem-9:svn27609.1.33-19.fc31.noarc 2100/3004 Verifying : texlive-nuc-9:svn22256.0.1-19.fc31.noarch 2101/3004 Verifying : texlive-nucleardata-9:svn47307-19.fc31.noarch 2102/3004 Verifying : texlive-numberedblock-9:svn33109.1.10-19.fc31. 2103/3004 Verifying : texlive-numericplots-9:svn31729.2.0.2-19.fc31. 2104/3004 Verifying : texlive-numname-9:svn18130.0-19.fc31.noarch 2105/3004 Verifying : texlive-numprint-9:svn27498.1.39-19.fc31.noarc 2106/3004 Verifying : texlive-numspell-9:svn45441-19.fc31.noarch 2107/3004 Verifying : texlive-nwejm-9:svn50447-19.fc31.noarch 2108/3004 Verifying : texlive-oberdiek-7:20190410-8.fc31.noarch 2109/3004 Verifying : texlive-objectz-9:svn19389.0-19.fc31.noarch 2110/3004 Verifying : texlive-obnov-9:svn33355.0.11-19.fc31.noarch 2111/3004 Verifying : texlive-ocg-p-9:svn28803.0.4-19.fc31.noarch 2112/3004 Verifying : texlive-ocgx-9:svn28492.0.5-19.fc31.noarch 2113/3004 Verifying : texlive-ocgx2-9:svn51552-19.fc31.noarch 2114/3004 Verifying : texlive-ocherokee-9:svn25689.0-19.fc31.noarch 2115/3004 Verifying : texlive-ocr-b-outline-9:svn20969.0-19.fc31.noa 2116/3004 Verifying : texlive-ocr-b-9:svn20852.0-19.fc31.noarch 2117/3004 Verifying : texlive-ocr-latex-9:svn15878.0-19.fc31.noarch 2118/3004 Verifying : texlive-octavo-9:svn15878.1.2-19.fc31.noarch 2119/3004 Verifying : texlive-ogham-9:svn24876.0-19.fc31.noarch 2120/3004 Verifying : texlive-oinuit-9:svn28668.0-19.fc31.noarch 2121/3004 Verifying : texlive-old-arrows-9:svn42872-19.fc31.noarch 2122/3004 Verifying : texlive-oldlatin-9:svn17932.1.00-19.fc31.noarc 2123/3004 Verifying : texlive-oldstandard-9:svn51741-19.fc31.noarch 2124/3004 Verifying : texlive-oldstyle-9:svn15878.0.2-19.fc31.noarch 2125/3004 Verifying : texlive-onlyamsmath-9:svn42927-19.fc31.noarch 2126/3004 Verifying : texlive-onrannual-9:svn17474.1.1-19.fc31.noarc 2127/3004 Verifying : texlive-opcit-9:svn15878.1.1-19.fc31.noarch 2128/3004 Verifying : texlive-opensans-9:svn51458-19.fc31.noarch 2129/3004 Verifying : texlive-oplotsymbl-9:svn44951-19.fc31.noarch 2130/3004 Verifying : texlive-opteng-9:svn27331.1.0-19.fc31.noarch 2131/3004 Verifying : texlive-optidef-9:svn50941-19.fc31.noarch 2132/3004 Verifying : texlive-optional-9:svn18131.2.2b-19.fc31.noarc 2133/3004 Verifying : texlive-options-9:svn39030-19.fc31.noarch 2134/3004 Verifying : texlive-orkhun-9:svn15878.0-19.fc31.noarch 2135/3004 Verifying : texlive-oscola-9:svn49772-19.fc31.noarch 2136/3004 Verifying : texlive-ot-tableau-9:svn44889-19.fc31.noarch 2137/3004 Verifying : texlive-oubraces-9:svn21833.0-19.fc31.noarch 2138/3004 Verifying : texlive-outline-9:svn18360.0-19.fc31.noarch 2139/3004 Verifying : texlive-outliner-9:svn21095.0.94-19.fc31.noarc 2140/3004 Verifying : texlive-outlines-9:svn25192.1.1-19.fc31.noarch 2141/3004 Verifying : texlive-outlining-9:svn45601-19.fc31.noarch 2142/3004 Verifying : texlive-overlays-9:svn46122-19.fc31.noarch 2143/3004 Verifying : texlive-overlock-9:svn51294-19.fc31.noarch 2144/3004 Verifying : texlive-overpic-9:svn45500-19.fc31.noarch 2145/3004 Verifying : texlive-pacioli-9:svn24947.0-19.fc31.noarch 2146/3004 Verifying : texlive-padcount-9:svn47621-19.fc31.noarch 2147/3004 Verifying : texlive-pagecolor-9:svn44487-19.fc31.noarch 2148/3004 Verifying : texlive-pagecont-9:svn15878.1.0-19.fc31.noarch 2149/3004 Verifying : texlive-pagenote-9:svn15878.1.1a-19.fc31.noarc 2150/3004 Verifying : texlive-pagerange-9:svn16915.0.5-19.fc31.noarc 2151/3004 Verifying : texlive-pageslts-9:svn39164-19.fc31.noarch 2152/3004 Verifying : texlive-palatino-9:svn31835.0-19.fc31.noarch 2153/3004 Verifying : texlive-paper-9:svn34521.1.0l-19.fc31.noarch 2154/3004 Verifying : texlive-papercdcase-9:svn15878.0-19.fc31.noarc 2155/3004 Verifying : texlive-papermas-9:svn23667.1.0h-19.fc31.noarc 2156/3004 Verifying : texlive-papertex-9:svn19230.1.2b-19.fc31.noarc 2157/3004 Verifying : texlive-paracol-9:svn49560-19.fc31.noarch 2158/3004 Verifying : texlive-parades-9:svn40042-19.fc31.noarch 2159/3004 Verifying : texlive-paralist-9:svn43021-19.fc31.noarch 2160/3004 Verifying : texlive-parallel-9:svn15878.0-19.fc31.noarch 2161/3004 Verifying : texlive-paratype-9:svn32859.0-19.fc31.noarch 2162/3004 Verifying : texlive-paresse-9:svn29803.4.1-19.fc31.noarch 2163/3004 Verifying : texlive-parnotes-9:svn51720-19.fc31.noarch 2164/3004 Verifying : texlive-parselines-9:svn21475.1.4-19.fc31.noar 2165/3004 Verifying : texlive-parskip-9:svn49730-19.fc31.noarch 2166/3004 Verifying : texlive-pas-cours-9:svn42036-19.fc31.noarch 2167/3004 Verifying : texlive-pas-cv-9:svn32263.2.01-19.fc31.noarch 2168/3004 Verifying : texlive-pas-tableur-9:svn39542-19.fc31.noarch 2169/3004 Verifying : texlive-patchcmd-9:svn41379-19.fc31.noarch 2170/3004 Verifying : texlive-path-9:svn22045.3.05-19.fc31.noarch 2171/3004 Verifying : texlive-pauldoc-9:svn16005.0.5-19.fc31.noarch 2172/3004 Verifying : texlive-pawpict-9:svn21629.1.0-19.fc31.noarch 2173/3004 Verifying : texlive-pax-7:20190410-8.fc31.noarch 2174/3004 Verifying : texlive-pb-diagram-9:svn15878.5.0-19.fc31.noar 2175/3004 Verifying : texlive-pbox-9:svn24807.1.2-19.fc31.noarch 2176/3004 Verifying : texlive-pbsheet-9:svn24830.0.1-19.fc31.noarch 2177/3004 Verifying : texlive-pdf14-9:svn17583.0.1-19.fc31.noarch 2178/3004 Verifying : texlive-pdfcomment-9:svn49047-19.fc31.noarch 2179/3004 Verifying : texlive-pdfcprot-9:svn18735.1.7a-19.fc31.noarc 2180/3004 Verifying : texlive-pdfmarginpar-9:svn23492.0.92-19.fc31.n 2181/3004 Verifying : texlive-pdfoverlay-9:svn47657-19.fc31.noarch 2182/3004 Verifying : texlive-pdfpagediff-9:svn37946.1.4-19.fc31.noa 2183/3004 Verifying : texlive-pdfpages-9:svn45659-19.fc31.noarch 2184/3004 Verifying : texlive-pdfpc-movie-9:svn48245-19.fc31.noarch 2185/3004 Verifying : texlive-pdfprivacy-9:svn45985-19.fc31.noarch 2186/3004 Verifying : texlive-pdfreview-9:svn50100-19.fc31.noarch 2187/3004 Verifying : texlive-pdfscreen-9:svn42428-19.fc31.noarch 2188/3004 Verifying : texlive-pdfslide-9:svn15878.0-19.fc31.noarch 2189/3004 Verifying : texlive-pdfsync-9:svn20373.0-19.fc31.noarch 2190/3004 Verifying : texlive-pdftex-7:20190410-8.fc31.x86_64 2191/3004 Verifying : texlive-pdfwin-9:svn45797-19.fc31.noarch 2192/3004 Verifying : texlive-pdfx-9:svn50338-19.fc31.noarch 2193/3004 Verifying : texlive-pecha-9:svn15878.0.1-19.fc31.noarch 2194/3004 Verifying : texlive-penrose-9:svn48202-19.fc31.noarch 2195/3004 Verifying : texlive-perception-9:svn42683-19.fc31.noarch 2196/3004 Verifying : texlive-perfectcut-9:svn51744-19.fc31.noarch 2197/3004 Verifying : texlive-perltex-7:20190410-8.fc31.noarch 2198/3004 Verifying : texlive-permute-9:svn15878.0-19.fc31.noarch 2199/3004 Verifying : texlive-petiteannonce-9:svn25915.1.0001-19.fc3 2200/3004 Verifying : texlive-petri-nets-7:20190410-8.fc31.noarch 2201/3004 Verifying : texlive-pgf-blur-9:svn31693.1.01-19.fc31.noarc 2202/3004 Verifying : texlive-pgf-cmykshadings-9:svn48982-19.fc31.no 2203/3004 Verifying : texlive-pgf-soroban-9:svn32269.1.1-19.fc31.noa 2204/3004 Verifying : texlive-pgf-spectra-9:svn42986-19.fc31.noarch 2205/3004 Verifying : texlive-pgf-9:svn51817-19.fc31.noarch 2206/3004 Verifying : texlive-pgf-umlcd-9:svn33307.0.2.1.1-19.fc31.n 2207/3004 Verifying : texlive-pgf-umlsd-9:svn33045.0.7-19.fc31.noarc 2208/3004 Verifying : texlive-pgfgantt-9:svn46280-19.fc31.noarch 2209/3004 Verifying : texlive-pgfkeyx-9:svn26093.0.0.1-19.fc31.noarc 2210/3004 Verifying : texlive-pgfmolbio-9:svn35152.0.21-19.fc31.noar 2211/3004 Verifying : texlive-pgfmorepages-9:svn51051-19.fc31.noarch 2212/3004 Verifying : texlive-pgfopts-9:svn34573.2.1a-19.fc31.noarch 2213/3004 Verifying : texlive-pgfornament-9:svn39988-19.fc31.noarch 2214/3004 Verifying : texlive-pgfplots-9:svn47373-19.fc31.noarch 2215/3004 Verifying : texlive-phaistos-9:svn18651.1.0-19.fc31.noarch 2216/3004 Verifying : texlive-phffullpagefigure-9:svn41857-19.fc31.n 2217/3004 Verifying : texlive-phfnote-9:svn41858-19.fc31.noarch 2218/3004 Verifying : texlive-phfparen-9:svn41859-19.fc31.noarch 2219/3004 Verifying : texlive-phfqit-9:svn45084-19.fc31.noarch 2220/3004 Verifying : texlive-phfquotetext-9:svn41869-19.fc31.noarch 2221/3004 Verifying : texlive-phfsvnwatermark-9:svn41870-19.fc31.noa 2222/3004 Verifying : texlive-phfthm-9:svn41871-19.fc31.noarch 2223/3004 Verifying : texlive-philex-9:svn36396.1.3-19.fc31.noarch 2224/3004 Verifying : texlive-philosophersimprint-9:svn41788-19.fc31 2225/3004 Verifying : texlive-phonenumbers-9:svn48355-19.fc31.noarch 2226/3004 Verifying : texlive-phonetic-9:svn21871.0-19.fc31.noarch 2227/3004 Verifying : texlive-photo-9:svn18739.0-19.fc31.noarch 2228/3004 Verifying : texlive-physics-9:svn28590.1.3-19.fc31.noarch 2229/3004 Verifying : texlive-picinpar-9:svn20374.1.2a-19.fc31.noarc 2230/3004 Verifying : texlive-pict2e-9:svn39591-19.fc31.noarch 2231/3004 Verifying : texlive-pictex-9:svn21943.1.1-19.fc31.noarch 2232/3004 Verifying : texlive-pictex2-9:svn15878.0-19.fc31.noarch 2233/3004 Verifying : texlive-piff-9:svn21894.0-19.fc31.noarch 2234/3004 Verifying : texlive-pigpen-9:svn15878.0.2-19.fc31.noarch 2235/3004 Verifying : texlive-pinlabel-9:svn24769.1.2-19.fc31.noarch 2236/3004 Verifying : texlive-pittetd-9:svn15878.1.618-19.fc31.noarc 2237/3004 Verifying : texlive-pixelart-9:svn46740-19.fc31.noarch 2238/3004 Verifying : texlive-pkgloader-9:svn47486-19.fc31.noarch 2239/3004 Verifying : texlive-pkuthss-9:svn48124-19.fc31.noarch 2240/3004 Verifying : texlive-pl-9:svn36012.1.09-19.fc31.noarch 2241/3004 Verifying : texlive-placeins-9:svn19848.2.2-19.fc31.noarch 2242/3004 Verifying : texlive-plain-9:svn43076-19.fc31.noarch 2243/3004 Verifying : texlive-plainpkg-9:svn27765.0.4a-19.fc31.noarc 2244/3004 Verifying : texlive-plantslabels-9:svn29803.1.0-19.fc31.no 2245/3004 Verifying : texlive-plates-9:svn15878.0.1-19.fc31.noarch 2246/3004 Verifying : texlive-playfair-9:svn34236.0-19.fc31.noarch 2247/3004 Verifying : texlive-plex-otf-9:svn47562-19.fc31.noarch 2248/3004 Verifying : texlive-plex-9:svn51295-19.fc31.noarch 2249/3004 Verifying : texlive-plweb-9:svn15878.3.0-19.fc31.noarch 2250/3004 Verifying : texlive-pm-isomath-9:svn46402-19.fc31.noarch 2251/3004 Verifying : texlive-pmgraph-9:svn15878.1.0-19.fc31.noarch 2252/3004 Verifying : texlive-pnas2009-9:svn16287.1.0-19.fc31.noarch 2253/3004 Verifying : texlive-poiretone-9:svn51396-19.fc31.noarch 2254/3004 Verifying : texlive-polexpr-9:svn50013-19.fc31.noarch 2255/3004 Verifying : texlive-polski-9:svn44213-19.fc31.noarch 2256/3004 Verifying : texlive-poltawski-9:svn20075.1.101-19.fc31.noa 2257/3004 Verifying : texlive-polyglossia-9:svn50787-19.fc31.noarch 2258/3004 Verifying : texlive-polynom-9:svn44832-19.fc31.noarch 2259/3004 Verifying : texlive-polynomial-9:svn15878.1.0-19.fc31.noar 2260/3004 Verifying : texlive-polytable-9:svn31235.0.8.2-19.fc31.noa 2261/3004 Verifying : texlive-postage-9:svn47893-19.fc31.noarch 2262/3004 Verifying : texlive-postcards-9:svn21641.0-19.fc31.noarch 2263/3004 Verifying : texlive-poster-mac-9:svn18305.1.1-19.fc31.noar 2264/3004 Verifying : texlive-powerdot-FUBerlin-9:svn15878.0.01-19.f 2265/3004 Verifying : texlive-powerdot-9:svn45165-19.fc31.noarch 2266/3004 Verifying : texlive-powerdot-tuliplab-9:svn47963-19.fc31.n 2267/3004 Verifying : texlive-ppr-prv-9:svn15878.0.13c-19.fc31.noarc 2268/3004 Verifying : texlive-pracjourn-9:svn15878.0.4n-19.fc31.noar 2269/3004 Verifying : texlive-preprint-9:svn30447.2011-19.fc31.noarc 2270/3004 Verifying : texlive-prerex-9:svn45940-19.fc31.noarch 2271/3004 Verifying : texlive-pressrelease-9:svn35147.1.0-19.fc31.no 2272/3004 Verifying : texlive-prettyref-9:svn15878.3.0-19.fc31.noarc 2273/3004 Verifying : texlive-prftree-9:svn51404-19.fc31.noarch 2274/3004 Verifying : texlive-printlen-9:svn19847.1.1a-19.fc31.noarc 2275/3004 Verifying : texlive-proba-9:svn15878.0-19.fc31.noarch 2276/3004 Verifying : texlive-probsoln-9:svn44783-19.fc31.noarch 2277/3004 Verifying : texlive-procIAGssymp-9:svn51771-19.fc31.noarch 2278/3004 Verifying : texlive-prodint-9:svn21893.0-19.fc31.noarch 2279/3004 Verifying : texlive-productbox-9:svn20886.1.1-19.fc31.noar 2280/3004 Verifying : texlive-program-9:svn44214-19.fc31.noarch 2281/3004 Verifying : texlive-progress-9:svn19519.1.10-19.fc31.noarc 2282/3004 Verifying : texlive-progressbar-9:svn33822.v1.0b_4-19.fc31 2283/3004 Verifying : texlive-proof-at-the-end-9:svn51194-19.fc31.no 2284/3004 Verifying : texlive-proofread-9:svn50938-19.fc31.noarch 2285/3004 Verifying : texlive-prooftrees-9:svn43184-19.fc31.noarch 2286/3004 Verifying : texlive-properties-9:svn15878.0.2-19.fc31.noar 2287/3004 Verifying : texlive-proposal-9:svn40538-19.fc31.noarch 2288/3004 Verifying : texlive-prosper-9:svn33033.1.0h-19.fc31.noarch 2289/3004 Verifying : texlive-protex-9:svn41633-19.fc31.noarch 2290/3004 Verifying : texlive-protocol-9:svn25562.1.13-19.fc31.noarc 2291/3004 Verifying : texlive-prtec-9:svn50915-19.fc31.noarch 2292/3004 Verifying : texlive-pseudo-9:svn51641-19.fc31.noarch 2293/3004 Verifying : texlive-pseudocode-9:svn15878.0-19.fc31.noarch 2294/3004 Verifying : texlive-psfrag-9:svn15878.3.04-19.fc31.noarch 2295/3004 Verifying : texlive-psfragx-9:svn26243.1.1-19.fc31.noarch 2296/3004 Verifying : texlive-pslatex-9:svn16416.0-19.fc31.noarch 2297/3004 Verifying : texlive-psnfss-9:svn33946.9.2a-19.fc31.noarch 2298/3004 Verifying : texlive-pspicture-9:svn15878.0-19.fc31.noarch 2299/3004 Verifying : texlive-pst-3d-9:svn17257.1.10-19.fc31.noarch 2300/3004 Verifying : texlive-pst-blur-9:svn15878.2.0-19.fc31.noarch 2301/3004 Verifying : texlive-pst-coil-9:svn37377.1.07-19.fc31.noarc 2302/3004 Verifying : texlive-pst-eps-9:svn15878.1.0-19.fc31.noarch 2303/3004 Verifying : texlive-pst-fill-9:svn15878.1.01-19.fc31.noarc 2304/3004 Verifying : texlive-pst-grad-9:svn15878.1.06-19.fc31.noarc 2305/3004 Verifying : texlive-pst-math-9:svn49425-19.fc31.noarch 2306/3004 Verifying : texlive-pst-node-9:svn50215-19.fc31.noarch 2307/3004 Verifying : texlive-pst-ovl-9:svn45506-19.fc31.noarch 2308/3004 Verifying : texlive-pst-pdf-7:20190410-8.fc31.noarch 2309/3004 Verifying : texlive-pst-plot-9:svn51650-19.fc31.noarch 2310/3004 Verifying : texlive-pst-slpe-9:svn24391.1.31-19.fc31.noarc 2311/3004 Verifying : texlive-pst-text-9:svn49542-19.fc31.noarch 2312/3004 Verifying : texlive-pst-tools-9:svn45978-19.fc31.noarch 2313/3004 Verifying : texlive-pst-tree-9:svn43272-19.fc31.noarch 2314/3004 Verifying : texlive-pstool-9:svn46393-19.fc31.noarch 2315/3004 Verifying : texlive-pstricks-add-9:svn49680-19.fc31.noarch 2316/3004 Verifying : texlive-pstricks-9:svn51102-19.fc31.noarch 2317/3004 Verifying : texlive-pstring-9:svn42857-19.fc31.noarch 2318/3004 Verifying : texlive-ptolemaicastronomy-9:svn50810-19.fc31. 2319/3004 Verifying : texlive-ptptex-9:svn19440.0.91-19.fc31.noarch 2320/3004 Verifying : texlive-punk-latex-9:svn27389.1.1-19.fc31.noar 2321/3004 Verifying : texlive-punk-9:svn27388.0-19.fc31.noarch 2322/3004 Verifying : texlive-punknova-9:svn24649.1.003-19.fc31.noar 2323/3004 Verifying : texlive-pxfonts-9:svn15878.0-19.fc31.noarch 2324/3004 Verifying : texlive-pxgreeks-9:svn21838.1.0-19.fc31.noarch 2325/3004 Verifying : texlive-pxpgfmark-9:svn30212.0.2-19.fc31.noarc 2326/3004 Verifying : texlive-pxtxalfa-9:svn23682.1-19.fc31.noarch 2327/3004 Verifying : texlive-pygmentex-7:20190410-8.fc31.noarch 2328/3004 Verifying : texlive-python-9:svn27064.0.21-19.fc31.noarch 2329/3004 Verifying : texlive-pythonhighlight-9:svn43191-19.fc31.noa 2330/3004 Verifying : texlive-qcircuit-9:svn48400-19.fc31.noarch 2331/3004 Verifying : texlive-qcm-9:svn15878.2.1-19.fc31.noarch 2332/3004 Verifying : texlive-qrcode-9:svn36065.1.51-19.fc31.noarch 2333/3004 Verifying : texlive-qsharp-9:svn49722-19.fc31.noarch 2334/3004 Verifying : texlive-qstest-9:svn15878.0-19.fc31.noarch 2335/3004 Verifying : texlive-qsymbols-9:svn15878.0-19.fc31.noarch 2336/3004 Verifying : texlive-qtree-9:svn15878.3.1b-19.fc31.noarch 2337/3004 Verifying : texlive-quantikz-9:svn50934-19.fc31.noarch 2338/3004 Verifying : texlive-quattrocento-9:svn50729-19.fc31.noarch 2339/3004 Verifying : texlive-quicktype-9:svn42183-19.fc31.noarch 2340/3004 Verifying : texlive-quotchap-9:svn51591-19.fc31.noarch 2341/3004 Verifying : texlive-quoting-9:svn32818.v0.1c-19.fc31.noarc 2342/3004 Verifying : texlive-quotmark-9:svn15878.1.0-19.fc31.noarch 2343/3004 Verifying : texlive-ragged2e-9:svn51780-19.fc31.noarch 2344/3004 Verifying : texlive-raleway-9:svn42629-19.fc31.noarch 2345/3004 Verifying : texlive-ran_toks-9:svn44429-19.fc31.noarch 2346/3004 Verifying : texlive-randbild-9:svn15878.0.2-19.fc31.noarch 2347/3004 Verifying : texlive-randomwalk-9:svn49513-19.fc31.noarch 2348/3004 Verifying : texlive-randtext-9:svn15878.0-19.fc31.noarch 2349/3004 Verifying : texlive-rank-2-roots-9:svn48515-19.fc31.noarch 2350/3004 Verifying : texlive-rccol-9:svn15878.1.2c-19.fc31.noarch 2351/3004 Verifying : texlive-rcs-multi-9:svn21939.0.1a-19.fc31.noar 2352/3004 Verifying : texlive-rcs-9:svn15878.0-19.fc31.noarch 2353/3004 Verifying : texlive-rcsinfo-9:svn15878.1.11-19.fc31.noarch 2354/3004 Verifying : texlive-readarray-9:svn42467-19.fc31.noarch 2355/3004 Verifying : texlive-realboxes-9:svn23581.0.2-19.fc31.noarc 2356/3004 Verifying : texlive-realhats-9:svn51004-19.fc31.noarch 2357/3004 Verifying : texlive-realscripts-9:svn39706-19.fc31.noarch 2358/3004 Verifying : texlive-rec-thy-9:svn50047-19.fc31.noarch 2359/3004 Verifying : texlive-recipe-9:svn15878.0.9-19.fc31.noarch 2360/3004 Verifying : texlive-recipebook-9:svn37026.0-19.fc31.noarch 2361/3004 Verifying : texlive-recipecard-9:svn15878.2.0-19.fc31.noar 2362/3004 Verifying : texlive-rectopma-9:svn19980.0-19.fc31.noarch 2363/3004 Verifying : texlive-recycle-9:svn15878.0-19.fc31.noarch 2364/3004 Verifying : texlive-refcheck-9:svn29128.1.9.1-19.fc31.noar 2365/3004 Verifying : texlive-refenums-9:svn44131-19.fc31.noarch 2366/3004 Verifying : texlive-reflectgraphics-9:svn40612-19.fc31.noa 2367/3004 Verifying : texlive-refman-9:svn15878.2.0e-19.fc31.noarch 2368/3004 Verifying : texlive-refstyle-9:svn20318.0.5-19.fc31.noarch 2369/3004 Verifying : texlive-regcount-9:svn19979.1.0-19.fc31.noarch 2370/3004 Verifying : texlive-regexpatch-9:svn47601-19.fc31.noarch 2371/3004 Verifying : texlive-register-9:svn49581-19.fc31.noarch 2372/3004 Verifying : texlive-regstats-9:svn25050.1.0h-19.fc31.noarc 2373/3004 Verifying : texlive-relenc-9:svn22050.0-19.fc31.noarch 2374/3004 Verifying : texlive-relsize-9:svn30707.4.1-19.fc31.noarch 2375/3004 Verifying : texlive-reotex-9:svn34924.1.1-19.fc31.noarch 2376/3004 Verifying : texlive-repeatindex-9:svn24305.0.01-19.fc31.no 2377/3004 Verifying : texlive-repltext-9:svn33442.1.0-19.fc31.noarch 2378/3004 Verifying : texlive-resphilosophica-9:svn50935-19.fc31.noa 2379/3004 Verifying : texlive-resumecls-9:svn38427-19.fc31.noarch 2380/3004 Verifying : texlive-revquantum-9:svn43505-19.fc31.noarch 2381/3004 Verifying : texlive-revtex-9:svn49751-19.fc31.noarch 2382/3004 Verifying : texlive-revtex4-9:svn45873-19.fc31.noarch 2383/3004 Verifying : texlive-ribbonproofs-9:svn31137.1.0-19.fc31.no 2384/3004 Verifying : texlive-rjlparshap-9:svn15878.1.0-19.fc31.noar 2385/3004 Verifying : texlive-rlepsf-9:svn19082.0-19.fc31.noarch 2386/3004 Verifying : texlive-rmathbr-9:svn40415-19.fc31.noarch 2387/3004 Verifying : texlive-rmpage-9:svn20002.0.92-19.fc31.noarch 2388/3004 Verifying : texlive-roboto-9:svn51315-19.fc31.noarch 2389/3004 Verifying : texlive-robustcommand-9:svn15878.0.1-19.fc31.n 2390/3004 Verifying : texlive-robustindex-9:svn49877-19.fc31.noarch 2391/3004 Verifying : texlive-romanbar-9:svn25005.1.0f-19.fc31.noarc 2392/3004 Verifying : texlive-romanbarpagenumber-9:svn36236.1.0-19.f 2393/3004 Verifying : texlive-romande-9:svn19537.1.008_v7_sc-19.fc31 2394/3004 Verifying : texlive-romanneg-9:svn20087.0-19.fc31.noarch 2395/3004 Verifying : texlive-romannum-9:svn15878.1.0b-19.fc31.noarc 2396/3004 Verifying : texlive-rosario-9:svn51688-19.fc31.noarch 2397/3004 Verifying : texlive-rotfloat-9:svn18292.1.2-19.fc31.noarch 2398/3004 Verifying : texlive-rotpages-9:svn18740.3.0-19.fc31.noarch 2399/3004 Verifying : texlive-roundbox-9:svn29675.0.2-19.fc31.noarch 2400/3004 Verifying : texlive-rsc-9:svn41923-19.fc31.noarch 2401/3004 Verifying : texlive-rsfs-9:svn15878.0-19.fc31.noarch 2402/3004 Verifying : texlive-rsfso-9:svn37965.1.02-19.fc31.noarch 2403/3004 Verifying : texlive-rterface-9:svn30084.0-19.fc31.noarch 2404/3004 Verifying : texlive-rtkinenc-9:svn20003.1.0-19.fc31.noarch 2405/3004 Verifying : texlive-rulerbox-9:svn50984-19.fc31.noarch 2406/3004 Verifying : texlive-rulercompass-9:svn32392.1-19.fc31.noar 2407/3004 Verifying : texlive-rutitlepage-9:svn51073-19.fc31.noarch 2408/3004 Verifying : texlive-rviewport-9:svn23739.v1.0-19.fc31.noar 2409/3004 Verifying : texlive-rvwrite-9:svn19614.1.2-19.fc31.noarch 2410/3004 Verifying : texlive-ryersonsgsthesis-9:svn50119-19.fc31.no 2411/3004 Verifying : texlive-ryethesis-9:svn33945.1.36-19.fc31.noar 2412/3004 Verifying : texlive-sa-tikz-9:svn32815.0.7a-19.fc31.noarch 2413/3004 Verifying : texlive-sageep-9:svn15878.1.0-19.fc31.noarch 2414/3004 Verifying : texlive-sanitize-umlaut-9:svn41365-19.fc31.noa 2415/3004 Verifying : texlive-sansmath-9:svn17997.1.1-19.fc31.noarch 2416/3004 Verifying : texlive-sansmathaccent-9:svn30187.0-19.fc31.no 2417/3004 Verifying : texlive-sansmathfonts-9:svn51356-19.fc31.noarc 2418/3004 Verifying : texlive-sapthesis-9:svn48365-19.fc31.noarch 2419/3004 Verifying : texlive-sasnrdisplay-9:svn45963-19.fc31.noarch 2420/3004 Verifying : texlive-sauerj-9:svn15878.0-19.fc31.noarch 2421/3004 Verifying : texlive-sauter-9:svn13293.2.4-19.fc31.noarch 2422/3004 Verifying : texlive-sauterfonts-9:svn15878.0-19.fc31.noarc 2423/3004 Verifying : texlive-savefnmark-9:svn15878.1.0-19.fc31.noar 2424/3004 Verifying : texlive-savesym-9:svn31565.1.2-19.fc31.noarch 2425/3004 Verifying : texlive-savetrees-9:svn40525-19.fc31.noarch 2426/3004 Verifying : texlive-scale-9:svn15878.1.1.2-19.fc31.noarch 2427/3004 Verifying : texlive-scalebar-9:svn15878.1.0-19.fc31.noarch 2428/3004 Verifying : texlive-scalerel-9:svn42809-19.fc31.noarch 2429/3004 Verifying : texlive-scanpages-9:svn42633-19.fc31.noarch 2430/3004 Verifying : texlive-schedule-9:svn51805-19.fc31.noarch 2431/3004 Verifying : texlive-schemabloc-9:svn15878.1.5-19.fc31.noar 2432/3004 Verifying : texlive-schule-9:svn37277.0.6-19.fc31.noarch 2433/3004 Verifying : texlive-schulschriften-9:svn35730.4-19.fc31.no 2434/3004 Verifying : texlive-scientific-thesis-cover-9:svn47923-19. 2435/3004 Verifying : texlive-sciposter-9:svn15878.1.18-19.fc31.noar 2436/3004 Verifying : texlive-sclang-prettifier-9:svn35087.0.1-19.fc 2437/3004 Verifying : texlive-scontents-9:svn51779-19.fc31.noarch 2438/3004 Verifying : texlive-scratch-9:svn50073-19.fc31.noarch 2439/3004 Verifying : texlive-scratch3-9:svn51537-19.fc31.noarch 2440/3004 Verifying : texlive-scratchx-9:svn44906-19.fc31.noarch 2441/3004 Verifying : texlive-scrjrnl-9:svn27810.0.1-19.fc31.noarch 2442/3004 Verifying : texlive-scrlttr2copy-9:svn39734-19.fc31.noarch 2443/3004 Verifying : texlive-scsnowman-9:svn47953-19.fc31.noarch 2444/3004 Verifying : texlive-sdrt-9:svn15878.1.0-19.fc31.noarch 2445/3004 Verifying : texlive-sduthesis-9:svn41401-19.fc31.noarch 2446/3004 Verifying : texlive-secdot-9:svn20208.1.0-19.fc31.noarch 2447/3004 Verifying : texlive-section-9:svn20180.0-19.fc31.noarch 2448/3004 Verifying : texlive-sectionbox-9:svn37749.1.01-19.fc31.noa 2449/3004 Verifying : texlive-sectionbreak-9:svn50339-19.fc31.noarch 2450/3004 Verifying : texlive-sectsty-9:svn15878.2.0.2-19.fc31.noarc 2451/3004 Verifying : texlive-seealso-9:svn43595-19.fc31.noarch 2452/3004 Verifying : texlive-selectp-9:svn20185.1.0-19.fc31.noarch 2453/3004 Verifying : texlive-semantic-markup-9:svn47837-19.fc31.noa 2454/3004 Verifying : texlive-semantic-9:svn15878.2.0-19.fc31.noarch 2455/3004 Verifying : texlive-semaphor-9:svn18651.0-19.fc31.noarch 2456/3004 Verifying : texlive-seminar-9:svn34011.1.62-19.fc31.noarch 2457/3004 Verifying : texlive-semioneside-9:svn15878.v0.41-19.fc31.n 2458/3004 Verifying : texlive-semproc-9:svn37568.0.1-19.fc31.noarch 2459/3004 Verifying : texlive-sepfootnotes-9:svn41732-19.fc31.noarch 2460/3004 Verifying : texlive-sepnum-9:svn20186.2.0-19.fc31.noarch 2461/3004 Verifying : texlive-seqsplit-9:svn15878.0.1-19.fc31.noarch 2462/3004 Verifying : texlive-sesamanuel-9:svn36613.0.6-19.fc31.noar 2463/3004 Verifying : texlive-sesstime-9:svn49750-19.fc31.noarch 2464/3004 Verifying : texlive-setdeck-9:svn40613-19.fc31.noarch 2465/3004 Verifying : texlive-setspace-9:svn24881.6.7a-19.fc31.noarc 2466/3004 Verifying : texlive-seuthesis-9:svn33042.2.1.2-19.fc31.noa 2467/3004 Verifying : texlive-seuthesix-9:svn40088-19.fc31.noarch 2468/3004 Verifying : texlive-sf298-9:svn41653-19.fc31.noarch 2469/3004 Verifying : texlive-sffms-9:svn15878.2.0-19.fc31.noarch 2470/3004 Verifying : texlive-sfg-9:svn20209.0.91-19.fc31.noarch 2471/3004 Verifying : texlive-sfmath-9:svn15878.0.8-19.fc31.noarch 2472/3004 Verifying : texlive-shadethm-9:svn20319.0-19.fc31.noarch 2473/3004 Verifying : texlive-shadow-9:svn20312.0-19.fc31.noarch 2474/3004 Verifying : texlive-shadowtext-9:svn26522.0.3-19.fc31.noar 2475/3004 Verifying : texlive-shapepar-9:svn30708.2.2-19.fc31.noarch 2476/3004 Verifying : texlive-shdoc-9:svn41991-19.fc31.noarch 2477/3004 Verifying : texlive-shipunov-9:svn29349.1.1-19.fc31.noarch 2478/3004 Verifying : texlive-shobhika-9:svn50555-19.fc31.noarch 2479/3004 Verifying : texlive-shorttoc-9:svn15878.1.3-19.fc31.noarch 2480/3004 Verifying : texlive-show2e-9:svn15878.1.0-19.fc31.noarch 2481/3004 Verifying : texlive-showcharinbox-9:svn29803.0.1-19.fc31.n 2482/3004 Verifying : texlive-showdim-9:svn28918.1.2-19.fc31.noarch 2483/3004 Verifying : texlive-showexpl-9:svn42677-19.fc31.noarch 2484/3004 Verifying : texlive-showhyphens-9:svn39787-19.fc31.noarch 2485/3004 Verifying : texlive-showlabels-9:svn41322-19.fc31.noarch 2486/3004 Verifying : texlive-showtags-9:svn20336.1.05-19.fc31.noarc 2487/3004 Verifying : texlive-shuffle-9:svn15878.1.0-19.fc31.noarch 2488/3004 Verifying : texlive-sidecap-9:svn15878.1.6f-19.fc31.noarch 2489/3004 Verifying : texlive-sidenotes-9:svn40658-19.fc31.noarch 2490/3004 Verifying : texlive-signchart-9:svn39707-19.fc31.noarch 2491/3004 Verifying : texlive-silence-9:svn27028.1.5b-19.fc31.noarch 2492/3004 Verifying : texlive-simplecd-9:svn29260.1.4-19.fc31.noarch 2493/3004 Verifying : texlive-simplecv-9:svn35537.1.6a-19.fc31.noarc 2494/3004 Verifying : texlive-simpleinvoice-9:svn45673-19.fc31.noarc 2495/3004 Verifying : texlive-simplekv-9:svn44987-19.fc31.noarch 2496/3004 Verifying : texlive-simpler-wick-9:svn39074-19.fc31.noarch 2497/3004 Verifying : texlive-simplewick-9:svn15878.1.2a-19.fc31.noa 2498/3004 Verifying : texlive-sitem-9:svn22136.1.0-19.fc31.noarch 2499/3004 Verifying : texlive-siunitx-9:svn47746-19.fc31.noarch 2500/3004 Verifying : texlive-skak-9:svn46259-19.fc31.noarch 2501/3004 Verifying : texlive-skb-9:svn22781.0.52-19.fc31.noarch 2502/3004 Verifying : texlive-skdoc-9:svn47526-19.fc31.noarch 2503/3004 Verifying : texlive-skeycommand-9:svn24652.0.4-19.fc31.noa 2504/3004 Verifying : texlive-skeyval-9:svn30560.1.3-19.fc31.noarch 2505/3004 Verifying : texlive-skmath-9:svn49550-19.fc31.noarch 2506/3004 Verifying : texlive-skrapport-9:svn49540-19.fc31.noarch 2507/3004 Verifying : texlive-skull-9:svn25608.0.1-19.fc31.noarch 2508/3004 Verifying : texlive-slantsc-9:svn25007.2.11-19.fc31.noarch 2509/3004 Verifying : texlive-smalltableof-9:svn20333.0-19.fc31.noar 2510/3004 Verifying : texlive-smartdiagram-9:svn42781-19.fc31.noarch 2511/3004 Verifying : texlive-smartref-9:svn20311.1.9-19.fc31.noarch 2512/3004 Verifying : texlive-smartunits-9:svn39592-19.fc31.noarch 2513/3004 Verifying : texlive-snapshot-9:svn15878.1.14-19.fc31.noarc 2514/3004 Verifying : texlive-snotez-9:svn30355.0.3-19.fc31.noarch 2515/3004 Verifying : texlive-sort-by-letters-9:svn27128.0-19.fc31.n 2516/3004 Verifying : texlive-soton-9:svn16215.0.1-19.fc31.noarch 2517/3004 Verifying : texlive-soul-9:svn15878.2.4-19.fc31.noarch 2518/3004 Verifying : texlive-soulpos-9:svn51479-19.fc31.noarch 2519/3004 Verifying : texlive-sourcecodepro-9:svn51163-19.fc31.noarc 2520/3004 Verifying : texlive-sourcesanspro-9:svn42852-19.fc31.noarc 2521/3004 Verifying : texlive-sourceserifpro-9:svn49120-19.fc31.noar 2522/3004 Verifying : texlive-spacingtricks-9:svn51495-19.fc31.noarc 2523/3004 Verifying : texlive-spalign-9:svn42225-19.fc31.noarch 2524/3004 Verifying : texlive-spark-otf-9:svn51005-19.fc31.noarch 2525/3004 Verifying : texlive-sparklines-9:svn42821-19.fc31.noarch 2526/3004 Verifying : texlive-spath3-9:svn50018-19.fc31.noarch 2527/3004 Verifying : texlive-spectralsequences-9:svn50072-19.fc31.n 2528/3004 Verifying : texlive-sphack-9:svn20842.0-19.fc31.noarch 2529/3004 Verifying : texlive-sphdthesis-9:svn34374.1.0-19.fc31.noar 2530/3004 Verifying : texlive-spie-9:svn15878.3.25-19.fc31.noarch 2531/3004 Verifying : texlive-splitbib-9:svn15878.1.17-19.fc31.noarc 2532/3004 Verifying : texlive-splitindex-7:20190410-8.fc31.noarch 2533/3004 Verifying : texlive-spot-9:svn22408.1.1-19.fc31.noarch 2534/3004 Verifying : texlive-spotcolor-9:svn15878.1.2-19.fc31.noarc 2535/3004 Verifying : texlive-spreadtab-9:svn50147-19.fc31.noarch 2536/3004 Verifying : texlive-spverbatim-9:svn15878.v1.0-19.fc31.noa 2537/3004 Verifying : texlive-sr-vorl-9:svn39529-19.fc31.noarch 2538/3004 Verifying : texlive-srbook-mem-9:svn45818-19.fc31.noarch 2539/3004 Verifying : texlive-srcltx-9:svn15878.1.6-19.fc31.noarch 2540/3004 Verifying : texlive-srdp-mathematik-9:svn51600-19.fc31.noa 2541/3004 Verifying : texlive-sseq-9:svn31585.2.01-19.fc31.noarch 2542/3004 Verifying : texlive-sslides-9:svn32293.0-19.fc31.noarch 2543/3004 Verifying : texlive-stack-9:svn15878.1.00-19.fc31.noarch 2544/3004 Verifying : texlive-stackengine-9:svn43221-19.fc31.noarch 2545/3004 Verifying : texlive-standalone-9:svn47136-19.fc31.noarch 2546/3004 Verifying : texlive-stanli-9:svn42765-19.fc31.noarch 2547/3004 Verifying : texlive-starfont-9:svn19982.1.2-19.fc31.noarch 2548/3004 Verifying : texlive-statex-9:svn20306.1.6-19.fc31.noarch 2549/3004 Verifying : texlive-statex2-9:svn23961.2.1-19.fc31.noarch 2550/3004 Verifying : texlive-statistics-9:svn48252-19.fc31.noarch 2551/3004 Verifying : texlive-statistik-9:svn20334.0.03-19.fc31.noar 2552/3004 Verifying : texlive-statmath-9:svn46925-19.fc31.noarch 2553/3004 Verifying : texlive-staves-9:svn15878.0-19.fc31.noarch 2554/3004 Verifying : texlive-stdclsdv-9:svn15878.1.1a-19.fc31.noarc 2555/3004 Verifying : texlive-stdpage-9:svn15878.0.6-19.fc31.noarch 2556/3004 Verifying : texlive-stealcaps-9:svn46434-19.fc31.noarch 2557/3004 Verifying : texlive-steinmetz-9:svn15878.1.0-19.fc31.noarc 2558/3004 Verifying : texlive-stellenbosch-9:svn36696.11a-19.fc31.no 2559/3004 Verifying : texlive-stex-9:svn50489-19.fc31.noarch 2560/3004 Verifying : texlive-stickstoo-9:svn47858-19.fc31.noarch 2561/3004 Verifying : texlive-stix-9:svn47652-19.fc31.noarch 2562/3004 Verifying : texlive-stix2-otf-9:svn50948-19.fc31.noarch 2563/3004 Verifying : texlive-stix2-type1-9:svn50940-19.fc31.noarch 2564/3004 Verifying : texlive-stmaryrd-9:svn22027.0-19.fc31.noarch 2565/3004 Verifying : texlive-storebox-9:svn24895.1.3a-19.fc31.noarc 2566/3004 Verifying : texlive-storecmd-9:svn24431.0.0.2-19.fc31.noar 2567/3004 Verifying : texlive-stringstrings-9:svn36203.1.23-19.fc31. 2568/3004 Verifying : texlive-structmech-9:svn47859-19.fc31.noarch 2569/3004 Verifying : texlive-struktex-9:svn47931-19.fc31.noarch 2570/3004 Verifying : texlive-sttools-9:svn43684-19.fc31.noarch 2571/3004 Verifying : texlive-stubs-9:svn19440.0.1.1-19.fc31.noarch 2572/3004 Verifying : texlive-studenthandouts-9:svn43516-19.fc31.noa 2573/3004 Verifying : texlive-subdepth-9:svn15878.0.1-19.fc31.noarch 2574/3004 Verifying : texlive-subdocs-9:svn51480-19.fc31.noarch 2575/3004 Verifying : texlive-subeqn-9:svn15878.2.0b-19.fc31.noarch 2576/3004 Verifying : texlive-subeqnarray-9:svn15878.2.1c-19.fc31.no 2577/3004 Verifying : texlive-subfig-9:svn15878.1.3-19.fc31.noarch 2578/3004 Verifying : texlive-subfigmat-9:svn20308.1.0-19.fc31.noarc 2579/3004 Verifying : texlive-subfigure-9:svn15878.2.1.5-19.fc31.noa 2580/3004 Verifying : texlive-subfiles-9:svn48323-19.fc31.noarch 2581/3004 Verifying : texlive-subfloat-9:svn29349.2.14-19.fc31.noarc 2582/3004 Verifying : texlive-substances-9:svn40989-19.fc31.noarch 2583/3004 Verifying : texlive-substitutefont-9:svn32066.0.1.4-19.fc3 2584/3004 Verifying : texlive-substr-9:svn16117.1.2-19.fc31.noarch 2585/3004 Verifying : texlive-subsupscripts-9:svn16080.1.0-19.fc31.n 2586/3004 Verifying : texlive-subtext-9:svn51273-19.fc31.noarch 2587/3004 Verifying : texlive-suftesi-9:svn49138-19.fc31.noarch 2588/3004 Verifying : texlive-sugconf-9:svn15878.0-19.fc31.noarch 2589/3004 Verifying : texlive-superiors-9:svn36422.1.05-19.fc31.noar 2590/3004 Verifying : texlive-supertabular-9:svn15878.4.1a-19.fc31.n 2591/3004 Verifying : texlive-susy-9:svn19440.0-19.fc31.noarch 2592/3004 Verifying : texlive-svg-9:svn49148-19.fc31.noarch 2593/3004 Verifying : texlive-svgcolor-9:svn15878.1.0-19.fc31.noarch 2594/3004 Verifying : texlive-svn-multi-7:20190410-8.fc31.noarch 2595/3004 Verifying : texlive-svn-prov-9:svn18017.3.1862-19.fc31.noa 2596/3004 Verifying : texlive-svn-9:svn15878.43-19.fc31.noarch 2597/3004 Verifying : texlive-svninfo-9:svn17554.0.7.4-19.fc31.noarc 2598/3004 Verifying : texlive-svrsymbols-9:svn50019-19.fc31.noarch 2599/3004 Verifying : texlive-swimgraf-9:svn25446.0-19.fc31.noarch 2600/3004 Verifying : texlive-syllogism-9:svn15878.1.2-19.fc31.noarc 2601/3004 Verifying : texlive-symbol-9:svn31835.0-19.fc31.noarch 2602/3004 Verifying : texlive-sympytexpackage-9:svn45818-19.fc31.noa 2603/3004 Verifying : texlive-synproof-9:svn15878.1.0-19.fc31.noarch 2604/3004 Verifying : texlive-syntax-9:svn15878.0-19.fc31.noarch 2605/3004 Verifying : texlive-syntrace-9:svn15878.1.1-19.fc31.noarch 2606/3004 Verifying : texlive-synttree-9:svn16252.1.4.2-19.fc31.noar 2607/3004 Verifying : texlive-t-angles-9:svn15878.0-19.fc31.noarch 2608/3004 Verifying : texlive-tabfigures-9:svn25202.1.1-19.fc31.noar 2609/3004 Verifying : texlive-table-fct-9:svn41849-19.fc31.noarch 2610/3004 Verifying : texlive-tableaux-9:svn42413-19.fc31.noarch 2611/3004 Verifying : texlive-tablefootnote-9:svn32804.1.1c-19.fc31. 2612/3004 Verifying : texlive-tableof-9:svn36489.1.4a-19.fc31.noarch 2613/3004 Verifying : texlive-tablestyles-9:svn34495.0-19.fc31.noarc 2614/3004 Verifying : texlive-tablists-9:svn15878.0.0e-19.fc31.noarc 2615/3004 Verifying : texlive-tablor-9:svn31855.4.07_g-19.fc31.noarc 2616/3004 Verifying : texlive-tabls-9:svn17255.3.5-19.fc31.noarch 2617/3004 Verifying : texlive-tabriz-thesis-9:svn51729-19.fc31.noarc 2618/3004 Verifying : texlive-tabstackengine-9:svn46848-19.fc31.noar 2619/3004 Verifying : texlive-tabto-ltx-9:svn50188-19.fc31.noarch 2620/3004 Verifying : texlive-tabu-9:svn49707-19.fc31.noarch 2621/3004 Verifying : texlive-tabularborder-9:svn17885.1.0a-19.fc31. 2622/3004 Verifying : texlive-tabularcalc-9:svn15878.0.2-19.fc31.noa 2623/3004 Verifying : texlive-tabularew-9:svn15878.0.1-19.fc31.noarc 2624/3004 Verifying : texlive-tabulary-9:svn34368.0.10-19.fc31.noarc 2625/3004 Verifying : texlive-tagging-9:svn23761.0-19.fc31.noarch 2626/3004 Verifying : texlive-tagpair-9:svn42138-19.fc31.noarch 2627/3004 Verifying : texlive-tagpdf-9:svn51535-19.fc31.noarch 2628/3004 Verifying : texlive-talk-9:svn42428-19.fc31.noarch 2629/3004 Verifying : texlive-tamefloats-9:svn27345.v0.42-19.fc31.no 2630/3004 Verifying : texlive-tapir-9:svn20484.0.2-19.fc31.noarch 2631/3004 Verifying : texlive-tasks-9:svn41851-19.fc31.noarch 2632/3004 Verifying : texlive-tcldoc-9:svn22018.2.40-19.fc31.noarch 2633/3004 Verifying : texlive-tcolorbox-9:svn50206-19.fc31.noarch 2634/3004 Verifying : texlive-tdclock-9:svn33043.v2.5-19.fc31.noarch 2635/3004 Verifying : texlive-technics-9:svn29349.1.0-19.fc31.noarch 2636/3004 Verifying : texlive-technion-thesis-template-9:svn49889-19 2637/3004 Verifying : texlive-ted-9:svn15878.1.06-19.fc31.noarch 2638/3004 Verifying : texlive-templatetools-9:svn34495.0-19.fc31.noa 2639/3004 Verifying : texlive-tempora-9:svn39596-19.fc31.noarch 2640/3004 Verifying : texlive-tengwarscript-9:svn34594.1.3.1-19.fc31 2641/3004 Verifying : texlive-tensind-9:svn51481-19.fc31.noarch 2642/3004 Verifying : texlive-tensor-9:svn15878.2.1-19.fc31.noarch 2643/3004 Verifying : texlive-termcal-9:svn22514.1.8-19.fc31.noarch 2644/3004 Verifying : texlive-termlist-9:svn18923.1.1-19.fc31.noarch 2645/3004 Verifying : texlive-testhyphens-9:svn38928-19.fc31.noarch 2646/3004 Verifying : texlive-testidx-9:svn45021-19.fc31.noarch 2647/3004 Verifying : texlive-tetex-7:20190410-8.fc31.noarch 2648/3004 Verifying : texlive-tex-7:20190410-8.fc31.x86_64 2649/3004 Verifying : texlive-tex-ewd-9:svn15878.0-19.fc31.noarch 2650/3004 Verifying : texlive-tex-gyre-math-9:svn41264-19.fc31.noarc 2651/3004 Verifying : texlive-tex-gyre-9:svn48058-19.fc31.noarch 2652/3004 Verifying : texlive-tex-ini-files-9:svn40533-19.fc31.noarc 2653/3004 Verifying : texlive-tex-label-9:svn16372.0-19.fc31.noarch 2654/3004 Verifying : texlive-tex-locale-9:svn48500-19.fc31.noarch 2655/3004 Verifying : texlive-tex4ht-7:20190410-8.fc31.x86_64 2656/3004 Verifying : texlive-texconfig-7:20190410-8.fc31.noarch 2657/3004 Verifying : texlive-texdraw-9:svn51030-19.fc31.noarch 2658/3004 Verifying : texlive-texilikechaps-9:svn28553.1.0a-19.fc31. 2659/3004 Verifying : texlive-texilikecover-9:svn15878.0.1-19.fc31.n 2660/3004 Verifying : texlive-texlive-common-doc-9:svn50466-19.fc31. 2661/3004 Verifying : texlive-texlive-docindex-9:svn51813-19.fc31.no 2662/3004 Verifying : texlive-texlive-en-7:20190410-8.fc31.noarch 2663/3004 Verifying : texlive-texlive-msg-translations-9:svn51750-19 2664/3004 Verifying : texlive-texlive-scripts-7:20190410-8.fc31.noar 2665/3004 Verifying : texlive-texlive.infra-7:20190410-8.fc31.noarch 2666/3004 Verifying : texlive-texlogos-9:svn19083.1.3.1-19.fc31.noar 2667/3004 Verifying : texlive-texmate-9:svn15878.2-19.fc31.noarch 2668/3004 Verifying : texlive-texments-9:svn15878.0.2.0-19.fc31.noar 2669/3004 Verifying : texlive-texpower-9:svn29349.0.2-19.fc31.noarch 2670/3004 Verifying : texlive-texshade-9:svn46559-19.fc31.noarch 2671/3004 Verifying : texlive-textcase-9:svn15878.0-19.fc31.noarch 2672/3004 Verifying : texlive-textfit-9:svn20591.5-19.fc31.noarch 2673/3004 Verifying : texlive-textgreek-9:svn44192-19.fc31.noarch 2674/3004 Verifying : texlive-textmerg-9:svn20677.2.01-19.fc31.noarc 2675/3004 Verifying : texlive-textopo-9:svn23796.1.5-19.fc31.noarch 2676/3004 Verifying : texlive-textpos-9:svn50988-19.fc31.noarch 2677/3004 Verifying : texlive-textualicomma-9:svn48474-19.fc31.noarc 2678/3004 Verifying : texlive-texvc-9:svn46844-19.fc31.noarch 2679/3004 Verifying : texlive-tfrupee-9:svn20770.1.02-19.fc31.noarch 2680/3004 Verifying : texlive-theoremref-9:svn30640.0-19.fc31.noarch 2681/3004 Verifying : texlive-thesis-ekf-9:svn51026-19.fc31.noarch 2682/3004 Verifying : texlive-thesis-gwu-9:svn48324-19.fc31.noarch 2683/3004 Verifying : texlive-thesis-qom-9:svn49124-19.fc31.noarch 2684/3004 Verifying : texlive-thesis-titlepage-fhac-9:svn15878.0.1-1 2685/3004 Verifying : texlive-thinsp-9:svn39669-19.fc31.noarch 2686/3004 Verifying : texlive-thmbox-9:svn15878.0-19.fc31.noarch 2687/3004 Verifying : texlive-thmtools-9:svn51790-19.fc31.noarch 2688/3004 Verifying : texlive-threadcol-9:svn28754.1.0-19.fc31.noarc 2689/3004 Verifying : texlive-threeparttable-9:svn17383.0-19.fc31.no 2690/3004 Verifying : texlive-threeparttablex-9:svn34206.0.3-19.fc31 2691/3004 Verifying : texlive-thuaslogos-9:svn51347-19.fc31.noarch 2692/3004 Verifying : texlive-thucoursework-9:svn47781-19.fc31.noarc 2693/3004 Verifying : texlive-thumb-9:svn16549.1.0-19.fc31.noarch 2694/3004 Verifying : texlive-thumbpdf-7:20190410-8.fc31.noarch 2695/3004 Verifying : texlive-thumbs-9:svn33134.1.0q-19.fc31.noarch 2696/3004 Verifying : texlive-thumby-9:svn16736.0.1-19.fc31.noarch 2697/3004 Verifying : texlive-thuthesis-9:svn50932-19.fc31.noarch 2698/3004 Verifying : texlive-ticket-9:svn42280-19.fc31.noarch 2699/3004 Verifying : texlive-ticollege-9:svn36306.1.0-19.fc31.noarc 2700/3004 Verifying : texlive-tikz-3dplot-9:svn25087.0-19.fc31.noarc 2701/3004 Verifying : texlive-tikz-bayesnet-9:svn38295.0.1-19.fc31.n 2702/3004 Verifying : texlive-tikz-cd-9:svn49201-19.fc31.noarch 2703/3004 Verifying : texlive-tikz-dependency-9:svn42454-19.fc31.noa 2704/3004 Verifying : texlive-tikz-dimline-9:svn35805.1.0-19.fc31.no 2705/3004 Verifying : texlive-tikz-feynhand-9:svn46502-19.fc31.noarc 2706/3004 Verifying : texlive-tikz-feynman-9:svn39582-19.fc31.noarch 2707/3004 Verifying : texlive-tikz-imagelabels-9:svn51490-19.fc31.no 2708/3004 Verifying : texlive-tikz-inet-9:svn15878.0.1-19.fc31.noarc 2709/3004 Verifying : texlive-tikz-kalender-9:svn51329-19.fc31.noarc 2710/3004 Verifying : texlive-tikz-karnaugh-9:svn47026-19.fc31.noarc 2711/3004 Verifying : texlive-tikz-ladder-9:svn46555-19.fc31.noarch 2712/3004 Verifying : texlive-tikz-layers-9:svn46660-19.fc31.noarch 2713/3004 Verifying : texlive-tikz-nef-9:svn48240-19.fc31.noarch 2714/3004 Verifying : texlive-tikz-network-9:svn48314-19.fc31.noarch 2715/3004 Verifying : texlive-tikz-opm-9:svn32769.0.1.1-19.fc31.noar 2716/3004 Verifying : texlive-tikz-optics-9:svn43466-19.fc31.noarch 2717/3004 Verifying : texlive-tikz-page-9:svn42039-19.fc31.noarch 2718/3004 Verifying : texlive-tikz-palattice-9:svn43442-19.fc31.noar 2719/3004 Verifying : texlive-tikz-qtree-9:svn26108.1.2-19.fc31.noar 2720/3004 Verifying : texlive-tikz-relay-9:svn51355-19.fc31.noarch 2721/3004 Verifying : texlive-tikz-sfc-9:svn49424-19.fc31.noarch 2722/3004 Verifying : texlive-tikz-timing-9:svn46111-19.fc31.noarch 2723/3004 Verifying : texlive-tikz-truchet-9:svn50020-19.fc31.noarch 2724/3004 Verifying : texlive-tikzcodeblocks-9:svn47265-19.fc31.noar 2725/3004 Verifying : texlive-tikzducks-9:svn50840-19.fc31.noarch 2726/3004 Verifying : texlive-tikzinclude-9:svn28715.1.0-19.fc31.noa 2727/3004 Verifying : texlive-tikzlings-9:svn50841-19.fc31.noarch 2728/3004 Verifying : texlive-tikzmark-9:svn51050-19.fc31.noarch 2729/3004 Verifying : texlive-tikzmarmots-9:svn49114-19.fc31.noarch 2730/3004 Verifying : texlive-tikzorbital-9:svn36439.0-19.fc31.noarc 2731/3004 Verifying : texlive-tikzpagenodes-9:svn27723.1.1-19.fc31.n 2732/3004 Verifying : texlive-tikzpeople-9:svn43978-19.fc31.noarch 2733/3004 Verifying : texlive-tikzpfeile-9:svn25777.1.0-19.fc31.noar 2734/3004 Verifying : texlive-tikzposter-9:svn32732.2.0-19.fc31.noar 2735/3004 Verifying : texlive-tikzscale-9:svn30637.0.2.6-19.fc31.noa 2736/3004 Verifying : texlive-tikzsymbols-9:svn49975-19.fc31.noarch 2737/3004 Verifying : texlive-timbreicmc-9:svn49740-19.fc31.noarch 2738/3004 Verifying : texlive-times-9:svn35058.0-19.fc31.noarch 2739/3004 Verifying : texlive-timing-diagrams-9:svn31491.0-19.fc31.n 2740/3004 Verifying : texlive-tinos-9:svn42882-19.fc31.noarch 2741/3004 Verifying : texlive-tipa-9:svn29349.1.3-19.fc31.noarch 2742/3004 Verifying : texlive-tipfr-doc-9:svn38646-19.fc31.noarch 2743/3004 Verifying : texlive-titlecaps-9:svn36170.1.2-19.fc31.noarc 2744/3004 Verifying : texlive-titlefoot-9:svn15878.0-19.fc31.noarch 2745/3004 Verifying : texlive-titlepic-9:svn43497-19.fc31.noarch 2746/3004 Verifying : texlive-titleref-9:svn18729.3.1-19.fc31.noarch 2747/3004 Verifying : texlive-titlesec-9:svn51664-19.fc31.noarch 2748/3004 Verifying : texlive-titling-9:svn15878.2.1d-19.fc31.noarch 2749/3004 Verifying : texlive-tkz-base-9:svn22961.1.16-19.fc31.noarc 2750/3004 Verifying : texlive-tkz-berge-9:svn22891.1.00c-19.fc31.noa 2751/3004 Verifying : texlive-tkz-doc-9:svn22959.1.1c-19.fc31.noarch 2752/3004 Verifying : texlive-tkz-euclide-9:svn22830.1.16c-19.fc31.n 2753/3004 Verifying : texlive-tkz-fct-9:svn22831.1.16c-19.fc31.noarc 2754/3004 Verifying : texlive-tkz-graph-9:svn22832.1.00-19.fc31.noar 2755/3004 Verifying : texlive-tkz-kiviat-9:svn22857.0.1-19.fc31.noar 2756/3004 Verifying : texlive-tkz-linknodes-9:svn22833.1.0c-19.fc31. 2757/3004 Verifying : texlive-tkz-orm-9:svn39408-19.fc31.noarch 2758/3004 Verifying : texlive-tkz-tab-9:svn49775-19.fc31.noarch 2759/3004 Verifying : texlive-tlc-article-9:svn51431-19.fc31.noarch 2760/3004 Verifying : texlive-tocbibind-9:svn20085.1.5k-19.fc31.noar 2761/3004 Verifying : texlive-tocdata-9:svn51654-19.fc31.noarch 2762/3004 Verifying : texlive-tocloft-9:svn45188-19.fc31.noarch 2763/3004 Verifying : texlive-tocvsec2-9:svn33146.1.3a-19.fc31.noarc 2764/3004 Verifying : texlive-todo-9:svn17746.2.142-19.fc31.noarch 2765/3004 Verifying : texlive-todonotes-9:svn49820-19.fc31.noarch 2766/3004 Verifying : texlive-tokenizer-9:svn15878.1.1.0-19.fc31.noa 2767/3004 Verifying : texlive-toolbox-9:svn32260.5.1-19.fc31.noarch 2768/3004 Verifying : texlive-tools-9:svn49619-19.fc31.noarch 2769/3004 Verifying : texlive-topfloat-9:svn19084.0-19.fc31.noarch 2770/3004 Verifying : texlive-topiclongtable-9:svn51601-19.fc31.noar 2771/3004 Verifying : texlive-topletter-9:svn48182-19.fc31.noarch 2772/3004 Verifying : texlive-toptesi-9:svn51743-19.fc31.noarch 2773/3004 Verifying : texlive-totcount-9:svn21178.1.2-19.fc31.noarch 2774/3004 Verifying : texlive-totpages-9:svn15878.2.00-19.fc31.noarc 2775/3004 Verifying : texlive-tpslifonts-9:svn42428-19.fc31.noarch 2776/3004 Verifying : texlive-tqft-9:svn44455-19.fc31.noarch 2777/3004 Verifying : texlive-tracklang-9:svn47704-19.fc31.noarch 2778/3004 Verifying : texlive-trajan-9:svn15878.1.1-19.fc31.noarch 2779/3004 Verifying : texlive-translations-9:svn45189-19.fc31.noarch 2780/3004 Verifying : texlive-translator-9:svn51279-19.fc31.noarch 2781/3004 Verifying : texlive-tree-dvips-9:svn21751.91-19.fc31.noarc 2782/3004 Verifying : texlive-trfsigns-9:svn15878.1.01-19.fc31.noarc 2783/3004 Verifying : texlive-trimspaces-9:svn15878.1.1-19.fc31.noar 2784/3004 Verifying : texlive-trivfloat-9:svn15878.1.3b-19.fc31.noar 2785/3004 Verifying : texlive-trsym-9:svn18732.1.0-19.fc31.noarch 2786/3004 Verifying : texlive-truncate-9:svn18921.3.6-19.fc31.noarch 2787/3004 Verifying : texlive-tsemlines-9:svn23440.1.0-19.fc31.noarc 2788/3004 Verifying : texlive-ttfutils-7:20190410-8.fc31.x86_64 2789/3004 Verifying : texlive-tucv-9:svn20680.1.0-19.fc31.noarch 2790/3004 Verifying : texlive-tuda-ci-9:svn51822-19.fc31.noarch 2791/3004 Verifying : texlive-tudscr-9:svn51675-19.fc31.noarch 2792/3004 Verifying : texlive-tufte-latex-9:svn37649.3.5.2-19.fc31.n 2793/3004 Verifying : texlive-tugboat-plain-9:svn51373-19.fc31.noarc 2794/3004 Verifying : texlive-tugboat-9:svn49415-19.fc31.noarch 2795/3004 Verifying : texlive-tui-9:svn27253.1.9-19.fc31.noarch 2796/3004 Verifying : texlive-turabian-formatting-9:svn48330-19.fc31 2797/3004 Verifying : texlive-turabian-9:svn36298.0.1.0-19.fc31.noar 2798/3004 Verifying : texlive-turnstile-9:svn15878.1.0-19.fc31.noarc 2799/3004 Verifying : texlive-turnthepage-9:svn29803.1.3a-19.fc31.no 2800/3004 Verifying : texlive-twoinone-9:svn17024.0-19.fc31.noarch 2801/3004 Verifying : texlive-twoup-9:svn15878.1.3-19.fc31.noarch 2802/3004 Verifying : texlive-txfonts-9:svn15878.0-19.fc31.noarch 2803/3004 Verifying : texlive-txfontsb-9:svn21578.1.1-19.fc31.noarch 2804/3004 Verifying : texlive-txgreeks-9:svn21839.1.0-19.fc31.noarch 2805/3004 Verifying : texlive-txuprcal-9:svn43327-19.fc31.noarch 2806/3004 Verifying : texlive-type1cm-9:svn21820.0-19.fc31.noarch 2807/3004 Verifying : texlive-typed-checklist-9:svn49731-19.fc31.noa 2808/3004 Verifying : texlive-typeface-9:svn27046.0.1-19.fc31.noarch 2809/3004 Verifying : texlive-typehtml-9:svn17134.0-19.fc31.noarch 2810/3004 Verifying : texlive-typicons-9:svn37623.2.0.7-19.fc31.noar 2811/3004 Verifying : texlive-typoaid-9:svn44238-19.fc31.noarch 2812/3004 Verifying : texlive-typogrid-9:svn24994.0.21-19.fc31.noarc 2813/3004 Verifying : texlive-uaclasses-9:svn15878.0-19.fc31.noarch 2814/3004 Verifying : texlive-uafthesis-9:svn29349.12.12-19.fc31.noa 2815/3004 Verifying : texlive-uantwerpendocs-9:svn51007-19.fc31.noar 2816/3004 Verifying : texlive-uassign-9:svn38459-19.fc31.noarch 2817/3004 Verifying : texlive-ucalgmthesis-9:svn50705-19.fc31.noarch 2818/3004 Verifying : texlive-ucbthesis-9:svn51690-19.fc31.noarch 2819/3004 Verifying : texlive-ucdavisthesis-9:svn40772-19.fc31.noarc 2820/3004 Verifying : texlive-ucharcat-9:svn38907-19.fc31.noarch 2821/3004 Verifying : texlive-ucs-9:svn35853.2.2-19.fc31.noarch 2822/3004 Verifying : texlive-ucsmonograph-9:svn49389-19.fc31.noarch 2823/3004 Verifying : texlive-ucthesis-9:svn15878.3.2-19.fc31.noarch 2824/3004 Verifying : texlive-uebungsblatt-9:svn15878.1.5.0-19.fc31. 2825/3004 Verifying : texlive-uestcthesis-9:svn36371.1.1.0-19.fc31.n 2826/3004 Verifying : texlive-uhc-9:svn16791.0-19.fc31.noarch 2827/3004 Verifying : texlive-uhhassignment-9:svn44026-19.fc31.noarc 2828/3004 Verifying : texlive-uiucredborder-9:svn29974.1.00-19.fc31. 2829/3004 Verifying : texlive-uiucthesis-9:svn15878.2.25-19.fc31.noa 2830/3004 Verifying : texlive-ulem-9:svn26785.0-19.fc31.noarch 2831/3004 Verifying : texlive-ulqda-7:20190410-8.fc31.noarch 2832/3004 Verifying : texlive-ulthese-9:svn51038-19.fc31.noarch 2833/3004 Verifying : texlive-umbclegislation-9:svn41348-19.fc31.noa 2834/3004 Verifying : texlive-umich-thesis-9:svn15878.1.20-19.fc31.n 2835/3004 Verifying : texlive-umoline-9:svn19085.0-19.fc31.noarch 2836/3004 Verifying : texlive-umthesis-9:svn15878.0.2-19.fc31.noarch 2837/3004 Verifying : texlive-umtypewriter-9:svn18651.001.002-19.fc3 2838/3004 Verifying : texlive-unam-thesis-9:svn51207-19.fc31.noarch 2839/3004 Verifying : texlive-unamth-template-doc-9:svn33625.2.0-19. 2840/3004 Verifying : texlive-unamthesis-9:svn43639-19.fc31.noarch 2841/3004 Verifying : texlive-underlin-9:svn15878.1.01-19.fc31.noarc 2842/3004 Verifying : texlive-underoverlap-9:svn29019.0.0.1_r1-19.fc 2843/3004 Verifying : texlive-underscore-9:svn18261.0-19.fc31.noarch 2844/3004 Verifying : texlive-undolabl-9:svn36681.1.0l-19.fc31.noarc 2845/3004 Verifying : texlive-uni-wtal-ger-9:svn31541.0.2-19.fc31.no 2846/3004 Verifying : texlive-uni-wtal-lin-9:svn31409.0.2-19.fc31.no 2847/3004 Verifying : texlive-unicode-data-9:svn50303-19.fc31.noarch 2848/3004 Verifying : texlive-unicode-math-9:svn50245-19.fc31.noarch 2849/3004 Verifying : texlive-unitn-bimrep-9:svn45581-19.fc31.noarch 2850/3004 Verifying : texlive-units-9:svn42428-19.fc31.noarch 2851/3004 Verifying : texlive-unitsdef-9:svn15878.0.2-19.fc31.noarch 2852/3004 Verifying : texlive-universa-9:svn15878.2.0-19.fc31.noarch 2853/3004 Verifying : texlive-universalis-9:svn33860.0-19.fc31.noarc 2854/3004 Verifying : texlive-univie-ling-9:svn49785-19.fc31.noarch 2855/3004 Verifying : texlive-unravel-9:svn50557-19.fc31.noarch 2856/3004 Verifying : texlive-unswcover-9:svn29476.1.0-19.fc31.noarc 2857/3004 Verifying : texlive-uothesis-9:svn25355.2.5.6-19.fc31.noar 2858/3004 Verifying : texlive-uowthesis-9:svn19700.1.0a-19.fc31.noar 2859/3004 Verifying : texlive-uowthesistitlepage-9:svn45022-19.fc31. 2860/3004 Verifying : texlive-updmap-map-9:svn52454-19.fc31.noarch 2861/3004 Verifying : texlive-upmethodology-9:svn51818-19.fc31.noarc 2862/3004 Verifying : texlive-uppunctlm-9:svn42334-19.fc31.noarch 2863/3004 Verifying : texlive-upquote-9:svn26059.v1.3-19.fc31.noarch 2864/3004 Verifying : texlive-urcls-9:svn49903-19.fc31.noarch 2865/3004 Verifying : texlive-uri-9:svn21608.1.0a-19.fc31.noarch 2866/3004 Verifying : texlive-url-9:svn32528.3.4-19.fc31.noarch 2867/3004 Verifying : texlive-urlbst-7:20190410-8.fc31.noarch 2868/3004 Verifying : texlive-urwchancal-9:svn21701.1-19.fc31.noarch 2869/3004 Verifying : texlive-usebib-9:svn25969.1.0a-19.fc31.noarch 2870/3004 Verifying : texlive-ushort-9:svn32261.2.2-19.fc31.noarch 2871/3004 Verifying : texlive-uspace-9:svn42456-19.fc31.noarch 2872/3004 Verifying : texlive-uspatent-9:svn27744.1.0-19.fc31.noarch 2873/3004 Verifying : texlive-ut-thesis-9:svn38269.2.1-19.fc31.noarc 2874/3004 Verifying : texlive-utopia-9:svn15878.0-19.fc31.noarch 2875/3004 Verifying : texlive-uwthesis-9:svn15878.6.13-19.fc31.noarc 2876/3004 Verifying : texlive-vak-9:svn23431.0-19.fc31.noarch 2877/3004 Verifying : texlive-vancouver-9:svn34470.0-19.fc31.noarch 2878/3004 Verifying : texlive-variablelm-9:svn46611-19.fc31.noarch 2879/3004 Verifying : texlive-varindex-9:svn32262.2.3-19.fc31.noarch 2880/3004 Verifying : texlive-varsfromjobname-9:svn44154-19.fc31.noa 2881/3004 Verifying : texlive-varwidth-9:svn24104.0.92-19.fc31.noarc 2882/3004 Verifying : texlive-vdmlisting-9:svn29944.1.0-19.fc31.noar 2883/3004 Verifying : texlive-venn-9:svn15878.0-19.fc31.noarch 2884/3004 Verifying : texlive-venndiagram-9:svn47952-19.fc31.noarch 2885/3004 Verifying : texlive-venturisadf-9:svn19444.1.005-19.fc31.n 2886/3004 Verifying : texlive-verbasef-9:svn21922.1.1-19.fc31.noarch 2887/3004 Verifying : texlive-verbatimbox-9:svn33197.3.13-19.fc31.no 2888/3004 Verifying : texlive-verbatimcopy-9:svn15878.0.06-19.fc31.n 2889/3004 Verifying : texlive-verbdef-9:svn17177.0.2-19.fc31.noarch 2890/3004 Verifying : texlive-verbments-9:svn23670.1.2-19.fc31.noarc 2891/3004 Verifying : texlive-verse-9:svn34017.2.4b-19.fc31.noarch 2892/3004 Verifying : texlive-version-9:svn21920.2.0-19.fc31.noarch 2893/3004 Verifying : texlive-versions-9:svn21921.0.55-19.fc31.noarc 2894/3004 Verifying : texlive-versonotes-9:svn51568-19.fc31.noarch 2895/3004 Verifying : texlive-vertbars-9:svn49429-19.fc31.noarch 2896/3004 Verifying : texlive-vgrid-9:svn32457.0.1-19.fc31.noarch 2897/3004 Verifying : texlive-vhistory-9:svn30080.1.6.1-19.fc31.noar 2898/3004 Verifying : texlive-visualpstricks-doc-9:svn39799-19.fc31. 2899/3004 Verifying : texlive-vmargin-9:svn15878.2.5-19.fc31.noarch 2900/3004 Verifying : texlive-volumes-9:svn15878.1.0-19.fc31.noarch 2901/3004 Verifying : texlive-vpe-7:20190410-8.fc31.noarch 2902/3004 Verifying : texlive-vruler-9:svn21598.2.3-19.fc31.noarch 2903/3004 Verifying : texlive-vtable-9:svn51126-19.fc31.noarch 2904/3004 Verifying : texlive-vwcol-9:svn36254.0.2-19.fc31.noarch 2905/3004 Verifying : texlive-wadalab-9:svn42428-19.fc31.noarch 2906/3004 Verifying : texlive-wallcalendar-9:svn45568-19.fc31.noarch 2907/3004 Verifying : texlive-wallpaper-9:svn15878.1.10-19.fc31.noar 2908/3004 Verifying : texlive-warning-9:svn22028.0.01-19.fc31.noarch 2909/3004 Verifying : texlive-warpcol-9:svn15878.1.0c-19.fc31.noarch 2910/3004 Verifying : texlive-was-9:svn21439.0-19.fc31.noarch 2911/3004 Verifying : texlive-wasy-9:svn35831.0-19.fc31.noarch 2912/3004 Verifying : texlive-wasy2-ps-9:svn35830.0-19.fc31.noarch 2913/3004 Verifying : texlive-wasysym-9:svn15878.2.0-19.fc31.noarch 2914/3004 Verifying : texlive-webquiz-7:20190410-8.fc31.noarch 2915/3004 Verifying : texlive-widetable-9:svn51501-19.fc31.noarch 2916/3004 Verifying : texlive-widows-and-orphans-9:svn49194-19.fc31. 2917/3004 Verifying : texlive-williams-9:svn15878.0-19.fc31.noarch 2918/3004 Verifying : texlive-windycity-9:svn51668-19.fc31.noarch 2919/3004 Verifying : texlive-withargs-9:svn42756-19.fc31.noarch 2920/3004 Verifying : texlive-witharrows-9:svn51793-19.fc31.noarch 2921/3004 Verifying : texlive-wordcount-7:20190410-8.fc31.noarch 2922/3004 Verifying : texlive-wordlike-9:svn15878.1.2b-19.fc31.noarc 2923/3004 Verifying : texlive-worksheet-9:svn48423-19.fc31.noarch 2924/3004 Verifying : texlive-wrapfig-9:svn22048.3.6-19.fc31.noarch 2925/3004 Verifying : texlive-wsemclassic-9:svn31532.1.0.1-19.fc31.n 2926/3004 Verifying : texlive-wsuipa-9:svn25469.0-19.fc31.noarch 2927/3004 Verifying : texlive-wtref-9:svn42981-19.fc31.noarch 2928/3004 Verifying : texlive-xargs-9:svn15878.1.1-19.fc31.noarch 2929/3004 Verifying : texlive-xassoccnt-9:svn49516-19.fc31.noarch 2930/3004 Verifying : texlive-xbmks-9:svn48138-19.fc31.noarch 2931/3004 Verifying : texlive-xcharter-9:svn51816-19.fc31.noarch 2932/3004 Verifying : texlive-xcite-9:svn23783.1.0-19.fc31.noarch 2933/3004 Verifying : texlive-xcjk2uni-9:svn50848-19.fc31.noarch 2934/3004 Verifying : texlive-xcntperchap-9:svn46236-19.fc31.noarch 2935/3004 Verifying : texlive-xcolor-material-9:svn42289-19.fc31.noa 2936/3004 Verifying : texlive-xcolor-solarized-9:svn41809-19.fc31.no 2937/3004 Verifying : texlive-xcolor-9:svn41044-19.fc31.noarch 2938/3004 Verifying : texlive-xcomment-9:svn20031.1.3-19.fc31.noarch 2939/3004 Verifying : texlive-xcookybooky-9:svn36435.1.5-19.fc31.noa 2940/3004 Verifying : texlive-xcpdftips-9:svn50449-19.fc31.noarch 2941/3004 Verifying : texlive-xdoc-9:svn15878.prot2.5-19.fc31.noarch 2942/3004 Verifying : texlive-xduthesis-9:svn39694-19.fc31.noarch 2943/3004 Verifying : texlive-xdvi-7:20190410-8.fc31.x86_64 2944/3004 Verifying : texlive-xellipsis-9:svn47546-19.fc31.noarch 2945/3004 Verifying : texlive-xetex-7:20190410-8.fc31.x86_64 2946/3004 Verifying : texlive-xetexconfig-9:svn45845-19.fc31.noarch 2947/3004 Verifying : texlive-xfakebold-9:svn48460-19.fc31.noarch 2948/3004 Verifying : texlive-xfor-9:svn15878.1.05-19.fc31.noarch 2949/3004 Verifying : texlive-xhfill-9:svn22575.1.01-19.fc31.noarch 2950/3004 Verifying : texlive-xifthen-9:svn38929-19.fc31.noarch 2951/3004 Verifying : texlive-xint-9:svn50844-19.fc31.noarch 2952/3004 Verifying : texlive-xits-9:svn32763.1.108-19.fc31.noarch 2953/3004 Verifying : texlive-xkeyval-9:svn35741.2.7a-19.fc31.noarch 2954/3004 Verifying : texlive-xltabular-9:svn49939-19.fc31.noarch 2955/3004 Verifying : texlive-xltxtra-9:svn49555-19.fc31.noarch 2956/3004 Verifying : texlive-xmpincl-9:svn15878.2.2-19.fc31.noarch 2957/3004 Verifying : texlive-xnewcommand-9:svn15878.1.2-19.fc31.noa 2958/3004 Verifying : texlive-xoptarg-9:svn15878.1.0-19.fc31.noarch 2959/3004 Verifying : texlive-xpatch-9:svn27897.0.2-19.fc31.noarch 2960/3004 Verifying : texlive-xpeek-9:svn27442.0.2-19.fc31.noarch 2961/3004 Verifying : texlive-xpicture-9:svn28770.1.2a-19.fc31.noarc 2962/3004 Verifying : texlive-xprintlen-9:svn35928.1.0-19.fc31.noarc 2963/3004 Verifying : texlive-xpunctuate-9:svn26641.1.0-19.fc31.noar 2964/3004 Verifying : texlive-xsavebox-9:svn51448-19.fc31.noarch 2965/3004 Verifying : texlive-xsim-9:svn46634-19.fc31.noarch 2966/3004 Verifying : texlive-xstring-9:svn49946-19.fc31.noarch 2967/3004 Verifying : texlive-xtab-9:svn23347.2.3f-19.fc31.noarch 2968/3004 Verifying : texlive-xunicode-9:svn30466.0.981-19.fc31.noar 2969/3004 Verifying : texlive-xurl-9:svn49488-19.fc31.noarch 2970/3004 Verifying : texlive-xwatermark-9:svn28090.1.5.2d-19.fc31.n 2971/3004 Verifying : texlive-xyling-9:svn15878.1.1-19.fc31.noarch 2972/3004 Verifying : texlive-xymtex-9:svn32182.5.06-19.fc31.noarch 2973/3004 Verifying : texlive-xypic-9:svn31859.3.8.9-19.fc31.noarch 2974/3004 Verifying : texlive-xytree-9:svn15878.1.5-19.fc31.noarch 2975/3004 Verifying : texlive-yafoot-9:svn19086.0-19.fc31.noarch 2976/3004 Verifying : texlive-yagusylo-9:svn29803.1.2-19.fc31.noarch 2977/3004 Verifying : texlive-yaletter-9:svn42830-19.fc31.noarch 2978/3004 Verifying : texlive-yathesis-9:svn50630-19.fc31.noarch 2979/3004 Verifying : texlive-ycbook-9:svn46201-19.fc31.noarch 2980/3004 Verifying : texlive-ydoc-9:svn26202.0.6alpha-19.fc31.noarc 2981/3004 Verifying : texlive-yfonts-9:svn50755-19.fc31.noarch 2982/3004 Verifying : texlive-yfonts-t1-9:svn36013-19.fc31.noarch 2983/3004 Verifying : texlive-yhmath-9:svn50127-19.fc31.noarch 2984/3004 Verifying : texlive-yinit-otf-9:svn40207-19.fc31.noarch 2985/3004 Verifying : texlive-york-thesis-9:svn23348.3.6-19.fc31.noa 2986/3004 Verifying : texlive-youngtab-9:svn17635.1.1-19.fc31.noarch 2987/3004 Verifying : texlive-yplan-7:20190410-8.fc31.noarch 2988/3004 Verifying : texlive-ytableau-9:svn27430.1.3-19.fc31.noarch 2989/3004 Verifying : texlive-zapfchan-9:svn31835.0-19.fc31.noarch 2990/3004 Verifying : texlive-zapfding-9:svn31835.0-19.fc31.noarch 2991/3004 Verifying : texlive-zebra-goodies-9:svn51554-19.fc31.noarc 2992/3004 Verifying : texlive-zed-csp-9:svn17258.0-19.fc31.noarch 2993/3004 Verifying : texlive-zhnumber-9:svn50850-19.fc31.noarch 2994/3004 Verifying : texlive-ziffer-9:svn32279.2.1-19.fc31.noarch 2995/3004 Verifying : texlive-zlmtt-9:svn51368-19.fc31.noarch 2996/3004 Verifying : texlive-zootaxa-bst-9:svn50619-19.fc31.noarch 2997/3004 Verifying : texlive-zwgetfdate-9:svn15878.0-19.fc31.noarch 2998/3004 Verifying : texlive-zwpagelayout-9:svn28846.1.4d-19.fc31.n 2999/3004 Verifying : tk-1:8.6.8-2.fc31.x86_64 3000/3004 Verifying : vim-minimal-2:8.2.525-1.fc31.x86_64 3001/3004 Verifying : xkeyboard-config-2.28-1.fc31.noarch 3002/3004 Verifying : xorg-x11-font-utils-1:7.5-43.fc31.x86_64 3003/3004 Verifying : zlib-devel-1.2.11-20.fc31.x86_64 3004/3004 Installed: ImageMagick-1:6.9.10.86-1.fc31.x86_64 ImageMagick-libs-1:6.9.10.86-1.fc31.x86_64 LibRaw-0.19.5-1.fc31.x86_64 OpenEXR-libs-2.3.0-4.fc31.x86_64 R-core-3.6.3-1.fc31.x86_64 R-evaluate-0.14-3.fc31.noarch R-glue-1.3.1-4.fc31.x86_64 R-highr-0.8-3.fc31.noarch R-knitr-1.28-1.fc31.noarch R-magrittr-1.5-8.fc31.noarch R-markdown-1.1-1.fc31.x86_64 R-mime-0.9-1.fc31.x86_64 R-stringi-1.4.6-1.fc31.x86_64 R-stringr-1.4.0-4.fc31.noarch R-xfun-0.12-1.fc31.noarch R-yaml-2.2.1-1.fc31.x86_64 abc-1.01-23.git20190608.fc31.x86_64 abc-libs-1.01-23.git20190608.fc31.x86_64 acl-2.2.53-4.fc31.x86_64 adobe-mappings-cmap-20171205-6.fc31.noarch adobe-mappings-cmap-deprecated-20171205-6.fc31.noarch adobe-mappings-pdf-20180407-4.fc31.noarch adwaita-cursor-theme-3.34.3-2.fc31.noarch adwaita-icon-theme-3.34.3-2.fc31.noarch alsa-lib-1.2.2-2.fc31.x86_64 annobin-8.78-4.fc31.x86_64 at-spi2-atk-2.34.2-1.fc31.x86_64 at-spi2-core-2.34.0-1.fc31.x86_64 atk-2.34.1-1.fc31.x86_64 avahi-0.7-20.fc31.x86_64 avahi-glib-0.7-20.fc31.x86_64 avahi-libs-0.7-20.fc31.x86_64 bc-1.07.1-9.fc31.x86_64 biber-2.12-1.fc31.noarch bison-3.4.1-2.fc31.x86_64 cairo-1.16.0-6.fc31.x86_64 cairo-gobject-1.16.0-6.fc31.x86_64 cdparanoia-libs-10.2-30.fc31.x86_64 color-filesystem-1-23.fc31.noarch colord-1.4.4-2.fc31.x86_64 colord-libs-1.4.4-2.fc31.x86_64 cpp-9.3.1-2.fc31.x86_64 cryptsetup-libs-2.3.0-1.fc31.x86_64 cups-1:2.2.12-6.fc31.x86_64 cups-client-1:2.2.12-6.fc31.x86_64 cups-filesystem-1:2.2.12-6.fc31.noarch cups-filters-1.27.3-1.fc31.x86_64 cups-filters-libs-1.27.3-1.fc31.x86_64 cups-ipptool-1:2.2.12-6.fc31.x86_64 cups-libs-1:2.2.12-6.fc31.x86_64 dbus-1:1.12.16-3.fc31.x86_64 dbus-broker-21-6.fc31.x86_64 dbus-common-1:1.12.16-3.fc31.noarch dbus-libs-1:1.12.16-3.fc31.x86_64 desktop-file-utils-0.24-1.fc31.x86_64 device-mapper-1.02.171-1.fc31.x86_64 device-mapper-libs-1.02.171-1.fc31.x86_64 emacs-filesystem-1:26.3-1.fc31.noarch fftw-libs-double-3.3.8-6.fc31.x86_64 flex-2.6.4-3.fc31.x86_64 fontawesome-fonts-4.7.0-7.fc31.noarch fontconfig-2.13.92-3.fc31.x86_64 fonts-filesystem-2.0.3-1.fc31.noarch freetype-2.10.0-3.fc31.x86_64 fribidi-1.0.5-5.fc31.x86_64 gcc-9.3.1-2.fc31.x86_64 gcc-c++-9.3.1-2.fc31.x86_64 gd-2.2.5-12.fc31.x86_64 gdbm-1:1.18.1-1.fc31.x86_64 gdk-pixbuf2-2.40.0-1.fc31.x86_64 gdk-pixbuf2-modules-2.40.0-1.fc31.x86_64 ghostscript-9.27-4.fc31.x86_64 glib-networking-2.62.3-1.fc31.x86_64 glib2-2.62.6-1.fc31.x86_64 glibc-devel-2.30-11.fc31.x86_64 glibc-headers-2.30-11.fc31.x86_64 gnutls-3.6.13-1.fc31.x86_64 google-droid-sans-fonts-20120715-16.fc31.noarch graphite2-1.3.13-1.fc31.x86_64 graphviz-2.40.1-54.fc31.x86_64 groff-base-1.22.3-20.fc31.x86_64 gsettings-desktop-schemas-3.34.0-1.fc31.x86_64 gstreamer1-1.16.2-1.fc31.x86_64 gstreamer1-plugins-base-1.16.2-2.fc31.x86_64 gtk-update-icon-cache-3.24.13-2.fc31.x86_64 gtk2-2.24.32-6.fc31.x86_64 gtk3-3.24.13-2.fc31.x86_64 gts-0.7.6-36.20121130.fc31.x86_64 harfbuzz-2.6.1-2.fc31.x86_64 harfbuzz-icu-2.6.1-2.fc31.x86_64 hicolor-icon-theme-0.17-7.fc31.noarch hwdata-0.334-1.fc31.noarch ilmbase-2.3.0-3.fc31.x86_64 iptables-libs-1.8.3-7.fc31.x86_64 isl-0.16.1-9.fc31.x86_64 iso-codes-4.3-2.fc31.noarch iverilog-10.3-1.fc31.x86_64 jasper-libs-2.0.14-9.fc31.x86_64 jbig2dec-libs-0.16-1.fc31.x86_64 jbigkit-libs-2.1-17.fc31.x86_64 json-c-0.13.1-8.fc31.x86_64 json-glib-1.4.4-3.fc31.x86_64 kernel-headers-5.5.17-200.fc31.x86_64 kmod-libs-26-4.fc31.x86_64 lasi-1.1.2-13.fc31.x86_64 lcms2-2.9-6.fc31.x86_64 less-551-2.fc31.x86_64 libICE-1.0.10-2.fc31.x86_64 libRmath-3.6.3-1.fc31.x86_64 libSM-1.2.3-4.fc31.x86_64 libX11-1.6.9-2.fc31.x86_64 libX11-common-1.6.9-2.fc31.noarch libX11-xcb-1.6.9-2.fc31.x86_64 libXau-1.0.9-2.fc31.x86_64 libXaw-1.0.13-13.fc31.x86_64 libXcomposite-0.4.4-17.fc31.x86_64 libXcursor-1.1.15-6.fc31.x86_64 libXdamage-1.1.4-17.fc31.x86_64 libXext-1.3.4-2.fc31.x86_64 libXfixes-5.0.3-10.fc31.x86_64 libXft-2.3.3-2.fc31.x86_64 libXi-1.7.10-2.fc31.x86_64 libXinerama-1.1.4-4.fc31.x86_64 libXmu-1.1.3-2.fc31.x86_64 libXpm-3.5.12-10.fc31.x86_64 libXrandr-1.5.2-2.fc31.x86_64 libXrender-0.9.10-10.fc31.x86_64 libXt-1.1.5-12.20190424gitba4ec9376.fc31.x86_64 libXtst-1.2.3-10.fc31.x86_64 libXv-1.0.11-10.fc31.x86_64 libXxf86vm-1.1.4-12.fc31.x86_64 libargon2-20171227-3.fc31.x86_64 libcroco-0.6.13-2.fc31.x86_64 libdaemon-0.14-18.fc31.x86_64 libdatrie-0.2.9-10.fc31.x86_64 libdrm-2.4.100-1.fc31.x86_64 libepoxy-1.5.3-4.fc31.x86_64 liberation-fonts-common-1:2.00.5-6.fc31.noarch liberation-mono-fonts-1:2.00.5-6.fc31.noarch libffi-devel-3.1-23.fc31.x86_64 libfontenc-1.1.3-11.fc31.x86_64 libgee-0.20.3-1.fc31.x86_64 libgfortran-9.3.1-2.fc31.x86_64 libglvnd-1:1.1.1-5.fc31.x86_64 libglvnd-egl-1:1.1.1-5.fc31.x86_64 libglvnd-gles-1:1.1.1-5.fc31.x86_64 libglvnd-glx-1:1.1.1-5.fc31.x86_64 libgs-9.27-4.fc31.x86_64 libgudev-232-6.fc31.x86_64 libgusb-0.3.4-1.fc31.x86_64 libicu-63.2-3.fc31.x86_64 libidn-1.35-6.fc31.x86_64 libijs-0.35-10.fc31.x86_64 libimagequant-2.12.5-1.fc31.x86_64 libjpeg-turbo-2.0.2-5.fc31.x86_64 liblqr-1-0.4.2-13.fc31.x86_64 libmcpp-2.7.2-24.fc31.x86_64 libmodman-2.0.1-20.fc31.x86_64 libmpc-1.1.0-4.fc31.x86_64 libogg-2:1.3.3-3.fc31.x86_64 libpaper-1.1.24-25.fc31.x86_64 libpcap-14:1.9.1-2.fc31.x86_64 libpciaccess-0.15-2.fc31.x86_64 libpng-2:1.6.37-2.fc31.x86_64 libproxy-0.4.15-14.fc31.x86_64 libquadmath-9.3.1-2.fc31.x86_64 libraqm-0.7.0-4.fc31.x86_64 librsvg2-2.46.4-1.fc31.x86_64 libseccomp-2.4.2-2.fc31.x86_64 libsoup-2.68.4-1.fc31.x86_64 libstdc++-devel-9.3.1-2.fc31.x86_64 libthai-0.1.28-3.fc31.x86_64 libtheora-1:1.1.1-24.fc31.x86_64 libtiff-4.0.10-8.fc31.x86_64 libusbx-1.0.22-4.fc31.x86_64 libvisual-1:0.4.0-27.fc31.x86_64 libvorbis-1:1.3.6-5.fc31.x86_64 libwayland-client-1.17.0-2.fc31.x86_64 libwayland-cursor-1.17.0-2.fc31.x86_64 libwayland-egl-1.17.0-2.fc31.x86_64 libwayland-server-1.17.0-2.fc31.x86_64 libwebp-1.0.3-2.fc31.x86_64 libwmf-lite-0.2.12-2.fc31.x86_64 libxcb-1.13.1-3.fc31.x86_64 libxcrypt-devel-4.4.16-1.fc31.x86_64 libxkbcommon-0.9.1-3.fc31.x86_64 libxshmfence-1.3-5.fc31.x86_64 libxslt-1.1.34-1.fc31.x86_64 m4-1.4.18-11.fc31.x86_64 mailcap-2.1.48-6.fc31.noarch mcpp-2.7.2-24.fc31.x86_64 mesa-libEGL-19.2.8-1.fc31.x86_64 mesa-libGL-19.2.8-1.fc31.x86_64 mesa-libgbm-19.2.8-1.fc31.x86_64 mesa-libglapi-19.2.8-1.fc31.x86_64 ncurses-c++-libs-6.1-12.20190803.fc31.x86_64 ncurses-devel-6.1-12.20190803.fc31.x86_64 netpbm-10.90.00-1.fc31.x86_64 nettle-3.5.1-3.fc31.x86_64 nspr-4.25.0-1.fc31.x86_64 nss-3.51.0-1.fc31.x86_64 nss-mdns-0.14.1-7.fc31.x86_64 nss-softokn-3.51.0-1.fc31.x86_64 nss-softokn-freebl-3.51.0-1.fc31.x86_64 nss-sysinit-3.51.0-1.fc31.x86_64 nss-util-3.51.0-1.fc31.x86_64 oldstandard-sfd-fonts-2.0.2-26.fc31.noarch openblas-Rblas-0.3.9-2.fc31.x86_64 openjpeg2-2.3.1-6.fc31.x86_64 opus-1.3.1-2.fc31.x86_64 orc-0.4.30-1.fc31.x86_64 pango-1.44.7-1.fc31.x86_64 pdfpc-4.3.4-3.fc31.x86_64 perl-B-Hooks-EndOfScope-0.24-8.fc31.noarch perl-BibTeX-Parser-1.02-6.fc31.noarch perl-Bit-Vector-7.4-16.fc31.x86_64 perl-Business-ISBN-3.004-9.fc31.noarch perl-Business-ISBN-Data-20140910.003-12.fc31.noarch perl-Business-ISMN-1.201-4.fc31.noarch perl-Business-ISSN-1.003-6.fc31.noarch perl-Carp-1.50-439.fc31.noarch perl-Carp-Clan-6.08-1.fc31.noarch perl-Class-Accessor-0.51-7.fc31.noarch perl-Class-Data-Inheritable-0.08-33.fc31.noarch perl-Class-Inspector-1.36-1.fc31.noarch perl-Class-Method-Modifiers-2.13-1.fc31.noarch perl-Class-Singleton-1.5-14.fc31.noarch perl-Compress-Raw-Bzip2-2.087-1.fc31.x86_64 perl-Compress-Raw-Zlib-2.087-1.fc31.x86_64 perl-Crypt-RC4-2.02-23.fc31.noarch perl-Data-Compare-1.26-1.fc31.noarch perl-Data-Dump-1.23-12.fc31.noarch perl-Data-Dumper-2.174-440.fc31.x86_64 perl-Data-OptList-0.110-11.fc31.noarch perl-Data-Uniqid-0.12-20.fc31.noarch perl-Date-Calc-6.4-13.fc31.noarch perl-Date-ISO8601-0.005-7.fc31.noarch perl-Date-Manip-6.80-1.fc31.noarch perl-DateTime-2:1.51-4.fc31.x86_64 perl-DateTime-Calendar-Julian-0.102-1.fc31.noarch perl-DateTime-Format-Builder-0.8200-3.fc31.noarch perl-DateTime-Format-Strptime-1:1.76-3.fc31.noarch perl-DateTime-Locale-1.25-1.fc31.noarch perl-DateTime-TimeZone-2.38-1.fc31.noarch perl-DateTime-TimeZone-SystemV-0.010-8.fc31.noarch perl-DateTime-TimeZone-Tzfile-0.011-8.fc31.noarch perl-Devel-CallChecker-0.008-8.fc31.x86_64 perl-Devel-Caller-2.06-20.fc31.x86_64 perl-Devel-GlobalDestruction-0.14-10.fc31.noarch perl-Devel-LexAlias-0.05-21.fc31.x86_64 perl-Devel-StackTrace-1:2.04-4.fc31.noarch perl-Digest-1.17-439.fc31.noarch perl-Digest-HMAC-1.03-22.fc31.noarch perl-Digest-MD5-2.55-439.fc31.x86_64 perl-Digest-Perl-MD5-1.9-17.fc31.noarch perl-Digest-SHA-1:6.02-440.fc31.x86_64 perl-Digest-SHA1-2.13-28.fc31.x86_64 perl-Dist-CheckConflicts-0.11-16.fc31.noarch perl-DynaLoader-Functions-0.003-7.fc31.noarch perl-Email-Date-Format-1.005-14.fc31.noarch perl-Encode-4:3.05-443.fc31.x86_64 perl-Encode-EUCJPASCII-0.03-28.fc31.x86_64 perl-Encode-HanExtra-0.23-28.fc31.x86_64 perl-Encode-JIS2K-0.03-13.fc31.x86_64 perl-Encode-Locale-1.05-14.fc31.noarch perl-Errno-1.30-451.fc31.x86_64 perl-Eval-Closure-0.14-10.fc31.noarch perl-Exception-Class-1.44-7.fc31.noarch perl-Exporter-5.74-1.fc31.noarch perl-Exporter-Tiny-1.002001-4.fc31.noarch perl-ExtUtils-MM-Utils-2:7.44-1.fc31.noarch perl-File-Find-Rule-0.34-13.fc31.noarch perl-File-Listing-6.04-22.fc31.noarch perl-File-Path-2.16-439.fc31.noarch perl-File-ShareDir-1.116-6.fc31.noarch perl-File-Slurper-0.012-6.fc31.noarch perl-File-Temp-1:0.230.900-439.fc31.noarch perl-File-Which-1.23-4.fc31.noarch perl-Filter-2:1.59-440.fc31.x86_64 perl-GD-2.71-3.fc31.x86_64 perl-GD-Barcode-1.15-32.fc31.noarch perl-Getopt-Long-1:2.51-1.fc31.noarch perl-HTML-Parser-3.72-19.fc31.x86_64 perl-HTML-Tagset-3.20-40.fc31.noarch perl-HTTP-Cookies-6.04-7.fc31.noarch perl-HTTP-Date-6.05-1.fc31.noarch perl-HTTP-Message-6.22-1.fc31.noarch perl-HTTP-Negotiate-6.01-24.fc31.noarch perl-HTTP-Tiny-0.076-439.fc31.noarch perl-IO-1.40-451.fc31.x86_64 perl-IO-Compress-2.087-1.fc31.noarch perl-IO-HTML-1.001-15.fc31.noarch perl-IO-Socket-IP-0.39-440.fc31.noarch perl-IO-Socket-SSL-2.066-7.fc31.noarch perl-IO-String-1.08-36.fc31.noarch perl-IO-stringy-2.111-14.fc31.noarch perl-IPC-Cmd-2:1.04-2.fc31.noarch perl-IPC-Run3-0.048-17.fc31.noarch perl-IPC-SysV-2.07-440.fc31.x86_64 perl-Jcode-2.07-29.fc31.noarch perl-LWP-MediaTypes-6.04-3.fc31.noarch perl-LWP-Protocol-https-6.07-9.fc31.noarch perl-LaTeX-ToUnicode-0.05-10.fc31.noarch perl-List-AllUtils-0.16-1.fc31.noarch perl-List-MoreUtils-0.428-7.fc31.noarch perl-List-MoreUtils-XS-0.428-7.fc31.x86_64 perl-List-SomeUtils-0.56-8.fc31.noarch perl-List-UtilsBy-0.11-7.fc31.noarch perl-Locale-Maketext-1.29-440.fc31.noarch perl-Locale-Maketext-Simple-1:0.21-451.fc31.noarch perl-Log-Dispatch-2.68-4.fc31.noarch perl-Log-Dispatch-FileRotate-1.36-4.fc31.noarch perl-Log-Log4perl-1.49-9.fc31.noarch perl-MIME-Base64-3.15-439.fc31.x86_64 perl-MIME-Charset-1.012.2-9.fc31.noarch perl-MIME-Lite-3.030-16.fc31.noarch perl-MIME-Types-2.17-7.fc31.noarch perl-MRO-Compat-0.13-9.fc31.noarch perl-Mail-Sender-1:0.903-10.fc31.noarch perl-Mail-Sendmail-0.80-7.fc31.noarch perl-MailTools-2.21-3.fc31.noarch perl-Math-BigInt-1:1.9998.16-439.fc31.noarch perl-Math-Complex-1.59-451.fc31.noarch perl-Module-CoreList-1:5.20200320-1.fc31.noarch perl-Module-Implementation-0.09-22.fc31.noarch perl-Module-Load-1:0.34-439.fc31.noarch perl-Module-Load-Conditional-0.70-1.fc31.noarch perl-Module-Metadata-1.000037-1.fc31.noarch perl-Module-Runtime-0.016-7.fc31.noarch perl-Mozilla-CA-20180117-6.fc31.noarch perl-NTLM-1.09-21.fc31.noarch perl-Net-HTTP-6.19-3.fc31.noarch perl-Net-SMTP-SSL-1.04-10.fc31.noarch perl-Net-SSLeay-1.88-3.fc31.x86_64 perl-Number-Compare-0.03-24.fc31.noarch perl-OLE-Storage_Lite-0.19-26.fc31.noarch perl-Package-Generator-1.106-16.fc31.noarch perl-Package-Stash-0.38-4.fc31.noarch perl-Package-Stash-XS-0.29-4.fc31.x86_64 perl-PadWalker-2.3-7.fc31.x86_64 perl-Params-Check-1:0.38-439.fc31.noarch perl-Params-Classify-0.015-8.fc31.x86_64 perl-Params-Util-1.07-28.fc31.x86_64 perl-Params-Validate-1.29-11.fc31.x86_64 perl-Params-ValidationCompiler-0.30-5.fc31.noarch perl-Parse-RecDescent-1.967015-9.fc31.noarch perl-PathTools-3.78-439.fc31.x86_64 perl-PerlIO-utf8_strict-0.007-10.fc31.x86_64 perl-Pod-Escapes-1:1.07-439.fc31.noarch perl-Pod-Html-1.24-451.fc31.noarch perl-Pod-Perldoc-3.28.01-442.fc31.noarch perl-Pod-Simple-1:3.39-2.fc31.noarch perl-Pod-Usage-4:1.70-1.fc31.noarch perl-Ref-Util-0.204-6.fc31.noarch perl-Ref-Util-XS-0.117-7.fc31.x86_64 perl-Regexp-Common-2017060201-10.fc31.noarch perl-Role-Tiny-2.001004-1.fc31.noarch perl-Scalar-List-Utils-3:1.53-439.fc31.x86_64 perl-SelfLoader-1.25-451.fc31.noarch perl-Socket-4:2.029-4.fc31.x86_64 perl-Sort-Key-1.33-16.fc31.x86_64 perl-Specio-0.44-2.fc31.noarch perl-Spreadsheet-ParseExcel-0.6500-24.fc31.x86_64 perl-Spreadsheet-WriteExcel-2.40-16.fc31.noarch perl-Storable-1:3.15-442.fc31.x86_64 perl-Sub-Exporter-0.987-20.fc31.noarch perl-Sub-Exporter-Progressive-0.001013-10.fc31.noarch perl-Sub-Identify-0.14-11.fc31.x86_64 perl-Sub-Install-0.928-21.fc31.noarch perl-Sys-Syslog-0.36-1.fc31.x86_64 perl-Term-ANSIColor-4.06-440.fc31.noarch perl-Term-Cap-1.17-439.fc31.noarch perl-Text-Balanced-2.03-439.fc31.noarch perl-Text-BibTeX-0.88-3.fc31.x86_64 perl-Text-CSV-2.00-2.fc31.noarch perl-Text-CSV_XS-1.40-1.fc31.x86_64 perl-Text-Glob-0.11-9.fc31.noarch perl-Text-ParseWords-3.30-439.fc31.noarch perl-Text-Roman-3.5-14.fc31.noarch perl-Text-Tabs+Wrap-2013.0523-439.fc31.noarch perl-Text-Unidecode-1.30-10.fc31.noarch perl-Tie-Cycle-1.225-8.fc31.noarch perl-Time-HiRes-1.9760-439.fc31.x86_64 perl-Time-Local-2:1.300-1.fc31.noarch perl-TimeDate-1:2.30-19.fc31.noarch perl-Try-Tiny-0.30-7.fc31.noarch perl-UNIVERSAL-isa-1.20171012-7.fc31.noarch perl-URI-1.76-5.fc31.noarch perl-Unicode-Collate-1.27-439.fc31.x86_64 perl-Unicode-LineBreak-2019.001-4.fc31.x86_64 perl-Unicode-Map-0.112-48.fc31.x86_64 perl-Unicode-Normalize-1.26-439.fc31.x86_64 perl-Variable-Magic-0.62-8.fc31.x86_64 perl-WWW-RobotRules-6.02-23.fc31.noarch perl-XML-LibXML-1:2.0201-3.fc31.x86_64 perl-XML-LibXML-Simple-0.99-7.fc31.noarch perl-XML-LibXSLT-1.96-6.fc31.x86_64 perl-XML-NamespaceSupport-1.12-9.fc31.noarch perl-XML-Parser-2.44-17.fc31.x86_64 perl-XML-SAX-1.02-2.fc31.noarch perl-XML-SAX-Base-1.09-9.fc31.noarch perl-XML-Writer-0.625-15.fc31.noarch perl-XML-XPath-1.44-4.fc31.noarch perl-autovivification-0.18-7.fc31.x86_64 perl-constant-1.33-440.fc31.noarch perl-encoding-4:2.22-443.fc31.x86_64 perl-interpreter-4:5.30.2-451.fc31.x86_64 perl-libnet-3.11-440.fc31.noarch perl-libs-4:5.30.2-451.fc31.x86_64 perl-libwww-perl-6.43-1.fc31.noarch perl-macros-4:5.30.2-451.fc31.noarch perl-namespace-autoclean-0.29-1.fc31.noarch perl-namespace-clean-0.27-12.fc31.noarch perl-open-1.11-451.fc31.noarch perl-parent-1:0.237-439.fc31.noarch perl-podlators-1:4.12-2.fc31.noarch perl-threads-1:2.22-439.fc31.x86_64 perl-threads-shared-1.60-440.fc31.x86_64 perl-version-7:0.99.24-441.fc31.x86_64 perltidy-20190915-1.fc31.noarch pixman-0.38.4-1.fc31.x86_64 polkit-libs-0.116-4.fc31.1.x86_64 poppler-0.73.0-16.fc31.x86_64 poppler-cpp-0.73.0-16.fc31.x86_64 poppler-data-0.4.9-4.fc31.noarch poppler-glib-0.73.0-16.fc31.x86_64 poppler-utils-0.73.0-16.fc31.x86_64 python-unversioned-command-3.7.6-2.fc31.noarch python2-2.7.17-1.fc31.x86_64 python2-libs-2.7.17-1.fc31.x86_64 python3-pygments-2.4.2-2.fc31.noarch python3-setuptools-41.6.0-1.fc31.noarch qpdf-libs-8.4.2-2.fc31.x86_64 qrencode-libs-4.0.2-4.fc31.x86_64 readline-devel-8.0-3.fc31.x86_64 rest-0.8.1-6.fc31.x86_64 shared-mime-info-1.15-1.fc31.x86_64 sombok-2.4.0-10.fc31.x86_64 stix-fonts-1.1.0-15.fc31.noarch stix-math-fonts-1.1.0-15.fc31.noarch systemd-243.8-1.fc31.x86_64 systemd-pam-243.8-1.fc31.x86_64 systemd-rpm-macros-243.8-1.fc31.noarch tcl-1:8.6.8-2.fc31.x86_64 tcl-devel-1:8.6.8-2.fc31.x86_64 teckit-2.5.9-2.fc31.x86_64 tex-preview-12.1-7.fc31.noarch texlive-12many-9:svn15878.0.3-19.fc31.noarch texlive-2up-9:svn41578-19.fc31.noarch texlive-ESIEEcv-9:svn15878.0-19.fc31.noarch texlive-GS1-9:svn44822-19.fc31.noarch texlive-HA-prosper-9:svn15878.4.21-19.fc31.noarch texlive-IEEEconf-9:svn15878.1.4-19.fc31.noarch texlive-IEEEtran-9:svn51065-19.fc31.noarch texlive-SIstyle-9:svn15878.2.3a-19.fc31.noarch texlive-SIunits-9:svn15878.1.36-19.fc31.noarch texlive-Tabbing-9:svn17022.0-19.fc31.noarch texlive-a0poster-9:svn15878.1.22b-19.fc31.noarch texlive-a4wide-9:svn20943.0-19.fc31.noarch texlive-a5comb-9:svn17020.4-19.fc31.noarch texlive-aastex-9:svn47692-19.fc31.noarch texlive-abnt-9:svn49188-19.fc31.noarch texlive-abntex2-9:svn49248-19.fc31.noarch texlive-abraces-9:svn27880.2-19.fc31.noarch texlive-abstract-9:svn15878.1.2a-19.fc31.noarch texlive-academicons-9:svn48100-19.fc31.noarch texlive-accanthis-9:svn32089.0-19.fc31.noarch texlive-accents-9:svn51497-19.fc31.noarch texlive-achemso-9:svn50025-19.fc31.noarch texlive-acmart-9:svn51796-19.fc31.noarch texlive-acmconf-9:svn15878.1.3-19.fc31.noarch texlive-acro-9:svn52662-19.fc31.noarch texlive-acronym-9:svn36582.1.41-19.fc31.noarch texlive-acroterm-9:svn20498.0.1-19.fc31.noarch texlive-active-conf-9:svn15878.0.3a-19.fc31.noarch texlive-actuarialangle-9:svn51376-19.fc31.noarch texlive-actuarialsymbol-9:svn51371-19.fc31.noarch texlive-addfont-9:svn41972-19.fc31.noarch texlive-addlines-9:svn49326-19.fc31.noarch texlive-adfathesis-9:svn26048.2.42-19.fc31.noarch texlive-adforn-9:svn20019.1.001_b_2-19.fc31.noarch texlive-adfsymbols-9:svn19766.1.001-19.fc31.noarch texlive-adigraph-9:svn49862-19.fc31.noarch texlive-adjmulticol-9:svn28936.1.1-19.fc31.noarch texlive-adjustbox-9:svn49596-19.fc31.noarch texlive-adrconv-9:svn46817-19.fc31.noarch texlive-advdate-9:svn20538.0-19.fc31.noarch texlive-ae-9:svn15878.1.4-19.fc31.noarch texlive-aecc-9:svn28574.1.0-19.fc31.noarch texlive-aeguill-9:svn15878.0-19.fc31.noarch texlive-afparticle-9:svn35900.1.3-19.fc31.noarch texlive-afthesis-9:svn15878.2.7-19.fc31.noarch texlive-aguplus-9:svn17156.1.6b-19.fc31.noarch texlive-aiaa-9:svn15878.3.6-19.fc31.noarch texlive-aichej-9:svn15878.0-19.fc31.noarch texlive-ajl-9:svn34016.0-19.fc31.noarch texlive-akktex-9:svn26055.0.3.2-19.fc31.noarch texlive-akletter-9:svn15878.1.5i-19.fc31.noarch texlive-alegreya-9:svn50277-19.fc31.noarch texlive-alertmessage-9:svn38055.1.1-19.fc31.noarch texlive-alg-9:svn15878.0-19.fc31.noarch texlive-algobox-9:svn45223-19.fc31.noarch texlive-algolrevived-9:svn51210-19.fc31.noarch texlive-algorithm2e-9:svn44846-19.fc31.noarch texlive-algorithmicx-9:svn15878.0-19.fc31.noarch texlive-algorithms-9:svn42428-19.fc31.noarch texlive-aligned-overset-9:svn47290-19.fc31.noarch texlive-allrunes-9:svn42221-19.fc31.noarch texlive-almendra-9:svn51085-19.fc31.noarch texlive-almfixed-9:svn35065.0.92-19.fc31.noarch texlive-alnumsec-9:svn15878.v0.03-19.fc31.noarch texlive-alterqcm-9:svn51446-19.fc31.noarch texlive-altfont-9:svn15878.1.1-19.fc31.noarch texlive-ametsoc-9:svn36030.4.3.2-19.fc31.noarch texlive-amsaddr-9:svn29630.1.1-19.fc31.noarch texlive-amscdx-9:svn51532-19.fc31.noarch texlive-amscls-9:svn46099-19.fc31.noarch texlive-amsfonts-9:svn29208.3.04-19.fc31.noarch texlive-amsmath-9:svn49390-19.fc31.noarch texlive-amsrefs-9:svn30646.2.14-19.fc31.noarch texlive-amstex-7:20190410-8.fc31.noarch texlive-animate-9:svn51704-19.fc31.noarch texlive-anonchap-9:svn17049.1.1a-19.fc31.noarch texlive-anonymouspro-9:svn51631-19.fc31.noarch texlive-answers-9:svn35032.2.16-19.fc31.noarch texlive-antiqua-9:svn24266.001.003-19.fc31.noarch texlive-antt-9:svn18651.2.08-19.fc31.noarch texlive-anufinalexam-doc-9:svn26053.0-19.fc31.noarch texlive-anyfontsize-9:svn17050.0-19.fc31.noarch texlive-anysize-9:svn15878.0-19.fc31.noarch texlive-aobs-tikz-9:svn32662.1.0-19.fc31.noarch texlive-aomart-9:svn46091-19.fc31.noarch texlive-apa-9:svn42428-19.fc31.noarch texlive-apa6-9:svn44652-19.fc31.noarch texlive-apa6e-9:svn23350.0.3-19.fc31.noarch texlive-apacite-9:svn31264.6.03-19.fc31.noarch texlive-apalike2-9:svn15878.0-19.fc31.noarch texlive-appendix-9:svn42428-19.fc31.noarch texlive-appendixnumberbeamer-9:svn46317-19.fc31.noarch texlive-apptools-9:svn28400.1.0-19.fc31.noarch texlive-apxproof-9:svn49865-19.fc31.noarch texlive-arabicfront-9:svn51474-19.fc31.noarch texlive-archaeologie-9:svn50908-19.fc31.noarch texlive-archaic-9:svn38005.0-19.fc31.noarch texlive-arcs-9:svn15878.1-19.fc31.noarch texlive-arev-9:svn15878.0-19.fc31.noarch texlive-arimo-9:svn42880-19.fc31.noarch texlive-arphic-9:svn15878.0-19.fc31.noarch texlive-arraycols-9:svn51491-19.fc31.noarch texlive-arrayjobx-9:svn18125.1.04-19.fc31.noarch texlive-arraysort-9:svn31576.1.0-19.fc31.noarch texlive-arsclassica-9:svn45656-19.fc31.noarch texlive-articleingud-9:svn38741-19.fc31.noarch texlive-arydshln-9:svn50084-19.fc31.noarch texlive-asaetr-9:svn15878.1.0a-19.fc31.noarch texlive-asana-math-9:svn50999-19.fc31.noarch texlive-asapsym-9:svn40201-19.fc31.noarch texlive-ascelike-9:svn29129.2.3-19.fc31.noarch texlive-ascii-font-9:svn29989.2.0-19.fc31.noarch texlive-asciilist-9:svn49060-19.fc31.noarch texlive-askmaps-9:svn32320.0.1-19.fc31.noarch texlive-asmeconf-9:svn51583-19.fc31.noarch texlive-asmejour-9:svn51567-19.fc31.noarch texlive-aspectratio-9:svn25243.2.0-19.fc31.noarch texlive-assignment-9:svn20431.0-19.fc31.noarch texlive-assoccnt-9:svn38497-19.fc31.noarch texlive-astro-9:svn15878.2.20-19.fc31.noarch texlive-asyfig-9:svn17512.0.1c-19.fc31.noarch texlive-asypictureb-9:svn33490.0.3-19.fc31.noarch texlive-attachfile-9:svn42099-19.fc31.noarch texlive-aucklandthesis-9:svn51323-19.fc31.noarch texlive-augie-9:svn18948.0-19.fc31.noarch texlive-auncial-new-9:svn15878.2.0-19.fc31.noarch texlive-aurical-9:svn15878.1.5-19.fc31.noarch texlive-aurl-9:svn41853-19.fc31.noarch texlive-authoraftertitle-9:svn24863.0.9-19.fc31.noarch texlive-authorarchive-9:svn51430-19.fc31.noarch texlive-authorindex-7:20190410-8.fc31.noarch texlive-auto-pst-pdf-9:svn23723.0.6-19.fc31.noarch texlive-autoarea-9:svn15878.0.3a-19.fc31.noarch texlive-autobreak-9:svn43337-19.fc31.noarch texlive-autonum-9:svn36084.0.3.11-19.fc31.noarch texlive-autopdf-9:svn32377.1.1-19.fc31.noarch texlive-avantgar-9:svn31835.0-19.fc31.noarch texlive-avremu-9:svn35373.0.1-19.fc31.noarch texlive-axodraw2-7:20190410-8.fc31.x86_64 texlive-b1encoding-9:svn21271.1.0-19.fc31.noarch texlive-babel-9:svn51681-19.fc31.noarch texlive-babel-english-9:svn44495-19.fc31.noarch texlive-babelbib-9:svn50354-19.fc31.noarch texlive-background-9:svn42428-19.fc31.noarch texlive-backnaur-9:svn51505-19.fc31.noarch texlive-bangorcsthesis-9:svn45059-19.fc31.noarch texlive-bangorexam-9:svn46626-19.fc31.noarch texlive-bankstatement-9:svn38857-19.fc31.noarch texlive-barcodes-9:svn15878.0-19.fc31.noarch texlive-bardiag-9:svn22013.0.4a-19.fc31.noarch texlive-base-7:20190410-8.fc31.x86_64 texlive-bashful-9:svn25597.0.93-19.fc31.noarch texlive-basicarith-9:svn35460.1.1-19.fc31.noarch texlive-baskervald-9:svn19490.1.016-19.fc31.noarch texlive-baskervaldx-9:svn43461-19.fc31.noarch texlive-baskervillef-9:svn51121-19.fc31.noarch texlive-bath-bst-9:svn51595-19.fc31.noarch texlive-bbding-9:svn17186.1.01-19.fc31.noarch texlive-bbm-9:svn15878.0-19.fc31.noarch texlive-bbm-macros-9:svn17224.0-19.fc31.noarch texlive-bbold-9:svn17187.1.01-19.fc31.noarch texlive-bbold-type1-9:svn33143.0-19.fc31.noarch texlive-bchart-9:svn43928-19.fc31.noarch texlive-beamer-9:svn51711-19.fc31.noarch texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-19.fc31.noarch texlive-beamer-rl-9:svn50970-19.fc31.noarch texlive-beamer-verona-9:svn39180-19.fc31.noarch texlive-beamer2thesis-9:svn27539.2.2-19.fc31.noarch texlive-beameraudience-9:svn23427.0.1-19.fc31.noarch texlive-beamerauxtheme-9:svn51053-19.fc31.noarch texlive-beamercolorthemeowl-9:svn40105-19.fc31.noarch texlive-beamerdarkthemes-9:svn35101.0.4.1-19.fc31.noarch texlive-beamerposter-9:svn47508-19.fc31.noarch texlive-beamersubframe-9:svn23510.0.2-19.fc31.noarch texlive-beamerswitch-9:svn51341-19.fc31.noarch texlive-beamertheme-cuerna-9:svn42161-19.fc31.noarch texlive-beamertheme-detlevcm-9:svn39048-19.fc31.noarch texlive-beamertheme-epyt-9:svn41404-19.fc31.noarch texlive-beamertheme-focus-9:svn51489-19.fc31.noarch texlive-beamertheme-light-9:svn49867-19.fc31.noarch texlive-beamertheme-metropolis-9:svn43031-19.fc31.noarch texlive-beamertheme-npbt-9:svn48424-19.fc31.noarch texlive-beamertheme-phnompenh-9:svn39100-19.fc31.noarch texlive-beamertheme-saintpetersburg-9:svn45877-19.fc31.noarch texlive-beamertheme-upenn-bc-9:svn29937.1.0-19.fc31.noarch texlive-beamerthemejltree-9:svn21977.1.1-19.fc31.noarch texlive-beamerthemenirma-9:svn20765.0.1-19.fc31.noarch texlive-beebe-9:svn46314-19.fc31.noarch texlive-begriff-9:svn15878.1.6-19.fc31.noarch texlive-beilstein-9:svn46503-19.fc31.noarch texlive-belleek-9:svn18651.0-19.fc31.noarch texlive-bera-9:svn20031.0-19.fc31.noarch texlive-berenisadf-9:svn32215.1.004-19.fc31.noarch texlive-besjournals-9:svn45662-19.fc31.noarch texlive-bestpapers-9:svn38708-19.fc31.noarch texlive-beton-9:svn15878.0-19.fc31.noarch texlive-beuron-9:svn46374-19.fc31.noarch texlive-bewerbung-9:svn37880.1.1-19.fc31.noarch texlive-bez123-9:svn15878.1.1b-19.fc31.noarch texlive-bgteubner-9:svn44205-19.fc31.noarch texlive-bguq-9:svn27401.0.4-19.fc31.noarch texlive-bhcexam-9:svn39041-19.fc31.noarch texlive-bib2gls-7:20190410-8.fc31.noarch texlive-bibarts-9:svn50226-19.fc31.noarch texlive-bibexport-7:20190410-8.fc31.noarch texlive-bibhtml-9:svn31607.2.0.2-19.fc31.noarch texlive-biblatex-9:svn49069-19.fc31.noarch texlive-biblatex-abnt-9:svn49179-19.fc31.noarch texlive-biblatex-anonymous-9:svn45855-19.fc31.noarch texlive-biblatex-apa-9:svn47268-19.fc31.noarch texlive-biblatex-archaeology-9:svn49202-19.fc31.noarch texlive-biblatex-arthistory-bonn-9:svn46637-19.fc31.noarch texlive-biblatex-bath-9:svn51599-19.fc31.noarch texlive-biblatex-bookinarticle-9:svn40323-19.fc31.noarch texlive-biblatex-bookinother-9:svn45856-19.fc31.noarch texlive-biblatex-bwl-9:svn26556.0.02-19.fc31.noarch texlive-biblatex-caspervector-9:svn48122-19.fc31.noarch texlive-biblatex-chem-9:svn46441-19.fc31.noarch texlive-biblatex-chicago-9:svn46331-19.fc31.noarch texlive-biblatex-claves-9:svn43723-19.fc31.noarch texlive-biblatex-dw-9:svn42649-19.fc31.noarch texlive-biblatex-enc-9:svn44627-19.fc31.noarch texlive-biblatex-ext-9:svn50759-19.fc31.noarch texlive-biblatex-fiwi-9:svn45876-19.fc31.noarch texlive-biblatex-gb7714-2015-9:svn50661-19.fc31.noarch texlive-biblatex-gost-9:svn46709-19.fc31.noarch texlive-biblatex-historian-9:svn19787.0.4-19.fc31.noarch texlive-biblatex-ieee-9:svn51402-19.fc31.noarch texlive-biblatex-ijsra-9:svn41634-19.fc31.noarch texlive-biblatex-iso690-9:svn44066-19.fc31.noarch texlive-biblatex-juradiss-9:svn29252.0.1g-19.fc31.noarch texlive-biblatex-lni-9:svn49935-19.fc31.noarch texlive-biblatex-luh-ipw-9:svn32180.0.3-19.fc31.noarch texlive-biblatex-manuscripts-philology-9:svn45912-19.fc31.noarch texlive-biblatex-mla-9:svn42445-19.fc31.noarch texlive-biblatex-morenames-9:svn43049-19.fc31.noarch texlive-biblatex-multiple-dm-9:svn37081.1.0.1-19.fc31.noarch texlive-biblatex-musuos-9:svn24097.1.0-19.fc31.noarch texlive-biblatex-nature-9:svn43382-19.fc31.noarch texlive-biblatex-nejm-9:svn49839-19.fc31.noarch texlive-biblatex-nottsclassic-9:svn41596-19.fc31.noarch texlive-biblatex-opcit-booktitle-9:svn43621-19.fc31.noarch texlive-biblatex-oxref-9:svn50061-19.fc31.noarch texlive-biblatex-philosophy-9:svn47283-19.fc31.noarch texlive-biblatex-phys-9:svn41922-19.fc31.noarch texlive-biblatex-publist-9:svn50989-19.fc31.noarch texlive-biblatex-realauthor-9:svn45865-19.fc31.noarch texlive-biblatex-sbl-9:svn49426-19.fc31.noarch texlive-biblatex-science-9:svn42147-19.fc31.noarch texlive-biblatex-shortfields-9:svn45858-19.fc31.noarch texlive-biblatex-socialscienceshuberlin-9:svn47839-19.fc31.noarch texlive-biblatex-source-division-9:svn45379-19.fc31.noarch texlive-biblatex-subseries-9:svn43330-19.fc31.noarch texlive-biblatex-swiss-legal-9:svn32750.1.1.2a-19.fc31.noarch texlive-biblatex-trad-9:svn46668-19.fc31.noarch texlive-biblatex-true-citepages-omit-9:svn44653-19.fc31.noarch texlive-bibletext-9:svn45196-19.fc31.noarch texlive-biblist-9:svn17116.0-19.fc31.noarch texlive-bibtex-7:20190410-8.fc31.x86_64 texlive-bibtexperllibs-9:svn47520-19.fc31.noarch texlive-bibtopic-9:svn15878.1.1a-19.fc31.noarch texlive-bibtopicprefix-9:svn15878.1.10-19.fc31.noarch texlive-bibunits-9:svn15878.2.2-19.fc31.noarch texlive-bidi-9:svn51377-19.fc31.noarch texlive-bigfoot-9:svn38248.2.1-19.fc31.noarch texlive-bigints-9:svn29803.0-19.fc31.noarch texlive-binarytree-9:svn41777-19.fc31.noarch texlive-binomexp-9:svn15878.1.0-19.fc31.noarch texlive-biochemistry-colors-9:svn43960-19.fc31.noarch texlive-biocon-9:svn15878.0-19.fc31.noarch texlive-biolett-bst-9:svn42217-19.fc31.noarch texlive-bitpattern-9:svn39073-19.fc31.noarch texlive-bitter-9:svn51086-19.fc31.noarch texlive-bizcard-9:svn15878.1.1-19.fc31.noarch texlive-blacklettert1-9:svn15878.0-19.fc31.noarch texlive-blindtext-9:svn25039.2.0-19.fc31.noarch texlive-blkarray-9:svn36406.0.07-19.fc31.noarch texlive-blochsphere-9:svn38388-19.fc31.noarch texlive-block-9:svn17209.0-19.fc31.noarch texlive-bloques-9:svn22490.1.0-19.fc31.noarch texlive-blowup-9:svn46213-19.fc31.noarch texlive-blox-9:svn35014.2.5-19.fc31.noarch texlive-bnumexpr-9:svn49643-19.fc31.noarch texlive-bodegraph-9:svn20047.1.4-19.fc31.noarch texlive-bohr-9:svn37657.1.0-19.fc31.noarch texlive-boisik-9:svn15878.0.5-19.fc31.noarch texlive-boites-9:svn32235.1.1-19.fc31.noarch texlive-bold-extra-9:svn17076.0.1-19.fc31.noarch texlive-boldtensors-9:svn15878.0-19.fc31.noarch texlive-bondgraph-9:svn21670.1.0-19.fc31.noarch texlive-bondgraphs-9:svn36605.1.0.1-19.fc31.noarch texlive-bookcover-9:svn46410-19.fc31.noarch texlive-bookdb-9:svn37536.0.2-19.fc31.noarch texlive-bookest-9:svn15878.1.1-19.fc31.noarch texlive-bookhands-9:svn46480-19.fc31.noarch texlive-booklet-9:svn15878.0.7b-19.fc31.noarch texlive-bookman-9:svn31835.0-19.fc31.noarch texlive-booktabs-9:svn40846-19.fc31.noarch texlive-boolexpr-9:svn17830.3.14-19.fc31.noarch texlive-boondox-9:svn43344-19.fc31.noarch texlive-bophook-9:svn17062.0.02-19.fc31.noarch texlive-bosisio-9:svn16989.0-19.fc31.noarch texlive-boxedminipage-9:svn17087.2-19.fc31.noarch texlive-boxedminipage2e-9:svn36477.1.0-19.fc31.noarch texlive-boxhandler-9:svn28031.1.30-19.fc31.noarch texlive-bpchem-9:svn45120-19.fc31.noarch texlive-br-lex-9:svn44939-19.fc31.noarch texlive-bracketkey-9:svn17129.1.0-19.fc31.noarch texlive-braids-9:svn51048-19.fc31.noarch texlive-braille-9:svn20655.0-19.fc31.noarch texlive-braket-9:svn17127.0-19.fc31.noarch texlive-brandeis-dissertation-9:svn32047.2.0-19.fc31.noarch texlive-brandeis-problemset-9:svn50991-19.fc31.noarch texlive-breakcites-9:svn21014-19.fc31.noarch texlive-breakurl-9:svn29901.1.40-19.fc31.noarch texlive-breqn-9:svn43071-19.fc31.noarch texlive-bropd-9:svn35383.1.2-19.fc31.noarch texlive-brushscr-9:svn28363.0-19.fc31.noarch texlive-bullcntr-9:svn15878.0.04-19.fc31.noarch texlive-bussproofs-9:svn27488.1.1-19.fc31.noarch texlive-bussproofs-extra-9:svn51299-19.fc31.noarch texlive-bxcalc-9:svn46482-19.fc31.noarch texlive-bxdpx-beamer-9:svn41813-19.fc31.noarch texlive-bxdvidriver-9:svn43219-19.fc31.noarch texlive-bxeepic-9:svn30559.0.2-19.fc31.noarch texlive-bxenclose-9:svn40213-19.fc31.noarch texlive-bxnewfont-9:svn44173-19.fc31.noarch texlive-bxpapersize-9:svn45501-19.fc31.noarch texlive-bxpdfver-9:svn43201-19.fc31.noarch texlive-bxtexlogo-9:svn47230-19.fc31.noarch texlive-bytefield-9:svn45339-19.fc31.noarch texlive-cabin-9:svn50936-19.fc31.noarch texlive-cachepic-7:20190410-8.fc31.noarch texlive-caladea-9:svn34991.0-19.fc31.noarch texlive-calcage-9:svn27725.0.90-19.fc31.noarch texlive-calctab-9:svn15878.v0.6.1-19.fc31.noarch texlive-calculation-9:svn35973.1.0-19.fc31.noarch texlive-calculator-9:svn33041.2.0-19.fc31.noarch texlive-calligra-9:svn15878.0-19.fc31.noarch texlive-calligra-type1-9:svn24302.001.000-19.fc31.noarch texlive-callouts-9:svn44899-19.fc31.noarch texlive-calrsfs-9:svn17125.0-19.fc31.noarch texlive-cals-9:svn43003-19.fc31.noarch texlive-calxxxx-yyyy-9:svn49554-19.fc31.noarch texlive-cancel-9:svn32508.2.2-19.fc31.noarch texlive-canoniclayout-9:svn24523.0.4-19.fc31.noarch texlive-cantarell-9:svn51459-19.fc31.noarch texlive-capt-of-9:svn29803.0-19.fc31.noarch texlive-captcont-9:svn15878.2.0-19.fc31.noarch texlive-captdef-9:svn17353.0-19.fc31.noarch texlive-caption-9:svn47968-19.fc31.noarch texlive-carbohydrates-9:svn39000-19.fc31.noarch texlive-carlisle-9:svn47876-19.fc31.noarch texlive-carlito-9:svn35002.0-19.fc31.noarch texlive-carolmin-ps-9:svn15878.0-19.fc31.noarch texlive-cascade-9:svn48200-19.fc31.noarch texlive-cascadilla-9:svn25144.1.8.2-19.fc31.noarch texlive-cases-9:svn17123.2.5-19.fc31.noarch texlive-casyl-9:svn15878.2.0-19.fc31.noarch texlive-catchfilebetweentags-9:svn21476.1.1-19.fc31.noarch texlive-catcodes-9:svn38859-19.fc31.noarch texlive-catechis-9:svn49061-19.fc31.noarch texlive-catoptions-9:svn35069.0.2.7h-19.fc31.noarch texlive-cbcoptic-9:svn16666.0.2-19.fc31.noarch texlive-cbfonts-fd-9:svn44917-19.fc31.noarch texlive-ccaption-9:svn23443.3.2c-19.fc31.noarch texlive-ccfonts-9:svn17122.1.1-19.fc31.noarch texlive-ccicons-9:svn45646-19.fc31.noarch texlive-cclicenses-9:svn15878.0-19.fc31.noarch texlive-cd-9:svn34452.1.4-19.fc31.noarch texlive-cd-cover-9:svn17121.1.0-19.fc31.noarch texlive-cdpbundl-9:svn46613-19.fc31.noarch texlive-cell-9:svn42428-19.fc31.noarch texlive-cellprops-9:svn48227-19.fc31.noarch texlive-cellspace-9:svn50374-19.fc31.noarch texlive-celtic-9:svn39797-19.fc31.noarch texlive-censor-9:svn49168-19.fc31.noarch texlive-centeredline-9:svn50971-19.fc31.noarch texlive-cesenaexam-9:svn44960-19.fc31.noarch texlive-cfr-initials-9:svn36728.1.01-19.fc31.noarch texlive-cfr-lm-9:svn36195.1.5-19.fc31.noarch texlive-changebar-9:svn46919-19.fc31.noarch texlive-changelayout-9:svn16094.1.0-19.fc31.noarch texlive-changelog-9:svn51574-19.fc31.noarch texlive-changepage-9:svn15878.1.0c-19.fc31.noarch texlive-changes-9:svn51705-19.fc31.noarch texlive-chappg-9:svn15878.2.1b-19.fc31.noarch texlive-chapterfolder-9:svn15878.2.0.1-19.fc31.noarch texlive-charter-9:svn15878.0-19.fc31.noarch texlive-chbibref-9:svn17120.1.0-19.fc31.noarch texlive-cheatsheet-9:svn45069-19.fc31.noarch texlive-checkend-9:svn51475-19.fc31.noarch texlive-chem-journal-9:svn15878.0-19.fc31.noarch texlive-chemarrow-9:svn17146.0.9-19.fc31.noarch texlive-chembst-9:svn15878.0.2.5-19.fc31.noarch texlive-chemcompounds-9:svn15878.0-19.fc31.noarch texlive-chemcono-9:svn17119.1.3-19.fc31.noarch texlive-chemexec-9:svn21632.1.0-19.fc31.noarch texlive-chemfig-9:svn51176-19.fc31.noarch texlive-chemformula-9:svn43583-19.fc31.noarch texlive-chemgreek-9:svn42758-19.fc31.noarch texlive-chemmacros-9:svn45164-19.fc31.noarch texlive-chemnum-9:svn40522-19.fc31.noarch texlive-chemschemex-9:svn46723-19.fc31.noarch texlive-chemsec-9:svn46972-19.fc31.noarch texlive-chemstyle-9:svn31096.2.0m-19.fc31.noarch texlive-cherokee-9:svn21046.0-19.fc31.noarch texlive-chessfss-9:svn19440.1.2a-19.fc31.noarch texlive-chet-9:svn45081-19.fc31.noarch texlive-chextras-9:svn27118.1.01-19.fc31.noarch texlive-chicago-9:svn15878.0-19.fc31.noarch texlive-chicago-annote-9:svn15878.0-19.fc31.noarch texlive-childdoc-9:svn49543-19.fc31.noarch texlive-chivo-9:svn51689-19.fc31.noarch texlive-chkfloat-9:svn27473.0.1-19.fc31.noarch texlive-chletter-9:svn20060.2.0-19.fc31.noarch texlive-chngcntr-9:svn47577-19.fc31.noarch texlive-chronology-9:svn37934.1.1.1-19.fc31.noarch texlive-chs-physics-report-9:svn48549-19.fc31.noarch texlive-chscite-9:svn28552.2.9999-19.fc31.noarch texlive-cinzel-9:svn51101-19.fc31.noarch texlive-circ-9:svn15878.1.1-19.fc31.noarch texlive-circuitikz-9:svn51634-19.fc31.noarch texlive-cite-9:svn36428.5.5-19.fc31.noarch texlive-citeall-9:svn45975-19.fc31.noarch texlive-citeref-9:svn47407-19.fc31.noarch texlive-cje-9:svn46721-19.fc31.noarch texlive-cjk-9:svn36951.4.8.4-19.fc31.noarch texlive-classics-9:svn29018.0.1-19.fc31.noarch texlive-classicthesis-9:svn48041-19.fc31.noarch texlive-classpack-9:svn33101.0.77-19.fc31.noarch texlive-cleanthesis-9:svn51472-19.fc31.noarch texlive-clearsans-9:svn34405.0-19.fc31.noarch texlive-clefval-9:svn16549.0-19.fc31.noarch texlive-cleveref-9:svn47525-19.fc31.noarch texlive-clipboard-9:svn47747-19.fc31.noarch texlive-clock-9:svn15878.0-19.fc31.noarch texlive-cloze-9:svn41531-19.fc31.noarch texlive-clrdblpg-9:svn47511-19.fc31.noarch texlive-clrscode-9:svn51136-19.fc31.noarch texlive-clrscode3e-9:svn51137-19.fc31.noarch texlive-clrstrip-9:svn51307-19.fc31.noarch texlive-cm-9:svn49028-19.fc31.noarch texlive-cm-lgc-9:svn28250.0.5-19.fc31.noarch texlive-cm-mf-extra-bold-9:svn45796-19.fc31.noarch texlive-cm-super-9:svn15878.0-19.fc31.noarch texlive-cm-unicode-9:svn19445.0.7.0-19.fc31.noarch texlive-cmap-9:svn42428-19.fc31.noarch texlive-cmbright-9:svn21107.8.1-19.fc31.noarch texlive-cmdstring-9:svn15878.1.1-19.fc31.noarch texlive-cmdtrack-9:svn28910-19.fc31.noarch texlive-cmexb-9:svn45677-19.fc31.noarch texlive-cmextra-9:svn42428-19.fc31.noarch texlive-cmll-9:svn17964.0-19.fc31.noarch texlive-cmpica-9:svn15878.0-19.fc31.noarch texlive-cmpj-9:svn51661-19.fc31.noarch texlive-cmsd-9:svn18787.0-19.fc31.noarch texlive-cmsrb-9:svn50531-19.fc31.noarch texlive-cmtiup-9:svn39728-19.fc31.noarch texlive-cnltx-9:svn38138.0.13-19.fc31.noarch texlive-cns-9:svn45677-19.fc31.noarch texlive-cntformats-9:svn34668.0.7-19.fc31.noarch texlive-cntperchap-9:svn37572.0.3-19.fc31.noarch texlive-cochineal-9:svn51460-19.fc31.noarch texlive-codeanatomy-9:svn51627-19.fc31.noarch texlive-codedoc-9:svn17630.0.3-19.fc31.noarch texlive-codepage-9:svn51502-19.fc31.noarch texlive-codesection-9:svn34481.0.1-19.fc31.noarch texlive-coelacanth-9:svn45270-19.fc31.noarch texlive-collcell-9:svn21539.0.5-19.fc31.noarch texlive-collectbox-9:svn26557.0.4b-19.fc31.noarch texlive-collection-basic-9:svn51558-19.fc31.noarch texlive-collection-bibtexextra-9:svn50619-19.fc31.noarch texlive-collection-fontsextra-9:svn51763-19.fc31.noarch texlive-collection-fontsrecommended-9:svn35830.0-19.fc31.noarch texlive-collection-latex-9:svn41614-19.fc31.noarch texlive-collection-latexextra-9:svn51779-19.fc31.noarch texlive-collection-latexrecommended-9:svn51780-19.fc31.noarch texlive-collection-mathscience-9:svn51797-19.fc31.noarch texlive-collection-pictures-9:svn51051-19.fc31.noarch texlive-collection-publishers-9:svn51691-19.fc31.noarch texlive-collref-9:svn46358-19.fc31.noarch texlive-colophon-9:svn47913-19.fc31.noarch texlive-colordoc-9:svn18270.0-19.fc31.noarch texlive-colorinfo-9:svn15878.0.3c-19.fc31.noarch texlive-coloring-9:svn41042-19.fc31.noarch texlive-colorprofiles-9:svn49086-19.fc31.noarch texlive-colorspace-9:svn50585-19.fc31.noarch texlive-colortab-9:svn22155.1.0-19.fc31.noarch texlive-colortbl-9:svn49404-19.fc31.noarch texlive-colorwav-9:svn15878.1.0-19.fc31.noarch texlive-colorweb-9:svn31490.1.3-19.fc31.noarch texlive-colourchange-9:svn21741.1.22-19.fc31.noarch texlive-combelow-9:svn18462.0.99f-19.fc31.noarch texlive-combine-9:svn19361.0.7a-19.fc31.noarch texlive-combinedgraphics-9:svn27198.0.2.2-19.fc31.noarch texlive-comfortaa-9:svn51461-19.fc31.noarch texlive-comicneue-9:svn42851-19.fc31.noarch texlive-comma-9:svn18259.1.2-19.fc31.noarch texlive-commado-9:svn38875-19.fc31.noarch texlive-commath-9:svn15878.0.3-19.fc31.noarch texlive-commedit-9:svn50116-19.fc31.noarch texlive-comment-9:svn41927-19.fc31.noarch texlive-compactbib-9:svn15878.0-19.fc31.noarch texlive-competences-9:svn47573-19.fc31.noarch texlive-complexity-9:svn45322-19.fc31.noarch texlive-computational-complexity-9:svn44847-19.fc31.noarch texlive-concepts-9:svn29020.0.0.5_r1-19.fc31.noarch texlive-concmath-9:svn17219.0-19.fc31.noarch texlive-concmath-fonts-9:svn17218.0-19.fc31.noarch texlive-concprog-9:svn18791.0-19.fc31.noarch texlive-concrete-9:svn15878.0-19.fc31.noarch texlive-confproc-9:svn29349.0.8-19.fc31.noarch texlive-constants-9:svn15878.1.0-19.fc31.noarch texlive-conteq-9:svn37868.0.1.1-19.fc31.noarch texlive-continue-9:svn49449-19.fc31.noarch texlive-contour-9:svn18950.2.14-19.fc31.noarch texlive-contracard-9:svn50217-19.fc31.noarch texlive-conv-xkv-9:svn43558-19.fc31.noarch texlive-cooking-9:svn15878.0.9b-19.fc31.noarch texlive-cooking-units-9:svn47943-19.fc31.noarch texlive-cookingsymbols-9:svn35929.1.1-19.fc31.noarch texlive-cool-9:svn15878.1.35-19.fc31.noarch texlive-coollist-9:svn15878.1.4-19.fc31.noarch texlive-coolstr-9:svn15878.2.2-19.fc31.noarch texlive-coolthms-9:svn29062.1.2-19.fc31.noarch texlive-cooltooltips-9:svn15878.1.0-19.fc31.noarch texlive-coordsys-9:svn15878.1.4-19.fc31.noarch texlive-copyedit-9:svn37928.1.6-19.fc31.noarch texlive-copyrightbox-9:svn24829.0.1-19.fc31.noarch texlive-cormorantgaramond-9:svn51443-19.fc31.noarch texlive-correctmathalign-9:svn44131-19.fc31.noarch texlive-coseoul-9:svn23862.1.1-19.fc31.noarch texlive-countriesofeurope-9:svn49525-19.fc31.noarch texlive-counttexruns-9:svn27576.1.00a-19.fc31.noarch texlive-courier-9:svn35058.0-19.fc31.noarch texlive-courier-scaled-9:svn24940.0-19.fc31.noarch texlive-courseoutline-9:svn15878.1.0-19.fc31.noarch texlive-coursepaper-9:svn15878.2.0-19.fc31.noarch texlive-coverpage-9:svn15878.1.01-19.fc31.noarch texlive-cprotect-9:svn21209.1.0e-19.fc31.noarch texlive-cquthesis-9:svn46863-19.fc31.noarch texlive-crbox-9:svn29803.0.1-19.fc31.noarch texlive-crimson-9:svn43525-19.fc31.noarch texlive-crimsonpro-9:svn49568-19.fc31.noarch texlive-crop-9:svn15878.1.5-19.fc31.noarch texlive-crossreference-9:svn15878.0-19.fc31.noarch texlive-crossreftools-9:svn49589-19.fc31.noarch texlive-crossrefware-7:20190410-8.fc31.noarch texlive-cryptocode-9:svn49131-19.fc31.noarch texlive-cryst-9:svn15878.0-19.fc31.noarch texlive-csquotes-9:svn51091-19.fc31.noarch texlive-css-colors-9:svn43961-19.fc31.noarch texlive-csvsimple-9:svn51010-19.fc31.noarch texlive-ctable-9:svn38672-19.fc31.noarch texlive-ctex-9:svn51262-19.fc31.noarch texlive-ctib-9:svn15878.0-19.fc31.noarch texlive-cuisine-9:svn34453.0.7-19.fc31.noarch texlive-cuprum-9:svn49909-19.fc31.noarch texlive-currency-9:svn44489-19.fc31.noarch texlive-currfile-9:svn40725-19.fc31.noarch texlive-currvita-9:svn15878.0-19.fc31.noarch texlive-curve-9:svn20745.1.16-19.fc31.noarch texlive-curve2e-9:svn50663-19.fc31.noarch texlive-curves-9:svn45255-19.fc31.noarch texlive-custom-bib-9:svn24729.4.33-19.fc31.noarch texlive-cutwin-9:svn29803.0.1-19.fc31.noarch texlive-cv-9:svn15878.0-19.fc31.noarch texlive-cv4tw-9:svn34577.0.2-19.fc31.noarch texlive-cweb-latex-9:svn28878.0-19.fc31.noarch texlive-cyber-9:svn46776-19.fc31.noarch texlive-cybercic-9:svn37659.2.1-19.fc31.noarch texlive-cyklop-9:svn18651.0.915-19.fc31.noarch texlive-dancers-9:svn13293.0-19.fc31.noarch texlive-dantelogo-9:svn38599-19.fc31.noarch texlive-dashbox-9:svn23425.1.14-19.fc31.noarch texlive-dashrule-9:svn29579.1.3-19.fc31.noarch texlive-dashundergaps-9:svn49198-19.fc31.noarch texlive-dataref-9:svn42883-19.fc31.noarch texlive-datatool-9:svn49344-19.fc31.noarch texlive-dateiliste-9:svn27974.0.6-19.fc31.noarch texlive-datenumber-9:svn18951.0.02-19.fc31.noarch texlive-datetime-9:svn36650.2.60-19.fc31.noarch texlive-datetime2-9:svn48236-19.fc31.noarch texlive-datetime2-bahasai-9:svn46287-19.fc31.noarch texlive-datetime2-basque-9:svn47064-19.fc31.noarch texlive-datetime2-breton-9:svn47030-19.fc31.noarch texlive-datetime2-bulgarian-9:svn47031-19.fc31.noarch texlive-datetime2-catalan-9:svn47032-19.fc31.noarch texlive-datetime2-croatian-9:svn36682.1.0-19.fc31.noarch texlive-datetime2-czech-9:svn47033-19.fc31.noarch texlive-datetime2-danish-9:svn47034-19.fc31.noarch texlive-datetime2-dutch-9:svn47355-19.fc31.noarch texlive-datetime2-en-fulltext-9:svn36705.1.0-19.fc31.noarch texlive-datetime2-english-9:svn39991-19.fc31.noarch texlive-datetime2-esperanto-9:svn47356-19.fc31.noarch texlive-datetime2-estonian-9:svn47565-19.fc31.noarch texlive-datetime2-finnish-9:svn47047-19.fc31.noarch texlive-datetime2-french-9:svn43742-19.fc31.noarch texlive-datetime2-galician-9:svn47631-19.fc31.noarch texlive-datetime2-german-9:svn45800-19.fc31.noarch texlive-datetime2-greek-9:svn47533-19.fc31.noarch texlive-datetime2-hebrew-9:svn47534-19.fc31.noarch texlive-datetime2-icelandic-9:svn47501-19.fc31.noarch texlive-datetime2-irish-9:svn47632-19.fc31.noarch texlive-datetime2-it-fulltext-9:svn38093.1.6-19.fc31.noarch texlive-datetime2-italian-9:svn37146.1.3-19.fc31.noarch texlive-datetime2-latin-9:svn47748-19.fc31.noarch texlive-datetime2-lsorbian-9:svn47749-19.fc31.noarch texlive-datetime2-magyar-9:svn48266-19.fc31.noarch texlive-datetime2-norsk-9:svn48267-19.fc31.noarch texlive-datetime2-polish-9:svn36692.1.0-19.fc31.noarch texlive-datetime2-portuges-9:svn36670.1.0-19.fc31.noarch texlive-datetime2-romanian-9:svn43743-19.fc31.noarch texlive-datetime2-russian-9:svn49345-19.fc31.noarch texlive-datetime2-samin-9:svn49346-19.fc31.noarch texlive-datetime2-scottish-9:svn36625.1.0-19.fc31.noarch texlive-datetime2-serbian-9:svn36699.1.0-19.fc31.noarch texlive-datetime2-slovak-9:svn36700.1.0-19.fc31.noarch texlive-datetime2-slovene-9:svn36700.1.0-19.fc31.noarch texlive-datetime2-spanish-9:svn45785-19.fc31.noarch texlive-datetime2-swedish-9:svn36700.1.0-19.fc31.noarch texlive-datetime2-turkish-9:svn36700.1.0-19.fc31.noarch texlive-datetime2-ukrainian-9:svn47552-19.fc31.noarch texlive-datetime2-usorbian-9:svn36700.1.0-19.fc31.noarch texlive-datetime2-welsh-9:svn36636.1.0-19.fc31.noarch texlive-dblfloatfix-9:svn28983.1.0a-19.fc31.noarch texlive-dccpaper-9:svn50294-19.fc31.noarch texlive-dcpic-9:svn30206.5.0.0-19.fc31.noarch texlive-decimal-9:svn23374.0-19.fc31.noarch texlive-decorule-9:svn23487.0.6-19.fc31.noarch texlive-dehyph-9:svn48599-19.fc31.noarch texlive-dejavu-9:svn31771.2.34-19.fc31.noarch texlive-dejavu-otf-9:svn45991-19.fc31.noarch texlive-delim-9:svn23974.1.0-19.fc31.noarch texlive-delimseasy-9:svn39589-19.fc31.noarch texlive-delimset-9:svn49544-19.fc31.noarch texlive-delimtxt-9:svn16549.0-19.fc31.noarch texlive-denisbdoc-9:svn42829-19.fc31.noarch texlive-derivative-9:svn51696-19.fc31.noarch texlive-dhua-9:svn24035.0.11-19.fc31.noarch texlive-diagbox-9:svn42843-19.fc31.noarch texlive-diagmac2-9:svn15878.2.1-19.fc31.noarch texlive-diagnose-9:svn19387.0.2-19.fc31.noarch texlive-dialogl-9:svn28946.0-19.fc31.noarch texlive-dice-9:svn28501.0-19.fc31.noarch texlive-dichokey-9:svn17192.0-19.fc31.noarch texlive-dictsym-9:svn20031.0-19.fc31.noarch texlive-diffcoeff-9:svn50320-19.fc31.noarch texlive-digiconfigs-9:svn15878.0.5-19.fc31.noarch texlive-dijkstra-9:svn45256-19.fc31.noarch texlive-din1505-9:svn19441.0-19.fc31.noarch texlive-dinbrief-9:svn15878.0-19.fc31.noarch texlive-dingbat-9:svn27918.1.0-19.fc31.noarch texlive-directory-9:svn15878.1.20-19.fc31.noarch texlive-dirtree-9:svn42428-19.fc31.noarch texlive-dirtytalk-9:svn20520.1.0-19.fc31.noarch texlive-dithesis-9:svn34295.0.2-19.fc31.noarch texlive-dk-bib-9:svn15878.0.6-19.fc31.noarch texlive-dlfltxb-9:svn17337.0-19.fc31.noarch texlive-dnaseq-9:svn17194.0.01-19.fc31.noarch texlive-doc-pictex-doc-9:svn24927.0-19.fc31.noarch texlive-doclicense-9:svn51332-19.fc31.noarch texlive-docmfp-9:svn15878.1.2d-19.fc31.noarch texlive-docmute-9:svn25741.1.4-19.fc31.noarch texlive-doctools-9:svn34474.0.1-19.fc31.noarch texlive-documentation-9:svn34521.0.1-19.fc31.noarch texlive-doi-9:svn15878.0-19.fc31.noarch texlive-doipubmed-9:svn15878.1.01-19.fc31.noarch texlive-dot2texi-9:svn26237.3.0-19.fc31.noarch texlive-dotarrow-9:svn15878.0.01a-19.fc31.noarch texlive-dotlessi-9:svn51476-19.fc31.noarch texlive-dotseqn-9:svn17195.1.1-19.fc31.noarch texlive-dottex-9:svn15878.0.6-19.fc31.noarch texlive-doublestroke-9:svn15878.1.111-19.fc31.noarch texlive-dowith-9:svn38860-19.fc31.noarch texlive-download-9:svn30695.1.1-19.fc31.noarch texlive-dox-9:svn46011-19.fc31.noarch texlive-dozenal-9:svn47680-19.fc31.noarch texlive-dpfloat-9:svn17196.0-19.fc31.noarch texlive-dprogress-9:svn15878.0.1-19.fc31.noarch texlive-drac-9:svn15878.1-19.fc31.noarch texlive-draftcopy-9:svn15878.2.16-19.fc31.noarch texlive-draftfigure-9:svn44854-19.fc31.noarch texlive-draftwatermark-9:svn37498.1.2-19.fc31.noarch texlive-dratex-9:svn15878.0-19.fc31.noarch texlive-drawmatrix-9:svn44471-19.fc31.noarch texlive-drawstack-9:svn28582.0-19.fc31.noarch texlive-drm-9:svn38157.4.4-19.fc31.noarch texlive-droid-9:svn51468-19.fc31.noarch texlive-drs-9:svn19232.1.1b-19.fc31.noarch texlive-dsserif-9:svn47570-19.fc31.noarch texlive-dtk-9:svn50789-19.fc31.noarch texlive-dtxdescribe-9:svn51652-19.fc31.noarch texlive-dtxgallery-doc-9:svn49504-19.fc31.noarch texlive-ducksay-9:svn51364-19.fc31.noarch texlive-duckuments-9:svn51308-19.fc31.noarch texlive-duerer-9:svn20741.0-19.fc31.noarch texlive-duerer-latex-9:svn15878.1.1-19.fc31.noarch texlive-duotenzor-9:svn18728.1.00-19.fc31.noarch texlive-dutchcal-9:svn23448.1.0-19.fc31.noarch texlive-dvdcoll-9:svn15878.v1.1a-19.fc31.noarch texlive-dvipdfmx-7:20190410-8.fc31.x86_64 texlive-dvips-7:20190410-8.fc31.x86_64 texlive-dvisvgm-7:20190410-8.fc31.x86_64 texlive-dynamicnumber-9:svn38726-19.fc31.noarch texlive-dynblocks-9:svn35193.0.2b-19.fc31.noarch texlive-dynkin-diagrams-9:svn49808-19.fc31.noarch texlive-dyntree-9:svn15878.1.0-19.fc31.noarch texlive-ean-9:svn20851.0-19.fc31.noarch texlive-ean13isbn-9:svn15878.0-19.fc31.noarch texlive-easy-9:svn19440.0.99-19.fc31.noarch texlive-easy-todo-9:svn32677.0-19.fc31.noarch texlive-easyfig-9:svn47193-19.fc31.noarch texlive-easyformat-9:svn44543-19.fc31.noarch texlive-easylist-9:svn32661.1.3-19.fc31.noarch texlive-easyreview-9:svn38352.1.0-19.fc31.noarch texlive-ebezier-9:svn15878.4-19.fc31.noarch texlive-ebgaramond-9:svn51134-19.fc31.noarch texlive-ebgaramond-maths-9:svn35701.1.1-19.fc31.noarch texlive-ebook-9:svn29466.0-19.fc31.noarch texlive-ebproof-9:svn44392-19.fc31.noarch texlive-ebsthesis-9:svn15878.1.0-19.fc31.noarch texlive-ec-9:svn25033.1.0-19.fc31.noarch texlive-ecc-9:svn15878.0-19.fc31.noarch texlive-ecclesiastic-9:svn38172.0.3-19.fc31.noarch texlive-ecgdraw-9:svn41617-19.fc31.noarch texlive-eco-9:svn29349.1.3-19.fc31.noarch texlive-ecobiblatex-9:svn39233-19.fc31.noarch texlive-econometrics-9:svn39396-19.fc31.noarch texlive-economic-9:svn32639.0-19.fc31.noarch texlive-ecothesis-9:svn48007-19.fc31.noarch texlive-ecv-9:svn24928.0.3-19.fc31.noarch texlive-ed-9:svn25231.1.8-19.fc31.noarch texlive-edmargin-9:svn27599.1.2-19.fc31.noarch texlive-eemeir-9:svn15878.1.1b-19.fc31.noarch texlive-eepic-9:svn15878.1.1e-19.fc31.noarch texlive-efbox-9:svn33236.1.0-19.fc31.noarch texlive-egplot-9:svn20617.1.02a-19.fc31.noarch texlive-ehhline-9:svn51122-19.fc31.noarch texlive-eiad-9:svn15878.0-19.fc31.noarch texlive-eiad-ltx-9:svn15878.1.0-19.fc31.noarch texlive-ejpecp-9:svn50761-19.fc31.noarch texlive-ekaia-9:svn49594-19.fc31.noarch texlive-elbioimp-9:svn21758.1.2-19.fc31.noarch texlive-electrum-9:svn19705.1.005_b-19.fc31.noarch texlive-elegantbook-9:svn51246-19.fc31.noarch texlive-elegantnote-9:svn49926-19.fc31.noarch texlive-elegantpaper-9:svn50679-19.fc31.noarch texlive-elements-9:svn46505-19.fc31.noarch texlive-ellipse-9:svn39025-19.fc31.noarch texlive-ellipsis-9:svn15878.0-19.fc31.noarch texlive-elmath-9:svn15878.v1.2-19.fc31.noarch texlive-elocalloc-9:svn42712-19.fc31.noarch texlive-elpres-9:svn46429-19.fc31.noarch texlive-els-cas-templates-9:svn50820-19.fc31.noarch texlive-elsarticle-9:svn50786-19.fc31.noarch texlive-elteikthesis-9:svn22513.1.2-19.fc31.noarch texlive-eltex-9:svn15878.2.0-19.fc31.noarch texlive-elvish-9:svn15878.0-19.fc31.noarch texlive-elzcards-9:svn44785-19.fc31.noarch texlive-emarks-9:svn24504.1.0-19.fc31.noarch texlive-embedall-9:svn51177-19.fc31.noarch texlive-embrac-9:svn44757-19.fc31.noarch texlive-emf-9:svn42023-19.fc31.noarch texlive-emisa-9:svn46734-19.fc31.noarch texlive-emptypage-9:svn18064.1.2-19.fc31.noarch texlive-emulateapj-9:svn28469.0-19.fc31.noarch texlive-enctex-9:svn34957.0-19.fc31.noarch texlive-endfloat-9:svn51003-19.fc31.noarch texlive-endheads-9:svn43750-19.fc31.noarch texlive-endiagram-9:svn34486.0.1d-19.fc31.noarch texlive-endnotes-9:svn17197.0-19.fc31.noarch texlive-endofproofwd-9:svn45116-19.fc31.noarch texlive-engpron-9:svn16558.2-19.fc31.noarch texlive-engrec-9:svn15878.1.1-19.fc31.noarch texlive-engtlc-9:svn28571.3.2-19.fc31.noarch texlive-enotez-9:svn44024-19.fc31.noarch texlive-enumitem-9:svn51423-19.fc31.noarch texlive-enumitem-zref-9:svn21472.1.8-19.fc31.noarch texlive-envbig-9:svn15878.0-19.fc31.noarch texlive-environ-9:svn33821.0.3-19.fc31.noarch texlive-envlab-9:svn15878.1.2-19.fc31.noarch texlive-epigrafica-9:svn17210.1.01-19.fc31.noarch texlive-epigraph-9:svn15878.1.5c-19.fc31.noarch texlive-epiolmec-9:svn15878.0-19.fc31.noarch texlive-epsdice-9:svn15878.2.1-19.fc31.noarch texlive-epsf-9:svn21461.2.7.4-19.fc31.noarch texlive-epspdf-7:20190410-8.fc31.noarch texlive-epspdfconversion-9:svn18703.0.61-19.fc31.noarch texlive-eqell-9:svn22931.0-19.fc31.noarch texlive-eqexpl-9:svn51524-19.fc31.noarch texlive-eqlist-9:svn32257.2.1-19.fc31.noarch texlive-eqnalign-9:svn43278-19.fc31.noarch texlive-eqname-9:svn20678.0-19.fc31.noarch texlive-eqnarray-9:svn20641.1.3-19.fc31.noarch texlive-eqnnumwarn-9:svn45511-19.fc31.noarch texlive-eqparbox-9:svn45215-19.fc31.noarch texlive-erdc-9:svn15878.1.1-19.fc31.noarch texlive-erewhon-9:svn51361-19.fc31.noarch texlive-errata-9:svn42428-19.fc31.noarch texlive-erw-l3-9:svn48069-19.fc31.noarch texlive-esami-9:svn47639-19.fc31.noarch texlive-esdiff-9:svn21385.1.2-19.fc31.noarch texlive-esint-9:svn51679-19.fc31.noarch texlive-esint-type1-9:svn15878.0-19.fc31.noarch texlive-esk-9:svn18115.1.0-19.fc31.noarch texlive-eso-pic-9:svn47694-19.fc31.noarch texlive-esrelation-9:svn37236.0-19.fc31.noarch texlive-esstix-9:svn22426.1.0-19.fc31.noarch texlive-estcpmm-9:svn17335.0.4-19.fc31.noarch texlive-esvect-9:svn32098.1.3-19.fc31.noarch texlive-etaremune-9:svn15878.v1.2-19.fc31.noarch texlive-etex-9:svn37057.0-19.fc31.noarch texlive-etex-pkg-9:svn41784-19.fc31.noarch texlive-etextools-9:svn20694.3.1415926-19.fc31.noarch texlive-etoc-9:svn50317-19.fc31.noarch texlive-etoolbox-9:svn46602-19.fc31.noarch texlive-etsvthor-9:svn48186-19.fc31.noarch texlive-euenc-9:svn19795.0.1h-19.fc31.noarch texlive-euflag-9:svn49970-19.fc31.noarch texlive-eukdate-9:svn15878.1.04-19.fc31.noarch texlive-euler-9:svn42428-19.fc31.noarch texlive-eulerpx-9:svn43735-19.fc31.noarch texlive-eulervm-9:svn15878.4.0-19.fc31.noarch texlive-euro-9:svn22191.1.1-19.fc31.noarch texlive-euro-ce-9:svn25714-19.fc31.noarch texlive-europasscv-9:svn49703-19.fc31.noarch texlive-europecv-9:svn50470-19.fc31.noarch texlive-eurosym-9:svn17265.1.4_subrfix-19.fc31.noarch texlive-euxm-9:svn45696-19.fc31.noarch texlive-everyhook-9:svn35675.1.2-19.fc31.noarch texlive-everypage-9:svn15878.1.1-19.fc31.noarch texlive-exam-9:svn46084-19.fc31.noarch texlive-exam-n-9:svn42755-19.fc31.noarch texlive-exam-randomizechoices-9:svn49662-19.fc31.noarch texlive-examdesign-9:svn15878.1.02-19.fc31.noarch texlive-example-9:svn33398.0-19.fc31.noarch texlive-examplep-9:svn16916.0.04-19.fc31.noarch texlive-exceltex-7:20190410-8.fc31.noarch texlive-excludeonly-9:svn17262.1.0-19.fc31.noarch texlive-exercise-9:svn35417.1.6-19.fc31.noarch texlive-exercisebank-9:svn50448-19.fc31.noarch texlive-exercisepoints-9:svn49590-19.fc31.noarch texlive-exercises-9:svn42428-19.fc31.noarch texlive-exframe-9:svn51388-19.fc31.noarch texlive-exp-testopt-9:svn15878.0.3-19.fc31.noarch texlive-expdlist-9:svn15878.2.4-19.fc31.noarch texlive-export-9:svn27206.1.8-19.fc31.noarch texlive-exsheets-9:svn43188-19.fc31.noarch texlive-exsol-9:svn41377-19.fc31.noarch texlive-extarrows-9:svn15878.1.0b-19.fc31.noarch texlive-extpfeil-9:svn16243.0.4-19.fc31.noarch texlive-extract-9:svn15878.1.8-19.fc31.noarch texlive-extsizes-9:svn17263.1.4a-19.fc31.noarch texlive-facsimile-9:svn21328.1.0-19.fc31.noarch texlive-factura-9:svn48333-19.fc31.noarch texlive-facture-belge-simple-sans-tva-9:svn49004-19.fc31.noarch texlive-faktor-9:svn15878.0.1b-19.fc31.noarch texlive-fancybox-9:svn18304.1.4-19.fc31.noarch texlive-fancyhandout-9:svn46411-19.fc31.noarch texlive-fancyhdr-9:svn49886-19.fc31.noarch texlive-fancylabel-9:svn46736-19.fc31.noarch texlive-fancynum-9:svn15878.0.92-19.fc31.noarch texlive-fancypar-9:svn49801-19.fc31.noarch texlive-fancyref-9:svn15878.0.9c-19.fc31.noarch texlive-fancyslides-9:svn36263.1.0-19.fc31.noarch texlive-fancytabs-9:svn27684.1.8-19.fc31.noarch texlive-fancytooltips-9:svn27129.1.8-19.fc31.noarch texlive-fancyvrb-9:svn49717-19.fc31.noarch texlive-fascicules-9:svn49457-19.fc31.noarch texlive-fast-diagram-9:svn29264.1.1-19.fc31.noarch texlive-fbb-9:svn45277-19.fc31.noarch texlive-fbithesis-9:svn21340.1.2m-19.fc31.noarch texlive-fbox-9:svn50305-19.fc31.noarch texlive-fbs-9:svn15878.0-19.fc31.noarch texlive-fcavtex-9:svn38074.1.1-19.fc31.noarch texlive-fcltxdoc-9:svn24500.1.0-19.fc31.noarch texlive-fcolumn-9:svn50937-19.fc31.noarch texlive-fdsymbol-9:svn26722.0.8-19.fc31.noarch texlive-fei-9:svn51287-19.fc31.noarch texlive-fetamont-9:svn43812-19.fc31.noarch texlive-fetchcls-9:svn45245-19.fc31.noarch texlive-feyn-9:svn45679-19.fc31.noarch texlive-feynmf-9:svn17259.1.08-19.fc31.noarch texlive-ffslides-9:svn38895-19.fc31.noarch texlive-fge-9:svn37628.1.25-19.fc31.noarch texlive-fgruler-9:svn42966-19.fc31.noarch texlive-fibeamer-9:svn44239-19.fc31.noarch texlive-fifo-stack-9:svn33288.1.0-19.fc31.noarch texlive-fig4latex-7:20190410-8.fc31.noarch texlive-figbib-9:svn19388.0-19.fc31.noarch texlive-figsize-9:svn18784.0.1-19.fc31.noarch texlive-filecontents-9:svn47890-19.fc31.noarch texlive-filecontentsdef-9:svn50942-19.fc31.noarch texlive-filedate-9:svn29529.0-19.fc31.noarch texlive-filehook-9:svn24280.0.5d-19.fc31.noarch texlive-fileinfo-9:svn28421.0.81a-19.fc31.noarch texlive-filemod-9:svn24042.1.2-19.fc31.noarch texlive-fink-9:svn24329.2.2.1-19.fc31.noarch texlive-finstrut-9:svn21719.0.5-19.fc31.noarch texlive-fira-9:svn51328-19.fc31.noarch texlive-firamath-9:svn51333-19.fc31.noarch texlive-firamath-otf-9:svn50732-19.fc31.noarch texlive-fitbox-9:svn50088-19.fc31.noarch texlive-fithesis-9:svn47409-19.fc31.noarch texlive-fix2col-9:svn38770-19.fc31.noarch texlive-fixcmex-9:svn38816-19.fc31.noarch texlive-fixfoot-9:svn17131.0.3a-19.fc31.noarch texlive-fixltxhyph-9:svn25832.0.4-19.fc31.noarch texlive-fixme-9:svn49591-19.fc31.noarch texlive-fixmetodonotes-9:svn30168.0.2.2-19.fc31.noarch texlive-fjodor-9:svn20220.0-19.fc31.noarch texlive-flabels-9:svn17272.1.0-19.fc31.noarch texlive-flacards-9:svn19440.0.1.1b-19.fc31.noarch texlive-flagderiv-9:svn15878.0.10-19.fc31.noarch texlive-flashcards-9:svn19667.1.0.1-19.fc31.noarch texlive-flashmovie-9:svn25768.0.4-19.fc31.noarch texlive-flipbook-9:svn25584.0.2-19.fc31.noarch texlive-flippdf-9:svn15878.1.0-19.fc31.noarch texlive-float-9:svn15878.1.3d-19.fc31.noarch texlive-floatflt-9:svn25540.1.31-19.fc31.noarch texlive-floatrow-9:svn15878.0.3b-19.fc31.noarch texlive-flowchart-9:svn36572.3.3-19.fc31.noarch texlive-flowfram-9:svn35291.1.17-19.fc31.noarch texlive-fltpoint-9:svn15878.1.1b-19.fc31.noarch texlive-fmp-9:svn15878.0-19.fc31.noarch texlive-fmtcount-9:svn46159-19.fc31.noarch texlive-fn2end-9:svn15878.1.1-19.fc31.noarch texlive-fnbreak-9:svn25003.1.30-19.fc31.noarch texlive-fncychap-9:svn20710.v1.34-19.fc31.noarch texlive-fncylab-9:svn17382.1.0-19.fc31.noarch texlive-fnpara-9:svn25607.0-19.fc31.noarch texlive-fnpct-9:svn50046-19.fc31.noarch texlive-fnspe-9:svn45360-19.fc31.noarch texlive-fnumprint-9:svn29173.1.1a-19.fc31.noarch texlive-foekfont-9:svn15878.0-19.fc31.noarch texlive-foilhtml-9:svn21855.1.2-19.fc31.noarch texlive-fonetika-9:svn21326.0-19.fc31.noarch texlive-fontawesome-9:svn48145-19.fc31.noarch texlive-fontawesome5-9:svn51339-19.fc31.noarch texlive-fontaxes-9:svn33276.1.0d-19.fc31.noarch texlive-fontmfizz-9:svn43546-19.fc31.noarch texlive-fonts-churchslavonic-9:svn43121-19.fc31.noarch texlive-fonts-tlwg-9:svn49085-19.fc31.noarch texlive-fontspec-9:svn50387-19.fc31.noarch texlive-fonttable-9:svn44799-19.fc31.noarch texlive-footbib-9:svn17115.2.0.7-19.fc31.noarch texlive-footmisc-9:svn23330.5.5b-19.fc31.noarch texlive-footmisx-9:svn42621-19.fc31.noarch texlive-footnotebackref-9:svn27034.1.0-19.fc31.noarch texlive-footnoterange-9:svn25430.1.0a-19.fc31.noarch texlive-footnpag-9:svn15878.0-19.fc31.noarch texlive-forarray-9:svn15878.1.01-19.fc31.noarch texlive-foreign-9:svn27819.2.7-19.fc31.noarch texlive-forest-9:svn44797-19.fc31.noarch texlive-forloop-9:svn15878.3.0-19.fc31.noarch texlive-formlett-9:svn21480.2.3-19.fc31.noarch texlive-forms16be-9:svn51305-19.fc31.noarch texlive-formular-9:svn15878.1.0a-19.fc31.noarch texlive-forum-9:svn51403-19.fc31.noarch texlive-fouridx-9:svn32214.2.00-19.fc31.noarch texlive-fourier-9:svn15878.1.3-19.fc31.noarch texlive-fouriernc-9:svn29646.0-19.fc31.noarch texlive-fp-9:svn49719-19.fc31.noarch texlive-fpl-9:svn49603-19.fc31.noarch texlive-fragments-9:svn15878.0-19.fc31.noarch texlive-frame-9:svn18312.1.0-19.fc31.noarch texlive-framed-9:svn26789.0.96-19.fc31.noarch texlive-francais-bst-9:svn38922-19.fc31.noarch texlive-frankenstein-9:svn15878.0-19.fc31.noarch texlive-frcursive-9:svn24559.0-19.fc31.noarch texlive-frederika2016-9:svn42157-19.fc31.noarch texlive-frege-9:svn27417.1.3-19.fc31.noarch texlive-ftc-notebook-9:svn50043-19.fc31.noarch texlive-ftcap-9:svn17275.1.4-19.fc31.noarch texlive-ftnxtra-9:svn29652.0.1-19.fc31.noarch texlive-fullblck-9:svn25434.1.03-19.fc31.noarch texlive-fullminipage-9:svn34545.0.1.1-19.fc31.noarch texlive-fullwidth-9:svn24684.0.1-19.fc31.noarch texlive-functan-9:svn15878.0-19.fc31.noarch texlive-fundus-calligra-9:svn26018.1.2-19.fc31.noarch texlive-fundus-cyr-9:svn26019.0-19.fc31.noarch texlive-fundus-sueterlin-9:svn26030.1.2-19.fc31.noarch texlive-fvextra-9:svn49947-19.fc31.noarch texlive-fwlw-9:svn29803.0-19.fc31.noarch texlive-g-brief-9:svn50415-19.fc31.noarch texlive-gaceta-9:svn15878.1.06-19.fc31.noarch texlive-galois-9:svn15878.1.5-19.fc31.noarch texlive-gammas-9:svn50012-19.fc31.noarch texlive-garamond-libre-9:svn51703-19.fc31.noarch texlive-garamond-math-9:svn49933-19.fc31.noarch texlive-garuda-c90-9:svn37677.0-19.fc31.noarch texlive-gastex-9:svn15878.2.8-19.fc31.noarch texlive-gatech-thesis-9:svn19886.1.8-19.fc31.noarch texlive-gatherenum-9:svn48051-19.fc31.noarch texlive-gauss-9:svn32934.0-19.fc31.noarch texlive-gb4e-9:svn19216.0-19.fc31.noarch texlive-gbt7714-9:svn50504-19.fc31.noarch texlive-gcard-9:svn15878.0-19.fc31.noarch texlive-gcite-9:svn15878.1.0.1-19.fc31.noarch texlive-gender-9:svn36464.1.0-19.fc31.noarch texlive-gene-logic-9:svn15878.1.4-19.fc31.noarch texlive-genealogy-9:svn25112.0-19.fc31.noarch texlive-genealogytree-9:svn50872-19.fc31.noarch texlive-genmisc-9:svn45851-19.fc31.noarch texlive-genmpage-9:svn15878.0.3.1-19.fc31.noarch texlive-gentium-tug-9:svn51613-19.fc31.noarch texlive-geometry-9:svn47638-19.fc31.noarch texlive-geschichtsfrkl-9:svn42121-19.fc31.noarch texlive-getfiledate-9:svn16189.1.2-19.fc31.noarch texlive-getitems-9:svn39365-19.fc31.noarch texlive-getmap-7:20190410-8.fc31.noarch texlive-gfsartemisia-9:svn19469.1.0-19.fc31.noarch texlive-gfsbodoni-9:svn28484.1.01-19.fc31.noarch texlive-gfscomplutum-9:svn19469.1.0-19.fc31.noarch texlive-gfsdidot-9:svn46310-19.fc31.noarch texlive-gfsneohellenic-9:svn31979.0-19.fc31.noarch texlive-gfsneohellenicmath-9:svn46869-19.fc31.noarch texlive-gfssolomos-9:svn18651.1.0-19.fc31.noarch texlive-ghsystem-9:svn41714-19.fc31.noarch texlive-gillcm-9:svn19878.1.1-19.fc31.noarch texlive-gillius-9:svn32068.0-19.fc31.noarch texlive-gincltex-9:svn23835.0.3-19.fc31.noarch texlive-ginpenc-9:svn24980.1.0-19.fc31.noarch texlive-gitfile-info-9:svn50885-19.fc31.noarch texlive-gitinfo-9:svn34049.1.0-19.fc31.noarch texlive-gitinfo2-9:svn38913-19.fc31.noarch texlive-gitlog-9:svn38932-19.fc31.noarch texlive-gitver-9:svn49980-19.fc31.noarch texlive-globalvals-9:svn49962-19.fc31.noarch texlive-glosmathtools-9:svn51809-19.fc31.noarch texlive-gloss-9:svn15878.1.5.2-19.fc31.noarch texlive-glossaries-7:20190410-8.fc31.noarch texlive-glossaries-danish-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-dutch-9:svn35685.1.1-19.fc31.noarch texlive-glossaries-english-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-estonian-9:svn49928-19.fc31.noarch texlive-glossaries-extra-9:svn51006-19.fc31.noarch texlive-glossaries-finnish-9:svn45604-19.fc31.noarch texlive-glossaries-french-9:svn42873-19.fc31.noarch texlive-glossaries-german-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-irish-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-italian-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-magyar-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-polish-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-portuges-9:svn36064.1.1-19.fc31.noarch texlive-glossaries-serbian-9:svn35665.1.0-19.fc31.noarch texlive-glossaries-slovene-9:svn51211-19.fc31.noarch texlive-glossaries-spanish-9:svn35665.1.0-19.fc31.noarch texlive-glyphlist-7:20190410-8.fc31.noarch texlive-gmdoc-9:svn21292.0.993-19.fc31.noarch texlive-gmdoc-enhance-9:svn15878.v0.2-19.fc31.noarch texlive-gmiflink-9:svn15878.v0.97-19.fc31.noarch texlive-gmp-9:svn21691.1.0-19.fc31.noarch texlive-gmutils-9:svn24287.v0.996-19.fc31.noarch texlive-gmverb-9:svn24288.v0.98-19.fc31.noarch texlive-gnu-freefont-9:svn29349.0-19.fc31.noarch texlive-gnuplottex-9:svn41904-19.fc31.noarch texlive-gofonts-9:svn51314-19.fc31.noarch texlive-gothic-9:svn49869-19.fc31.noarch texlive-gotoh-9:svn44764-19.fc31.noarch texlive-grabbox-9:svn51052-19.fc31.noarch texlive-gradientframe-9:svn21387.0.2-19.fc31.noarch texlive-gradstudentresume-9:svn38832-19.fc31.noarch texlive-grafcet-9:svn22509.1.3.5-19.fc31.noarch texlive-grant-9:svn41905-19.fc31.noarch texlive-graph35-9:svn47522-19.fc31.noarch texlive-graphbox-9:svn46360-19.fc31.noarch texlive-graphics-9:svn51733-19.fc31.noarch texlive-graphics-cfg-9:svn40269-19.fc31.noarch texlive-graphics-def-9:svn46267-19.fc31.noarch texlive-graphicx-psmin-9:svn15878.1.1-19.fc31.noarch texlive-graphicxbox-9:svn32630.1.0-19.fc31.noarch texlive-graphicxpsd-9:svn46477-19.fc31.noarch texlive-graphviz-9:svn31517.0.94-19.fc31.noarch texlive-grayhints-9:svn49052-19.fc31.noarch texlive-greek-fontenc-9:svn51616-19.fc31.noarch texlive-greenpoint-9:svn15878.0-19.fc31.noarch texlive-grfpaste-9:svn17354.0.2-19.fc31.noarch texlive-grid-9:svn15878.1.0-19.fc31.noarch texlive-grid-system-9:svn32981.0.3.0-19.fc31.noarch texlive-gridset-9:svn15878.0.1-19.fc31.noarch texlive-gridslides-9:svn45933-19.fc31.noarch texlive-grotesq-9:svn35859.0-19.fc31.noarch texlive-grundgesetze-9:svn34439.1.02-19.fc31.noarch texlive-gsemthesis-9:svn36244.0.9.4-19.fc31.noarch texlive-gsftopk-7:20190410-8.fc31.x86_64 texlive-gtl-9:svn49527-19.fc31.noarch texlive-gtrlib-largetrees-9:svn49062-19.fc31.noarch texlive-gu-9:svn15878.0-19.fc31.noarch texlive-guitlogo-9:svn51582-19.fc31.noarch texlive-gzt-9:svn47381-19.fc31.noarch texlive-h2020proposal-9:svn38428-19.fc31.noarch texlive-hackthefootline-9:svn46494-19.fc31.noarch texlive-hacm-9:svn27671.0.1-19.fc31.noarch texlive-hagenberg-thesis-9:svn51150-19.fc31.noarch texlive-halloweenmath-9:svn44043-19.fc31.noarch texlive-handin-9:svn48255-19.fc31.noarch texlive-handout-9:svn43962-19.fc31.noarch texlive-hands-9:svn13293.0-19.fc31.noarch texlive-hang-9:svn43280-19.fc31.noarch texlive-hanging-9:svn15878.1.2b-19.fc31.noarch texlive-har2nat-9:svn17356.1.0-19.fc31.noarch texlive-hardwrap-9:svn21396.0.2-19.fc31.noarch texlive-harnon-cv-9:svn26543.1.0-19.fc31.noarch texlive-harpoon-9:svn21327.1.0-19.fc31.noarch texlive-harvard-9:svn15878.2.0.5-19.fc31.noarch texlive-harveyballs-9:svn32003.1.1-19.fc31.noarch texlive-harvmac-9:svn15878.0-19.fc31.noarch texlive-hc-9:svn15878.0-19.fc31.noarch texlive-he-she-9:svn41359-19.fc31.noarch texlive-hecthese-9:svn50590-19.fc31.noarch texlive-helvetic-9:svn31835.0-19.fc31.noarch texlive-hep-9:svn15878.1.0-19.fc31.noarch texlive-hepnames-9:svn35722.2.0-19.fc31.noarch texlive-hepparticles-9:svn35723.2.0-19.fc31.noarch texlive-hepthesis-9:svn46054-19.fc31.noarch texlive-hepunits-9:svn15878.1.1.1-19.fc31.noarch texlive-here-9:svn16135.0-19.fc31.noarch texlive-heuristica-9:svn51362-19.fc31.noarch texlive-hf-tikz-9:svn34733.0.3a-19.fc31.noarch texlive-hfbright-9:svn29349.0-19.fc31.noarch texlive-hfoldsty-9:svn29349.1.15-19.fc31.noarch texlive-hhtensor-9:svn24981.0.61-19.fc31.noarch texlive-histogr-9:svn15878.1.01-19.fc31.noarch texlive-historische-zeitschrift-9:svn42635-19.fc31.noarch texlive-hitec-9:svn15878.0.0_beta_-19.fc31.noarch texlive-hithesis-9:svn50062-19.fc31.noarch texlive-hletter-9:svn30002.4.2-19.fc31.noarch texlive-hobby-9:svn44474-19.fc31.noarch texlive-hobete-9:svn27036.0-19.fc31.noarch texlive-hpsdiss-9:svn15878.1.0-19.fc31.noarch texlive-hrefhide-9:svn22255.1.0f-19.fc31.noarch texlive-hu-berlin-bundle-9:svn51477-19.fc31.noarch texlive-hustthesis-9:svn42547-19.fc31.noarch texlive-hvfloat-9:svn51123-19.fc31.noarch texlive-hvindex-9:svn46051-19.fc31.noarch texlive-hypdvips-9:svn51798-19.fc31.noarch texlive-hyper-9:svn17357.4.2d-19.fc31.noarch texlive-hyperbar-9:svn48147-19.fc31.noarch texlive-hypernat-9:svn17358.1.0b-19.fc31.noarch texlive-hyperref-9:svn51742-19.fc31.noarch texlive-hyperxmp-9:svn50812-19.fc31.noarch texlive-hyph-utf8-9:svn51186-19.fc31.noarch texlive-hyphen-base-9:svn51200-19.fc31.noarch texlive-hyphen-polish-9:svn51186-19.fc31.noarch texlive-hyphenat-9:svn15878.2.3c-19.fc31.noarch texlive-hyphenex-9:svn37354.0-19.fc31.noarch texlive-icite-9:svn50429-19.fc31.noarch texlive-icsv-9:svn15878.0.2-19.fc31.noarch texlive-identkey-9:svn49018-19.fc31.noarch texlive-idxcmds-9:svn38115.0.2c-19.fc31.noarch texlive-idxlayout-9:svn25821.0.4d-19.fc31.noarch texlive-ieeepes-9:svn17359.4.0-19.fc31.noarch texlive-ietfbibs-doc-9:svn41332-19.fc31.noarch texlive-ifetex-9:svn47231-19.fc31.noarch texlive-iffont-9:svn38823-19.fc31.noarch texlive-ifluatex-9:svn51770-19.fc31.noarch texlive-ifmslide-9:svn20727.0.47-19.fc31.noarch texlive-ifmtarg-9:svn47544-19.fc31.noarch texlive-ifnextok-9:svn23379.0.3-19.fc31.noarch texlive-ifoddpage-9:svn40726-19.fc31.noarch texlive-ifplatform-9:svn45533-19.fc31.noarch texlive-ifsym-9:svn24868.0-19.fc31.noarch texlive-iftex-9:svn29654.0.2-19.fc31.noarch texlive-ifthenx-9:svn25819.0.1a-19.fc31.noarch texlive-ifxetex-9:svn19685.0.5-19.fc31.noarch texlive-iitem-9:svn29613.1.0-19.fc31.noarch texlive-ijmart-9:svn30958.1.7-19.fc31.noarch texlive-ijqc-9:svn15878.1.2-19.fc31.noarch texlive-ijsra-9:svn44886-19.fc31.noarch texlive-imac-9:svn17347.0-19.fc31.noarch texlive-image-gallery-9:svn15878.v1.0j-19.fc31.noarch texlive-imakeidx-9:svn42287-19.fc31.noarch texlive-imfellenglish-9:svn38547-19.fc31.noarch texlive-import-9:svn17361.5.1-19.fc31.noarch texlive-imtekda-9:svn17667.1.7-19.fc31.noarch texlive-incgraph-9:svn36500.1.12-19.fc31.noarch texlive-includernw-9:svn47557-19.fc31.noarch texlive-inconsolata-9:svn51433-19.fc31.noarch texlive-index-9:svn24099.4.1beta-19.fc31.noarch texlive-indextools-9:svn38931-19.fc31.noarch texlive-initials-9:svn15878.0-19.fc31.noarch texlive-inkpaper-9:svn51447-19.fc31.noarch texlive-inline-images-9:svn48415-19.fc31.noarch texlive-inlinebib-9:svn22018.0-19.fc31.noarch texlive-inlinedef-9:svn15878.1.0-19.fc31.noarch texlive-inputtrc-9:svn28019.0.3-19.fc31.noarch texlive-inriafonts-9:svn49826-19.fc31.noarch texlive-interactiveworkbook-9:svn15878.0-19.fc31.noarch texlive-interfaces-9:svn21474.3.1-19.fc31.noarch texlive-interval-9:svn50265-19.fc31.noarch texlive-intopdf-9:svn51247-19.fc31.noarch texlive-inversepath-9:svn15878.0.2-19.fc31.noarch texlive-invoice-9:svn48359-19.fc31.noarch texlive-invoice-class-9:svn49749-19.fc31.noarch texlive-invoice2-9:svn46364-19.fc31.noarch texlive-iodhbwm-9:svn51175-19.fc31.noarch texlive-ionumbers-9:svn33457.0.3.3-19.fc31.noarch texlive-iopart-num-9:svn15878.2.1-19.fc31.noarch texlive-ipaex-type1-9:svn47700-19.fc31.noarch texlive-iscram-9:svn45801-19.fc31.noarch texlive-iso-9:svn15878.2.4-19.fc31.noarch texlive-iso10303-9:svn15878.1.5-19.fc31.noarch texlive-isodate-9:svn16613.2.28-19.fc31.noarch texlive-isodoc-9:svn47868-19.fc31.noarch texlive-isomath-9:svn27654.0.6.1-19.fc31.noarch texlive-isonums-9:svn17362.1.0-19.fc31.noarch texlive-isopt-9:svn45509-19.fc31.noarch texlive-isorot-9:svn15878.0-19.fc31.noarch texlive-isotope-9:svn23711.v0.3-19.fc31.noarch texlive-issuulinks-9:svn25742.1.1-19.fc31.noarch texlive-istgame-9:svn49848-19.fc31.noarch texlive-itnumpar-9:svn15878.1.0-19.fc31.noarch texlive-iwhdp-9:svn37552.0.50-19.fc31.noarch texlive-iwona-9:svn19611.0.995b-19.fc31.noarch texlive-jablantile-9:svn16364.0-19.fc31.noarch texlive-jacow-9:svn50870-19.fc31.noarch texlive-jamtimes-9:svn20408.1.12-19.fc31.noarch texlive-jkmath-9:svn47109-19.fc31.noarch texlive-jknapltx-9:svn19440.0-19.fc31.noarch texlive-jlabels-9:svn24858.0-19.fc31.noarch texlive-jmlr-9:svn44935-19.fc31.noarch texlive-jneurosci-9:svn17346.1.00-19.fc31.noarch texlive-jnuexam-9:svn49212-19.fc31.noarch texlive-jpsj-9:svn15878.1.2.2-19.fc31.noarch texlive-jslectureplanner-9:svn49963-19.fc31.noarch texlive-jumplines-9:svn37553.0.2-19.fc31.noarch texlive-junicode-9:svn28286.0.7.7-19.fc31.noarch texlive-jurabib-9:svn15878.0.6-19.fc31.noarch texlive-jvlisting-9:svn24638.0.7-19.fc31.noarch texlive-kalendarium-9:svn48744-19.fc31.noarch texlive-kantlipsum-9:svn51727-19.fc31.noarch texlive-karnaugh-9:svn21338.0-19.fc31.noarch texlive-karnaugh-map-9:svn44131-19.fc31.noarch texlive-karnaughmap-9:svn36989.2.0-19.fc31.noarch texlive-kastrup-9:svn15878.0-19.fc31.noarch texlive-kdgdocs-9:svn24498.1.0-19.fc31.noarch texlive-kerntest-9:svn15878.1.32-19.fc31.noarch texlive-keycommand-9:svn18042.3.1415-19.fc31.noarch texlive-keyfloat-9:svn50534-19.fc31.noarch texlive-keyreader-9:svn28195.0.5b-19.fc31.noarch texlive-keystroke-9:svn17992.v1.6-19.fc31.noarch texlive-keyval2e-9:svn23698.0.0.2-19.fc31.noarch texlive-keyvaltable-9:svn51288-19.fc31.noarch texlive-kix-9:svn21606.0-19.fc31.noarch texlive-kixfont-9:svn18488.0-19.fc31.noarch texlive-kluwer-9:svn45756-19.fc31.noarch texlive-knitting-9:svn50782-19.fc31.noarch texlive-knittingpattern-9:svn17205.0-19.fc31.noarch texlive-knowledge-9:svn50031-19.fc31.noarch texlive-knuth-lib-9:svn35820.0-19.fc31.noarch texlive-knuth-local-9:svn38627-19.fc31.noarch texlive-koma-moderncvclassic-9:svn25025.v0.5-19.fc31.noarch texlive-koma-script-9:svn49929-19.fc31.noarch texlive-koma-script-sfs-9:svn26137.1.0-19.fc31.noarch texlive-komacv-9:svn43902-19.fc31.noarch texlive-komacv-rg-9:svn49064-19.fc31.noarch texlive-kpathsea-7:20190410-8.fc31.x86_64 texlive-kpfonts-9:svn29803.3.31-19.fc31.noarch texlive-ksfh_nat-9:svn24825.1.1-19.fc31.noarch texlive-ksp-thesis-9:svn39080-19.fc31.noarch texlive-ktv-texdata-9:svn27369.05.34-19.fc31.noarch texlive-ku-template-9:svn45935-19.fc31.noarch texlive-kurier-9:svn19612.0.995b-19.fc31.noarch texlive-kvmap-9:svn48708-19.fc31.noarch texlive-l3backend-9:svn52343-19.fc31.noarch texlive-l3build-7:20190410-8.fc31.noarch texlive-l3experimental-9:svn51546-19.fc31.noarch texlive-l3kernel-9:svn51745-19.fc31.noarch texlive-l3packages-9:svn51546-19.fc31.noarch texlive-labbook-9:svn15878.0-19.fc31.noarch texlive-labels-9:svn15878.13-19.fc31.noarch texlive-labels4easylist-9:svn51124-19.fc31.noarch texlive-labelschanged-9:svn46040-19.fc31.noarch texlive-ladder-9:svn44394-19.fc31.noarch texlive-lambda-lists-9:svn31402.0-19.fc31.noarch texlive-langcode-9:svn27764.0.2-19.fc31.noarch texlive-langsci-9:svn50706-19.fc31.noarch texlive-lapdf-9:svn23806.1.1-19.fc31.noarch texlive-lastpackage-9:svn34481.0.1-19.fc31.noarch texlive-lastpage-9:svn36680.1.2m-19.fc31.noarch texlive-latex-7:20190410-8.fc31.noarch texlive-latex-base-dev-9:svn51730-19.fc31.noarch texlive-latex-bin-dev-9:svn51839-19.fc31.noarch texlive-latex-fonts-9:svn28888.0-19.fc31.noarch texlive-latex-graphics-dev-9:svn51730-19.fc31.noarch texlive-latex-make-9:svn47869-19.fc31.noarch texlive-latex-tds-doc-9:svn40613-19.fc31.noarch texlive-latex-uni8-9:svn49729-19.fc31.noarch texlive-latexbug-9:svn49573-19.fc31.noarch texlive-latexcolors-9:svn49888-19.fc31.noarch texlive-latexconfig-9:svn45777-19.fc31.noarch texlive-latexdemo-9:svn34481.0.1-19.fc31.noarch texlive-latexgit-9:svn41920-19.fc31.noarch texlive-lato-9:svn51462-19.fc31.noarch texlive-layouts-9:svn42428-19.fc31.noarch texlive-lazylist-9:svn17691.1.0a-19.fc31.noarch texlive-lccaps-9:svn46432-19.fc31.noarch texlive-lcd-9:svn16549.0.3-19.fc31.noarch texlive-lcg-9:svn31474.1.3-19.fc31.noarch texlive-leading-9:svn15878.0.3-19.fc31.noarch texlive-leaflet-9:svn43523-19.fc31.noarch texlive-lectures-9:svn49863-19.fc31.noarch texlive-leftidx-9:svn15878.0-19.fc31.noarch texlive-leipzig-9:svn51357-19.fc31.noarch texlive-lengthconvert-9:svn30867.1.0a-19.fc31.noarch texlive-lettre-9:svn44950-19.fc31.noarch texlive-lettrine-9:svn50847-19.fc31.noarch texlive-lewis-9:svn15878.0.1-19.fc31.noarch texlive-lfb-9:svn15878.1.0-19.fc31.noarch texlive-lhelp-9:svn23638.2.0-19.fc31.noarch texlive-lib-7:20190410-8.fc31.x86_64 texlive-libertine-9:svn51174-19.fc31.noarch texlive-libertinegc-9:svn44616-19.fc31.noarch texlive-libertinus-9:svn47488-19.fc31.noarch texlive-libertinus-fonts-9:svn51614-19.fc31.noarch texlive-libertinus-otf-9:svn51277-19.fc31.noarch texlive-libertinus-type1-9:svn51261-19.fc31.noarch texlive-libertinust1math-9:svn48077-19.fc31.noarch texlive-libgreek-9:svn27789.1.0-19.fc31.noarch texlive-librebaskerville-9:svn31741.0-19.fc31.noarch texlive-librebodoni-9:svn39375-19.fc31.noarch texlive-librecaslon-9:svn51456-19.fc31.noarch texlive-librefranklin-9:svn51100-19.fc31.noarch texlive-libris-9:svn19409.1.007-19.fc31.noarch texlive-limap-9:svn44863-19.fc31.noarch texlive-limecv-9:svn45906-19.fc31.noarch texlive-lineara-9:svn15878.0-19.fc31.noarch texlive-linegoal-9:svn21523.2.9-19.fc31.noarch texlive-lineno-9:svn21442.4.41-19.fc31.noarch texlive-linguex-9:svn30815.4.3-19.fc31.noarch texlive-linguisticspro-9:svn51457-19.fc31.noarch texlive-linop-9:svn41304-19.fc31.noarch texlive-lion-msc-9:svn51143-19.fc31.noarch texlive-lipsum-9:svn49610-19.fc31.noarch texlive-lisp-on-tex-9:svn38722-19.fc31.noarch texlive-listbib-7:20190410-8.fc31.noarch texlive-listing-9:svn17373.1.2-19.fc31.noarch texlive-listings-9:svn50175-19.fc31.noarch texlive-listlbls-9:svn34893.1.03-19.fc31.noarch texlive-listliketab-9:svn15878.0-19.fc31.noarch texlive-listofsymbols-9:svn16134.0.2-19.fc31.noarch texlive-lkproof-9:svn20021.3.1-19.fc31.noarch texlive-llncsconf-9:svn46707-19.fc31.noarch texlive-lm-9:svn48145-19.fc31.noarch texlive-lm-math-9:svn36915.1.959-19.fc31.noarch texlive-lmake-9:svn25552.1.0-19.fc31.noarch texlive-lni-9:svn50754-19.fc31.noarch texlive-lobster2-9:svn32617.0-19.fc31.noarch texlive-locality-9:svn20422.0.2-19.fc31.noarch texlive-localloc-9:svn21934.0-19.fc31.noarch texlive-logbox-9:svn24499.1.0-19.fc31.noarch texlive-logical-markup-utils-9:svn15878.0-19.fc31.noarch texlive-logicproof-9:svn33254.0-19.fc31.noarch texlive-logpap-9:svn15878.0.6-19.fc31.noarch texlive-logreq-9:svn19640.1.0-19.fc31.noarch texlive-longdivision-9:svn50586-19.fc31.noarch texlive-longfbox-9:svn39028-19.fc31.noarch texlive-longfigure-9:svn34302.1.0-19.fc31.noarch texlive-longnamefilelist-9:svn27889.0.2-19.fc31.noarch texlive-loops-9:svn30704.1.3-19.fc31.noarch texlive-lpform-9:svn36918.0-19.fc31.noarch texlive-lpic-9:svn20843.0.8-19.fc31.noarch texlive-lplfitch-9:svn31077.0.9-19.fc31.noarch texlive-lps-9:svn21322.0.7-19.fc31.noarch texlive-lroundrect-9:svn39804-19.fc31.noarch texlive-lsc-9:svn15878.0-19.fc31.noarch texlive-lstaddons-9:svn26196.0.1-19.fc31.noarch texlive-lstbayes-9:svn48160-19.fc31.noarch texlive-lstfiracode-9:svn49503-19.fc31.noarch texlive-lt3graph-9:svn45913-19.fc31.noarch texlive-ltablex-9:svn34923.1.1-19.fc31.noarch texlive-ltabptch-9:svn17533.1.74d-19.fc31.noarch texlive-ltb2bib-9:svn43746-19.fc31.noarch texlive-ltxdockit-9:svn21869.1.2d-19.fc31.noarch texlive-ltxguidex-9:svn50992-19.fc31.noarch texlive-ltxindex-9:svn15878.0.1c-19.fc31.noarch texlive-ltxkeys-9:svn28332.0.0.3c-19.fc31.noarch texlive-ltxmisc-9:svn21927.0-19.fc31.noarch texlive-ltxnew-9:svn21586.1.3-19.fc31.noarch texlive-ltxtools-9:svn24897.0.0.1a-19.fc31.noarch texlive-lua-alt-getopt-9:svn29349.0.7.0-19.fc31.noarch texlive-lua-check-hyphen-9:svn47527-19.fc31.noarch texlive-luabibentry-9:svn31783.0.1a-19.fc31.noarch texlive-luabidi-9:svn30790.0.2-19.fc31.noarch texlive-luacode-9:svn25193.1.2a-19.fc31.noarch texlive-luainputenc-9:svn20491.0.973-19.fc31.noarch texlive-lualatex-math-9:svn49904-19.fc31.noarch texlive-lualibs-9:svn51642-19.fc31.noarch texlive-luamesh-9:svn43814-19.fc31.noarch texlive-luaotfload-7:20190410-8.fc31.noarch texlive-luasseq-9:svn37877.0-19.fc31.noarch texlive-luatex-7:20190410-8.fc31.x86_64 texlive-luatexbase-9:svn38550-19.fc31.noarch texlive-luatextra-9:svn20747.1.0.1-19.fc31.noarch texlive-luatodonotes-9:svn45454-19.fc31.noarch texlive-lwarp-7:20190410-8.fc31.noarch texlive-lxfonts-9:svn32354.2.0b-19.fc31.noarch texlive-ly1-9:svn47848-19.fc31.noarch texlive-macroswap-9:svn31498.1.1-19.fc31.noarch texlive-magaz-9:svn24694.0.4-19.fc31.noarch texlive-mailing-9:svn15878.0-19.fc31.noarch texlive-mailmerge-9:svn15878.1.0-19.fc31.noarch texlive-make4ht-7:20190410-8.fc31.noarch texlive-makebarcode-9:svn15878.1.0-19.fc31.noarch texlive-makebase-9:svn41012-19.fc31.noarch texlive-makebox-9:svn15878.0.1-19.fc31.noarch texlive-makecell-9:svn15878.0.1e-19.fc31.noarch texlive-makecirc-9:svn15878.0-19.fc31.noarch texlive-makecmds-9:svn15878.0-19.fc31.noarch texlive-makecookbook-9:svn49311-19.fc31.noarch texlive-makedtx-7:20190410-8.fc31.noarch texlive-makeglos-9:svn15878.0-19.fc31.noarch texlive-makeindex-7:20190410-8.fc31.x86_64 texlive-maker-9:svn44823-19.fc31.noarch texlive-makeshape-9:svn28973.2.1-19.fc31.noarch texlive-mandi-9:svn49720-19.fc31.noarch texlive-manfnt-9:svn42428-19.fc31.noarch texlive-manfnt-font-9:svn45777-19.fc31.noarch texlive-manuscript-9:svn36110.1.7-19.fc31.noarch texlive-manyind-9:svn49874-19.fc31.noarch texlive-marcellus-9:svn52367-19.fc31.noarch texlive-margbib-9:svn15878.1.0c-19.fc31.noarch texlive-marginfit-9:svn48281-19.fc31.noarch texlive-marginfix-9:svn31598.1.1-19.fc31.noarch texlive-marginnote-9:svn48383-19.fc31.noarch texlive-markdown-9:svn50906-19.fc31.noarch texlive-marvosym-9:svn29349.2.2a-19.fc31.noarch texlive-matc3-9:svn29845.1.0.1-19.fc31.noarch texlive-matc3mem-9:svn35773.1.1-19.fc31.noarch texlive-mathabx-9:svn15878.0-19.fc31.noarch texlive-mathabx-type1-9:svn21129.0-19.fc31.noarch texlive-mathalpha-9:svn51179-19.fc31.noarch texlive-mathastext-9:svn42447-19.fc31.noarch texlive-mathcommand-9:svn51542-19.fc31.noarch texlive-mathcomp-9:svn15878.0.1f-19.fc31.noarch texlive-mathdesign-9:svn31639.2.31-19.fc31.noarch texlive-mathexam-9:svn15878.1.00-19.fc31.noarch texlive-mathfam256-9:svn46412-19.fc31.noarch texlive-mathfixs-9:svn49547-19.fc31.noarch texlive-mathfont-9:svn51017-19.fc31.noarch texlive-mathpartir-9:svn39864-19.fc31.noarch texlive-mathpazo-9:svn15878.1.003-19.fc31.noarch texlive-mathpunctspace-9:svn46754-19.fc31.noarch texlive-mathspec-9:svn42773-19.fc31.noarch texlive-mathspic-7:20190410-8.fc31.noarch texlive-mathtools-9:svn51788-19.fc31.noarch texlive-matlab-prettifier-9:svn34323.0.3-19.fc31.noarch texlive-mattens-9:svn17582.1.3-19.fc31.noarch texlive-maybemath-9:svn15878.0-19.fc31.noarch texlive-mcaption-9:svn15878.3.0-19.fc31.noarch texlive-mceinleger-9:svn15878.0-19.fc31.noarch texlive-mcexam-9:svn46155-19.fc31.noarch texlive-mcite-9:svn18173.1.6-19.fc31.noarch texlive-mciteplus-9:svn31648.1.2-19.fc31.noarch texlive-mcmthesis-9:svn49825-19.fc31.noarch texlive-mdframed-9:svn31075.1.9b-19.fc31.noarch texlive-mdputu-9:svn20298.1.2-19.fc31.noarch texlive-mdsymbol-9:svn28399.0.5-19.fc31.noarch texlive-mdwtools-9:svn15878.1.05.4-19.fc31.noarch texlive-media9-9:svn51619-19.fc31.noarch texlive-medstarbeamer-9:svn38828-19.fc31.noarch texlive-meetingmins-9:svn31878.1.6-19.fc31.noarch texlive-memexsupp-9:svn15878.0.1-19.fc31.noarch texlive-memoir-9:svn49394-19.fc31.noarch texlive-memory-9:svn30452.1.2-19.fc31.noarch texlive-memorygraphs-9:svn49631-19.fc31.noarch texlive-mensa-tex-9:svn45997-19.fc31.noarch texlive-mentis-9:svn15878.1.5-19.fc31.noarch texlive-menu-9:svn15878.0.994-19.fc31.noarch texlive-menukeys-9:svn41823-19.fc31.noarch texlive-merriweather-9:svn51304-19.fc31.noarch texlive-metafont-7:20190410-8.fc31.x86_64 texlive-metalogo-9:svn18611.0.12-19.fc31.noarch texlive-metalogox-9:svn49774-19.fc31.noarch texlive-method-9:svn17485.2.0b-19.fc31.noarch texlive-metre-9:svn18489.1.0-19.fc31.noarch texlive-mfirstuc-9:svn45803-19.fc31.noarch texlive-mflogo-9:svn42428-19.fc31.noarch texlive-mflogo-font-9:svn36898.1.002-19.fc31.noarch texlive-mfnfss-9:svn46036-19.fc31.noarch texlive-mftinc-9:svn15878.1.0a-19.fc31.noarch texlive-mfware-7:20190410-8.fc31.x86_64 texlive-mgltex-9:svn41676-19.fc31.noarch texlive-mhchem-9:svn48088-19.fc31.noarch texlive-mhequ-9:svn38224.1.7-19.fc31.noarch texlive-mi-solns-9:svn49651-19.fc31.noarch texlive-miama-9:svn51395-19.fc31.noarch texlive-microtype-9:svn50187-19.fc31.noarch texlive-midpage-9:svn17484.1.1a-19.fc31.noarch texlive-miller-9:svn18789.1.2-19.fc31.noarch texlive-milsymb-9:svn51566-19.fc31.noarch texlive-minibox-9:svn30914.0.2a-19.fc31.noarch texlive-minidocument-9:svn43752-19.fc31.noarch texlive-minifp-9:svn32559.0.96-19.fc31.noarch texlive-minipage-marginpar-9:svn15878.v0.2-19.fc31.noarch texlive-miniplot-9:svn17483.0-19.fc31.noarch texlive-minitoc-9:svn48196-19.fc31.noarch texlive-minorrevision-9:svn32165.1.1-19.fc31.noarch texlive-minted-9:svn44855-19.fc31.noarch texlive-mintspirit-9:svn32069.0-19.fc31.noarch texlive-minutes-9:svn42186-19.fc31.noarch texlive-mismath-9:svn51436-19.fc31.noarch texlive-missaali-9:svn42810-19.fc31.noarch texlive-mkpic-7:20190410-8.fc31.noarch texlive-mla-paper-9:svn20885.0-19.fc31.noarch texlive-mlacls-9:svn51157-19.fc31.noarch texlive-mlist-9:svn15878.0.6a-19.fc31.noarch texlive-mmap-9:svn15878.1.03-19.fc31.noarch texlive-mnotes-9:svn35521.0.8-19.fc31.noarch texlive-mnras-9:svn37579.3.0-19.fc31.noarch texlive-mnsymbol-9:svn18651.1.4-19.fc31.noarch texlive-moderncv-9:svn37992.2.0.0-19.fc31.noarch texlive-modernposter-9:svn47269-19.fc31.noarch texlive-moderntimeline-9:svn50228-19.fc31.noarch texlive-modiagram-9:svn38448-19.fc31.noarch texlive-modref-9:svn15878.1.0-19.fc31.noarch texlive-modroman-9:svn29803.1-19.fc31.noarch texlive-modular-9:svn44142-19.fc31.noarch texlive-monofill-9:svn28140.0.2-19.fc31.noarch texlive-montserrat-9:svn43347-19.fc31.noarch texlive-moodle-9:svn39367-19.fc31.noarch texlive-moreenum-9:svn24479.1.03-19.fc31.noarch texlive-morefloats-9:svn37927.1.0h-19.fc31.noarch texlive-morehype-9:svn38815-19.fc31.noarch texlive-moresize-9:svn17513.1.9-19.fc31.noarch texlive-moreverb-9:svn22126.2.3a-19.fc31.noarch texlive-morewrites-9:svn49531-19.fc31.noarch texlive-mparhack-9:svn15878.1.4-19.fc31.noarch texlive-mpostinl-9:svn49559-19.fc31.noarch texlive-mptopdf-7:20190410-8.fc31.noarch texlive-ms-9:svn51784-19.fc31.noarch texlive-msc-9:svn15878.1.16-19.fc31.noarch texlive-msg-9:svn49578-19.fc31.noarch texlive-mslapa-9:svn17514.0-19.fc31.noarch texlive-msu-thesis-9:svn46106-19.fc31.noarch texlive-mtgreek-9:svn17967.1.1+-19.fc31.noarch texlive-mucproc-9:svn43445-19.fc31.noarch texlive-mugsthesis-9:svn34878.0-19.fc31.noarch texlive-multenum-9:svn21775.0-19.fc31.noarch texlive-multiaudience-9:svn38035.1.03-19.fc31.noarch texlive-multibbl-9:svn15878.v1.1-19.fc31.noarch texlive-multibib-9:svn15878.1.4-19.fc31.noarch texlive-multibibliography-7:20190410-8.fc31.noarch texlive-multicap-9:svn15878.0-19.fc31.noarch texlive-multicolrule-9:svn49579-19.fc31.noarch texlive-multidef-9:svn40637-19.fc31.noarch texlive-multido-9:svn18302.1.42-19.fc31.noarch texlive-multienv-9:svn26544.1.0-19.fc31.noarch texlive-multiexpand-9:svn45943-19.fc31.noarch texlive-multilang-9:svn49065-19.fc31.noarch texlive-multiobjective-9:svn15878.1.0-19.fc31.noarch texlive-multirow-9:svn51278-19.fc31.noarch texlive-munich-9:svn15878.0-19.fc31.noarch texlive-musuos-9:svn24857.1.1d-19.fc31.noarch texlive-muthesis-9:svn23861.0-19.fc31.noarch texlive-mversion-9:svn29370.1.0.1-19.fc31.noarch texlive-mwe-9:svn47194-19.fc31.noarch texlive-mweights-9:svn43647-19.fc31.noarch texlive-mychemistry-9:svn28611.1.99b-19.fc31.noarch texlive-mycv-9:svn26807.1.5.6-19.fc31.noarch texlive-mylatexformat-9:svn21392.3.4-19.fc31.noarch texlive-mynsfc-9:svn41996-19.fc31.noarch texlive-nag-9:svn24741.0.7-19.fc31.noarch texlive-nameauth-9:svn43586-19.fc31.noarch texlive-namespc-9:svn15878.0-19.fc31.noarch texlive-nar-9:svn38100.3.19-19.fc31.noarch texlive-natbib-9:svn20668.8.31b-19.fc31.noarch texlive-natded-9:svn32693.0.1-19.fc31.noarch texlive-nath-9:svn15878.0-19.fc31.noarch texlive-nature-9:svn21819.1.0-19.fc31.noarch texlive-navydocs-9:svn41643-19.fc31.noarch texlive-ncclatex-9:svn15878.1.5-19.fc31.noarch texlive-ncctools-9:svn51810-19.fc31.noarch texlive-ncntrsbk-9:svn31835.0-19.fc31.noarch texlive-nddiss-9:svn45107-19.fc31.noarch texlive-ndsu-thesis-9:svn46639-19.fc31.noarch texlive-needspace-9:svn29601.1.3d-19.fc31.noarch texlive-nestquot-9:svn27323.0-19.fc31.noarch texlive-neuralnetwork-9:svn31500.1.0-19.fc31.noarch texlive-newcommand-doc-9:svn18704.2.0-19.fc31.noarch texlive-newenviron-9:svn29331.1.0-19.fc31.noarch texlive-newfile-9:svn15878.1.0c-19.fc31.noarch texlive-newlfm-9:svn15878.9.4-19.fc31.noarch texlive-newpx-9:svn49438-19.fc31.noarch texlive-newspaper-9:svn15878.1.0-19.fc31.noarch texlive-newtx-9:svn53549-19.fc31.noarch texlive-newtxsf-9:svn47958-19.fc31.noarch texlive-newtxtt-9:svn44510-19.fc31.noarch texlive-newunicodechar-9:svn47382-19.fc31.noarch texlive-newvbtm-9:svn23996.1.1-19.fc31.noarch texlive-newverbs-9:svn26258.1.3a-19.fc31.noarch texlive-nextpage-9:svn15878.1.1a-19.fc31.noarch texlive-nfssext-cfr-9:svn43640-19.fc31.noarch texlive-nicefilelist-9:svn28527.0.7a-19.fc31.noarch texlive-niceframe-9:svn36086.1.1c-19.fc31.noarch texlive-niceframe-type1-9:svn44671-19.fc31.noarch texlive-nicematrix-9:svn51680-19.fc31.noarch texlive-nicetext-9:svn38914-19.fc31.noarch texlive-nidanfloat-9:svn48295-19.fc31.noarch texlive-nih-9:svn15878.0-19.fc31.noarch texlive-nihbiosketch-9:svn39460-19.fc31.noarch texlive-nimbus15-9:svn39343-19.fc31.noarch texlive-nkarta-9:svn16437.0.2-19.fc31.noarch texlive-nlctdoc-9:svn44353-19.fc31.noarch texlive-nmbib-9:svn37984.1.04-19.fc31.noarch texlive-noconflict-9:svn30140.1.0-19.fc31.noarch texlive-noindentafter-9:svn35709.0.2.2-19.fc31.noarch texlive-noitcrul-9:svn15878.0.2-19.fc31.noarch texlive-nolbreaks-9:svn26786.1.2-19.fc31.noarch texlive-nomencl-9:svn51025-19.fc31.noarch texlive-nomentbl-9:svn16549.0.4-19.fc31.noarch texlive-nonfloat-9:svn17598.1.0-19.fc31.noarch texlive-nonumonpart-9:svn22114.1-19.fc31.noarch texlive-nopageno-9:svn18128.0-19.fc31.noarch texlive-norasi-c90-9:svn37675.0-19.fc31.noarch texlive-normalcolor-9:svn40125-19.fc31.noarch texlive-nostarch-9:svn15878.1.3-19.fc31.noarch texlive-notes-9:svn42428-19.fc31.noarch texlive-notes2bib-9:svn31162.2.0k-19.fc31.noarch texlive-notespages-9:svn41906-19.fc31.noarch texlive-notestex-9:svn45396-19.fc31.noarch texlive-notex-bst-9:svn42361-19.fc31.noarch texlive-noto-9:svn53105-19.fc31.noarch texlive-notoccite-9:svn18129.0-19.fc31.noarch texlive-novel-9:svn47492-19.fc31.noarch texlive-nowidow-9:svn24066.1.0-19.fc31.noarch texlive-nox-9:svn30991.1.0-19.fc31.noarch texlive-nrc-9:svn29027.2.01a-19.fc31.noarch texlive-ntgclass-9:svn15878.2.1a-19.fc31.noarch texlive-ntheorem-9:svn27609.1.33-19.fc31.noarch texlive-nuc-9:svn22256.0.1-19.fc31.noarch texlive-nucleardata-9:svn47307-19.fc31.noarch texlive-numberedblock-9:svn33109.1.10-19.fc31.noarch texlive-numericplots-9:svn31729.2.0.2-19.fc31.noarch texlive-numname-9:svn18130.0-19.fc31.noarch texlive-numprint-9:svn27498.1.39-19.fc31.noarch texlive-numspell-9:svn45441-19.fc31.noarch texlive-nwejm-9:svn50447-19.fc31.noarch texlive-oberdiek-7:20190410-8.fc31.noarch texlive-objectz-9:svn19389.0-19.fc31.noarch texlive-obnov-9:svn33355.0.11-19.fc31.noarch texlive-ocg-p-9:svn28803.0.4-19.fc31.noarch texlive-ocgx-9:svn28492.0.5-19.fc31.noarch texlive-ocgx2-9:svn51552-19.fc31.noarch texlive-ocherokee-9:svn25689.0-19.fc31.noarch texlive-ocr-b-9:svn20852.0-19.fc31.noarch texlive-ocr-b-outline-9:svn20969.0-19.fc31.noarch texlive-ocr-latex-9:svn15878.0-19.fc31.noarch texlive-octavo-9:svn15878.1.2-19.fc31.noarch texlive-ogham-9:svn24876.0-19.fc31.noarch texlive-oinuit-9:svn28668.0-19.fc31.noarch texlive-old-arrows-9:svn42872-19.fc31.noarch texlive-oldlatin-9:svn17932.1.00-19.fc31.noarch texlive-oldstandard-9:svn51741-19.fc31.noarch texlive-oldstyle-9:svn15878.0.2-19.fc31.noarch texlive-onlyamsmath-9:svn42927-19.fc31.noarch texlive-onrannual-9:svn17474.1.1-19.fc31.noarch texlive-opcit-9:svn15878.1.1-19.fc31.noarch texlive-opensans-9:svn51458-19.fc31.noarch texlive-oplotsymbl-9:svn44951-19.fc31.noarch texlive-opteng-9:svn27331.1.0-19.fc31.noarch texlive-optidef-9:svn50941-19.fc31.noarch texlive-optional-9:svn18131.2.2b-19.fc31.noarch texlive-options-9:svn39030-19.fc31.noarch texlive-orkhun-9:svn15878.0-19.fc31.noarch texlive-oscola-9:svn49772-19.fc31.noarch texlive-ot-tableau-9:svn44889-19.fc31.noarch texlive-oubraces-9:svn21833.0-19.fc31.noarch texlive-outline-9:svn18360.0-19.fc31.noarch texlive-outliner-9:svn21095.0.94-19.fc31.noarch texlive-outlines-9:svn25192.1.1-19.fc31.noarch texlive-outlining-9:svn45601-19.fc31.noarch texlive-overlays-9:svn46122-19.fc31.noarch texlive-overlock-9:svn51294-19.fc31.noarch texlive-overpic-9:svn45500-19.fc31.noarch texlive-pacioli-9:svn24947.0-19.fc31.noarch texlive-padcount-9:svn47621-19.fc31.noarch texlive-pagecolor-9:svn44487-19.fc31.noarch texlive-pagecont-9:svn15878.1.0-19.fc31.noarch texlive-pagenote-9:svn15878.1.1a-19.fc31.noarch texlive-pagerange-9:svn16915.0.5-19.fc31.noarch texlive-pageslts-9:svn39164-19.fc31.noarch texlive-palatino-9:svn31835.0-19.fc31.noarch texlive-paper-9:svn34521.1.0l-19.fc31.noarch texlive-papercdcase-9:svn15878.0-19.fc31.noarch texlive-papermas-9:svn23667.1.0h-19.fc31.noarch texlive-papertex-9:svn19230.1.2b-19.fc31.noarch texlive-paracol-9:svn49560-19.fc31.noarch texlive-parades-9:svn40042-19.fc31.noarch texlive-paralist-9:svn43021-19.fc31.noarch texlive-parallel-9:svn15878.0-19.fc31.noarch texlive-paratype-9:svn32859.0-19.fc31.noarch texlive-paresse-9:svn29803.4.1-19.fc31.noarch texlive-parnotes-9:svn51720-19.fc31.noarch texlive-parselines-9:svn21475.1.4-19.fc31.noarch texlive-parskip-9:svn49730-19.fc31.noarch texlive-pas-cours-9:svn42036-19.fc31.noarch texlive-pas-cv-9:svn32263.2.01-19.fc31.noarch texlive-pas-tableur-9:svn39542-19.fc31.noarch texlive-patchcmd-9:svn41379-19.fc31.noarch texlive-path-9:svn22045.3.05-19.fc31.noarch texlive-pauldoc-9:svn16005.0.5-19.fc31.noarch texlive-pawpict-9:svn21629.1.0-19.fc31.noarch texlive-pax-7:20190410-8.fc31.noarch texlive-pb-diagram-9:svn15878.5.0-19.fc31.noarch texlive-pbox-9:svn24807.1.2-19.fc31.noarch texlive-pbsheet-9:svn24830.0.1-19.fc31.noarch texlive-pdf14-9:svn17583.0.1-19.fc31.noarch texlive-pdfcomment-9:svn49047-19.fc31.noarch texlive-pdfcprot-9:svn18735.1.7a-19.fc31.noarch texlive-pdfmarginpar-9:svn23492.0.92-19.fc31.noarch texlive-pdfoverlay-9:svn47657-19.fc31.noarch texlive-pdfpagediff-9:svn37946.1.4-19.fc31.noarch texlive-pdfpages-9:svn45659-19.fc31.noarch texlive-pdfpc-movie-9:svn48245-19.fc31.noarch texlive-pdfprivacy-9:svn45985-19.fc31.noarch texlive-pdfreview-9:svn50100-19.fc31.noarch texlive-pdfscreen-9:svn42428-19.fc31.noarch texlive-pdfslide-9:svn15878.0-19.fc31.noarch texlive-pdfsync-9:svn20373.0-19.fc31.noarch texlive-pdftex-7:20190410-8.fc31.x86_64 texlive-pdfwin-9:svn45797-19.fc31.noarch texlive-pdfx-9:svn50338-19.fc31.noarch texlive-pecha-9:svn15878.0.1-19.fc31.noarch texlive-penrose-9:svn48202-19.fc31.noarch texlive-perception-9:svn42683-19.fc31.noarch texlive-perfectcut-9:svn51744-19.fc31.noarch texlive-perltex-7:20190410-8.fc31.noarch texlive-permute-9:svn15878.0-19.fc31.noarch texlive-petiteannonce-9:svn25915.1.0001-19.fc31.noarch texlive-petri-nets-7:20190410-8.fc31.noarch texlive-pgf-9:svn51817-19.fc31.noarch texlive-pgf-blur-9:svn31693.1.01-19.fc31.noarch texlive-pgf-cmykshadings-9:svn48982-19.fc31.noarch texlive-pgf-soroban-9:svn32269.1.1-19.fc31.noarch texlive-pgf-spectra-9:svn42986-19.fc31.noarch texlive-pgf-umlcd-9:svn33307.0.2.1.1-19.fc31.noarch texlive-pgf-umlsd-9:svn33045.0.7-19.fc31.noarch texlive-pgfgantt-9:svn46280-19.fc31.noarch texlive-pgfkeyx-9:svn26093.0.0.1-19.fc31.noarch texlive-pgfmolbio-9:svn35152.0.21-19.fc31.noarch texlive-pgfmorepages-9:svn51051-19.fc31.noarch texlive-pgfopts-9:svn34573.2.1a-19.fc31.noarch texlive-pgfornament-9:svn39988-19.fc31.noarch texlive-pgfplots-9:svn47373-19.fc31.noarch texlive-phaistos-9:svn18651.1.0-19.fc31.noarch texlive-phffullpagefigure-9:svn41857-19.fc31.noarch texlive-phfnote-9:svn41858-19.fc31.noarch texlive-phfparen-9:svn41859-19.fc31.noarch texlive-phfqit-9:svn45084-19.fc31.noarch texlive-phfquotetext-9:svn41869-19.fc31.noarch texlive-phfsvnwatermark-9:svn41870-19.fc31.noarch texlive-phfthm-9:svn41871-19.fc31.noarch texlive-philex-9:svn36396.1.3-19.fc31.noarch texlive-philosophersimprint-9:svn41788-19.fc31.noarch texlive-phonenumbers-9:svn48355-19.fc31.noarch texlive-phonetic-9:svn21871.0-19.fc31.noarch texlive-photo-9:svn18739.0-19.fc31.noarch texlive-physics-9:svn28590.1.3-19.fc31.noarch texlive-picinpar-9:svn20374.1.2a-19.fc31.noarch texlive-pict2e-9:svn39591-19.fc31.noarch texlive-pictex-9:svn21943.1.1-19.fc31.noarch texlive-pictex2-9:svn15878.0-19.fc31.noarch texlive-piff-9:svn21894.0-19.fc31.noarch texlive-pigpen-9:svn15878.0.2-19.fc31.noarch texlive-pinlabel-9:svn24769.1.2-19.fc31.noarch texlive-pittetd-9:svn15878.1.618-19.fc31.noarch texlive-pixelart-9:svn46740-19.fc31.noarch texlive-pkgloader-9:svn47486-19.fc31.noarch texlive-pkuthss-9:svn48124-19.fc31.noarch texlive-pl-9:svn36012.1.09-19.fc31.noarch texlive-placeins-9:svn19848.2.2-19.fc31.noarch texlive-plain-9:svn43076-19.fc31.noarch texlive-plainpkg-9:svn27765.0.4a-19.fc31.noarch texlive-plantslabels-9:svn29803.1.0-19.fc31.noarch texlive-plates-9:svn15878.0.1-19.fc31.noarch texlive-playfair-9:svn34236.0-19.fc31.noarch texlive-plex-9:svn51295-19.fc31.noarch texlive-plex-otf-9:svn47562-19.fc31.noarch texlive-plweb-9:svn15878.3.0-19.fc31.noarch texlive-pm-isomath-9:svn46402-19.fc31.noarch texlive-pmgraph-9:svn15878.1.0-19.fc31.noarch texlive-pnas2009-9:svn16287.1.0-19.fc31.noarch texlive-poiretone-9:svn51396-19.fc31.noarch texlive-polexpr-9:svn50013-19.fc31.noarch texlive-polski-9:svn44213-19.fc31.noarch texlive-poltawski-9:svn20075.1.101-19.fc31.noarch texlive-polyglossia-9:svn50787-19.fc31.noarch texlive-polynom-9:svn44832-19.fc31.noarch texlive-polynomial-9:svn15878.1.0-19.fc31.noarch texlive-polytable-9:svn31235.0.8.2-19.fc31.noarch texlive-postage-9:svn47893-19.fc31.noarch texlive-postcards-9:svn21641.0-19.fc31.noarch texlive-poster-mac-9:svn18305.1.1-19.fc31.noarch texlive-powerdot-9:svn45165-19.fc31.noarch texlive-powerdot-FUBerlin-9:svn15878.0.01-19.fc31.noarch texlive-powerdot-tuliplab-9:svn47963-19.fc31.noarch texlive-ppr-prv-9:svn15878.0.13c-19.fc31.noarch texlive-pracjourn-9:svn15878.0.4n-19.fc31.noarch texlive-preprint-9:svn30447.2011-19.fc31.noarch texlive-prerex-9:svn45940-19.fc31.noarch texlive-pressrelease-9:svn35147.1.0-19.fc31.noarch texlive-prettyref-9:svn15878.3.0-19.fc31.noarch texlive-prftree-9:svn51404-19.fc31.noarch texlive-printlen-9:svn19847.1.1a-19.fc31.noarch texlive-proba-9:svn15878.0-19.fc31.noarch texlive-probsoln-9:svn44783-19.fc31.noarch texlive-procIAGssymp-9:svn51771-19.fc31.noarch texlive-prodint-9:svn21893.0-19.fc31.noarch texlive-productbox-9:svn20886.1.1-19.fc31.noarch texlive-program-9:svn44214-19.fc31.noarch texlive-progress-9:svn19519.1.10-19.fc31.noarch texlive-progressbar-9:svn33822.v1.0b_4-19.fc31.noarch texlive-proof-at-the-end-9:svn51194-19.fc31.noarch texlive-proofread-9:svn50938-19.fc31.noarch texlive-prooftrees-9:svn43184-19.fc31.noarch texlive-properties-9:svn15878.0.2-19.fc31.noarch texlive-proposal-9:svn40538-19.fc31.noarch texlive-prosper-9:svn33033.1.0h-19.fc31.noarch texlive-protex-9:svn41633-19.fc31.noarch texlive-protocol-9:svn25562.1.13-19.fc31.noarch texlive-prtec-9:svn50915-19.fc31.noarch texlive-pseudo-9:svn51641-19.fc31.noarch texlive-pseudocode-9:svn15878.0-19.fc31.noarch texlive-psfrag-9:svn15878.3.04-19.fc31.noarch texlive-psfragx-9:svn26243.1.1-19.fc31.noarch texlive-pslatex-9:svn16416.0-19.fc31.noarch texlive-psnfss-9:svn33946.9.2a-19.fc31.noarch texlive-pspicture-9:svn15878.0-19.fc31.noarch texlive-pst-3d-9:svn17257.1.10-19.fc31.noarch texlive-pst-blur-9:svn15878.2.0-19.fc31.noarch texlive-pst-coil-9:svn37377.1.07-19.fc31.noarch texlive-pst-eps-9:svn15878.1.0-19.fc31.noarch texlive-pst-fill-9:svn15878.1.01-19.fc31.noarch texlive-pst-grad-9:svn15878.1.06-19.fc31.noarch texlive-pst-math-9:svn49425-19.fc31.noarch texlive-pst-node-9:svn50215-19.fc31.noarch texlive-pst-ovl-9:svn45506-19.fc31.noarch texlive-pst-pdf-7:20190410-8.fc31.noarch texlive-pst-plot-9:svn51650-19.fc31.noarch texlive-pst-slpe-9:svn24391.1.31-19.fc31.noarch texlive-pst-text-9:svn49542-19.fc31.noarch texlive-pst-tools-9:svn45978-19.fc31.noarch texlive-pst-tree-9:svn43272-19.fc31.noarch texlive-pstool-9:svn46393-19.fc31.noarch texlive-pstricks-9:svn51102-19.fc31.noarch texlive-pstricks-add-9:svn49680-19.fc31.noarch texlive-pstring-9:svn42857-19.fc31.noarch texlive-ptolemaicastronomy-9:svn50810-19.fc31.noarch texlive-ptptex-9:svn19440.0.91-19.fc31.noarch texlive-punk-9:svn27388.0-19.fc31.noarch texlive-punk-latex-9:svn27389.1.1-19.fc31.noarch texlive-punknova-9:svn24649.1.003-19.fc31.noarch texlive-pxfonts-9:svn15878.0-19.fc31.noarch texlive-pxgreeks-9:svn21838.1.0-19.fc31.noarch texlive-pxpgfmark-9:svn30212.0.2-19.fc31.noarch texlive-pxtxalfa-9:svn23682.1-19.fc31.noarch texlive-pygmentex-7:20190410-8.fc31.noarch texlive-python-9:svn27064.0.21-19.fc31.noarch texlive-pythonhighlight-9:svn43191-19.fc31.noarch texlive-qcircuit-9:svn48400-19.fc31.noarch texlive-qcm-9:svn15878.2.1-19.fc31.noarch texlive-qrcode-9:svn36065.1.51-19.fc31.noarch texlive-qsharp-9:svn49722-19.fc31.noarch texlive-qstest-9:svn15878.0-19.fc31.noarch texlive-qsymbols-9:svn15878.0-19.fc31.noarch texlive-qtree-9:svn15878.3.1b-19.fc31.noarch texlive-quantikz-9:svn50934-19.fc31.noarch texlive-quattrocento-9:svn50729-19.fc31.noarch texlive-quicktype-9:svn42183-19.fc31.noarch texlive-quotchap-9:svn51591-19.fc31.noarch texlive-quoting-9:svn32818.v0.1c-19.fc31.noarch texlive-quotmark-9:svn15878.1.0-19.fc31.noarch texlive-ragged2e-9:svn51780-19.fc31.noarch texlive-raleway-9:svn42629-19.fc31.noarch texlive-ran_toks-9:svn44429-19.fc31.noarch texlive-randbild-9:svn15878.0.2-19.fc31.noarch texlive-randomwalk-9:svn49513-19.fc31.noarch texlive-randtext-9:svn15878.0-19.fc31.noarch texlive-rank-2-roots-9:svn48515-19.fc31.noarch texlive-rccol-9:svn15878.1.2c-19.fc31.noarch texlive-rcs-9:svn15878.0-19.fc31.noarch texlive-rcs-multi-9:svn21939.0.1a-19.fc31.noarch texlive-rcsinfo-9:svn15878.1.11-19.fc31.noarch texlive-readarray-9:svn42467-19.fc31.noarch texlive-realboxes-9:svn23581.0.2-19.fc31.noarch texlive-realhats-9:svn51004-19.fc31.noarch texlive-realscripts-9:svn39706-19.fc31.noarch texlive-rec-thy-9:svn50047-19.fc31.noarch texlive-recipe-9:svn15878.0.9-19.fc31.noarch texlive-recipebook-9:svn37026.0-19.fc31.noarch texlive-recipecard-9:svn15878.2.0-19.fc31.noarch texlive-rectopma-9:svn19980.0-19.fc31.noarch texlive-recycle-9:svn15878.0-19.fc31.noarch texlive-refcheck-9:svn29128.1.9.1-19.fc31.noarch texlive-refenums-9:svn44131-19.fc31.noarch texlive-reflectgraphics-9:svn40612-19.fc31.noarch texlive-refman-9:svn15878.2.0e-19.fc31.noarch texlive-refstyle-9:svn20318.0.5-19.fc31.noarch texlive-regcount-9:svn19979.1.0-19.fc31.noarch texlive-regexpatch-9:svn47601-19.fc31.noarch texlive-register-9:svn49581-19.fc31.noarch texlive-regstats-9:svn25050.1.0h-19.fc31.noarch texlive-relenc-9:svn22050.0-19.fc31.noarch texlive-relsize-9:svn30707.4.1-19.fc31.noarch texlive-reotex-9:svn34924.1.1-19.fc31.noarch texlive-repeatindex-9:svn24305.0.01-19.fc31.noarch texlive-repltext-9:svn33442.1.0-19.fc31.noarch texlive-resphilosophica-9:svn50935-19.fc31.noarch texlive-resumecls-9:svn38427-19.fc31.noarch texlive-revquantum-9:svn43505-19.fc31.noarch texlive-revtex-9:svn49751-19.fc31.noarch texlive-revtex4-9:svn45873-19.fc31.noarch texlive-ribbonproofs-9:svn31137.1.0-19.fc31.noarch texlive-rjlparshap-9:svn15878.1.0-19.fc31.noarch texlive-rlepsf-9:svn19082.0-19.fc31.noarch texlive-rmathbr-9:svn40415-19.fc31.noarch texlive-rmpage-9:svn20002.0.92-19.fc31.noarch texlive-roboto-9:svn51315-19.fc31.noarch texlive-robustcommand-9:svn15878.0.1-19.fc31.noarch texlive-robustindex-9:svn49877-19.fc31.noarch texlive-romanbar-9:svn25005.1.0f-19.fc31.noarch texlive-romanbarpagenumber-9:svn36236.1.0-19.fc31.noarch texlive-romande-9:svn19537.1.008_v7_sc-19.fc31.noarch texlive-romanneg-9:svn20087.0-19.fc31.noarch texlive-romannum-9:svn15878.1.0b-19.fc31.noarch texlive-rosario-9:svn51688-19.fc31.noarch texlive-rotfloat-9:svn18292.1.2-19.fc31.noarch texlive-rotpages-9:svn18740.3.0-19.fc31.noarch texlive-roundbox-9:svn29675.0.2-19.fc31.noarch texlive-rsc-9:svn41923-19.fc31.noarch texlive-rsfs-9:svn15878.0-19.fc31.noarch texlive-rsfso-9:svn37965.1.02-19.fc31.noarch texlive-rterface-9:svn30084.0-19.fc31.noarch texlive-rtkinenc-9:svn20003.1.0-19.fc31.noarch texlive-rulerbox-9:svn50984-19.fc31.noarch texlive-rulercompass-9:svn32392.1-19.fc31.noarch texlive-rutitlepage-9:svn51073-19.fc31.noarch texlive-rviewport-9:svn23739.v1.0-19.fc31.noarch texlive-rvwrite-9:svn19614.1.2-19.fc31.noarch texlive-ryersonsgsthesis-9:svn50119-19.fc31.noarch texlive-ryethesis-9:svn33945.1.36-19.fc31.noarch texlive-sa-tikz-9:svn32815.0.7a-19.fc31.noarch texlive-sageep-9:svn15878.1.0-19.fc31.noarch texlive-sanitize-umlaut-9:svn41365-19.fc31.noarch texlive-sansmath-9:svn17997.1.1-19.fc31.noarch texlive-sansmathaccent-9:svn30187.0-19.fc31.noarch texlive-sansmathfonts-9:svn51356-19.fc31.noarch texlive-sapthesis-9:svn48365-19.fc31.noarch texlive-sasnrdisplay-9:svn45963-19.fc31.noarch texlive-sauerj-9:svn15878.0-19.fc31.noarch texlive-sauter-9:svn13293.2.4-19.fc31.noarch texlive-sauterfonts-9:svn15878.0-19.fc31.noarch texlive-savefnmark-9:svn15878.1.0-19.fc31.noarch texlive-savesym-9:svn31565.1.2-19.fc31.noarch texlive-savetrees-9:svn40525-19.fc31.noarch texlive-scale-9:svn15878.1.1.2-19.fc31.noarch texlive-scalebar-9:svn15878.1.0-19.fc31.noarch texlive-scalerel-9:svn42809-19.fc31.noarch texlive-scanpages-9:svn42633-19.fc31.noarch texlive-schedule-9:svn51805-19.fc31.noarch texlive-schemabloc-9:svn15878.1.5-19.fc31.noarch texlive-schule-9:svn37277.0.6-19.fc31.noarch texlive-schulschriften-9:svn35730.4-19.fc31.noarch texlive-scientific-thesis-cover-9:svn47923-19.fc31.noarch texlive-sciposter-9:svn15878.1.18-19.fc31.noarch texlive-sclang-prettifier-9:svn35087.0.1-19.fc31.noarch texlive-scontents-9:svn51779-19.fc31.noarch texlive-scratch-9:svn50073-19.fc31.noarch texlive-scratch3-9:svn51537-19.fc31.noarch texlive-scratchx-9:svn44906-19.fc31.noarch texlive-scrjrnl-9:svn27810.0.1-19.fc31.noarch texlive-scrlttr2copy-9:svn39734-19.fc31.noarch texlive-scsnowman-9:svn47953-19.fc31.noarch texlive-sdrt-9:svn15878.1.0-19.fc31.noarch texlive-sduthesis-9:svn41401-19.fc31.noarch texlive-secdot-9:svn20208.1.0-19.fc31.noarch texlive-section-9:svn20180.0-19.fc31.noarch texlive-sectionbox-9:svn37749.1.01-19.fc31.noarch texlive-sectionbreak-9:svn50339-19.fc31.noarch texlive-sectsty-9:svn15878.2.0.2-19.fc31.noarch texlive-seealso-9:svn43595-19.fc31.noarch texlive-selectp-9:svn20185.1.0-19.fc31.noarch texlive-semantic-9:svn15878.2.0-19.fc31.noarch texlive-semantic-markup-9:svn47837-19.fc31.noarch texlive-semaphor-9:svn18651.0-19.fc31.noarch texlive-seminar-9:svn34011.1.62-19.fc31.noarch texlive-semioneside-9:svn15878.v0.41-19.fc31.noarch texlive-semproc-9:svn37568.0.1-19.fc31.noarch texlive-sepfootnotes-9:svn41732-19.fc31.noarch texlive-sepnum-9:svn20186.2.0-19.fc31.noarch texlive-seqsplit-9:svn15878.0.1-19.fc31.noarch texlive-sesamanuel-9:svn36613.0.6-19.fc31.noarch texlive-sesstime-9:svn49750-19.fc31.noarch texlive-setdeck-9:svn40613-19.fc31.noarch texlive-setspace-9:svn24881.6.7a-19.fc31.noarch texlive-seuthesis-9:svn33042.2.1.2-19.fc31.noarch texlive-seuthesix-9:svn40088-19.fc31.noarch texlive-sf298-9:svn41653-19.fc31.noarch texlive-sffms-9:svn15878.2.0-19.fc31.noarch texlive-sfg-9:svn20209.0.91-19.fc31.noarch texlive-sfmath-9:svn15878.0.8-19.fc31.noarch texlive-shadethm-9:svn20319.0-19.fc31.noarch texlive-shadow-9:svn20312.0-19.fc31.noarch texlive-shadowtext-9:svn26522.0.3-19.fc31.noarch texlive-shapepar-9:svn30708.2.2-19.fc31.noarch texlive-shdoc-9:svn41991-19.fc31.noarch texlive-shipunov-9:svn29349.1.1-19.fc31.noarch texlive-shobhika-9:svn50555-19.fc31.noarch texlive-shorttoc-9:svn15878.1.3-19.fc31.noarch texlive-show2e-9:svn15878.1.0-19.fc31.noarch texlive-showcharinbox-9:svn29803.0.1-19.fc31.noarch texlive-showdim-9:svn28918.1.2-19.fc31.noarch texlive-showexpl-9:svn42677-19.fc31.noarch texlive-showhyphens-9:svn39787-19.fc31.noarch texlive-showlabels-9:svn41322-19.fc31.noarch texlive-showtags-9:svn20336.1.05-19.fc31.noarch texlive-shuffle-9:svn15878.1.0-19.fc31.noarch texlive-sidecap-9:svn15878.1.6f-19.fc31.noarch texlive-sidenotes-9:svn40658-19.fc31.noarch texlive-signchart-9:svn39707-19.fc31.noarch texlive-silence-9:svn27028.1.5b-19.fc31.noarch texlive-simplecd-9:svn29260.1.4-19.fc31.noarch texlive-simplecv-9:svn35537.1.6a-19.fc31.noarch texlive-simpleinvoice-9:svn45673-19.fc31.noarch texlive-simplekv-9:svn44987-19.fc31.noarch texlive-simpler-wick-9:svn39074-19.fc31.noarch texlive-simplewick-9:svn15878.1.2a-19.fc31.noarch texlive-sitem-9:svn22136.1.0-19.fc31.noarch texlive-siunitx-9:svn47746-19.fc31.noarch texlive-skak-9:svn46259-19.fc31.noarch texlive-skb-9:svn22781.0.52-19.fc31.noarch texlive-skdoc-9:svn47526-19.fc31.noarch texlive-skeycommand-9:svn24652.0.4-19.fc31.noarch texlive-skeyval-9:svn30560.1.3-19.fc31.noarch texlive-skmath-9:svn49550-19.fc31.noarch texlive-skrapport-9:svn49540-19.fc31.noarch texlive-skull-9:svn25608.0.1-19.fc31.noarch texlive-slantsc-9:svn25007.2.11-19.fc31.noarch texlive-smalltableof-9:svn20333.0-19.fc31.noarch texlive-smartdiagram-9:svn42781-19.fc31.noarch texlive-smartref-9:svn20311.1.9-19.fc31.noarch texlive-smartunits-9:svn39592-19.fc31.noarch texlive-snapshot-9:svn15878.1.14-19.fc31.noarch texlive-snotez-9:svn30355.0.3-19.fc31.noarch texlive-sort-by-letters-9:svn27128.0-19.fc31.noarch texlive-soton-9:svn16215.0.1-19.fc31.noarch texlive-soul-9:svn15878.2.4-19.fc31.noarch texlive-soulpos-9:svn51479-19.fc31.noarch texlive-sourcecodepro-9:svn51163-19.fc31.noarch texlive-sourcesanspro-9:svn42852-19.fc31.noarch texlive-sourceserifpro-9:svn49120-19.fc31.noarch texlive-spacingtricks-9:svn51495-19.fc31.noarch texlive-spalign-9:svn42225-19.fc31.noarch texlive-spark-otf-9:svn51005-19.fc31.noarch texlive-sparklines-9:svn42821-19.fc31.noarch texlive-spath3-9:svn50018-19.fc31.noarch texlive-spectralsequences-9:svn50072-19.fc31.noarch texlive-sphack-9:svn20842.0-19.fc31.noarch texlive-sphdthesis-9:svn34374.1.0-19.fc31.noarch texlive-spie-9:svn15878.3.25-19.fc31.noarch texlive-splitbib-9:svn15878.1.17-19.fc31.noarch texlive-splitindex-7:20190410-8.fc31.noarch texlive-spot-9:svn22408.1.1-19.fc31.noarch texlive-spotcolor-9:svn15878.1.2-19.fc31.noarch texlive-spreadtab-9:svn50147-19.fc31.noarch texlive-spverbatim-9:svn15878.v1.0-19.fc31.noarch texlive-sr-vorl-9:svn39529-19.fc31.noarch texlive-srbook-mem-9:svn45818-19.fc31.noarch texlive-srcltx-9:svn15878.1.6-19.fc31.noarch texlive-srdp-mathematik-9:svn51600-19.fc31.noarch texlive-sseq-9:svn31585.2.01-19.fc31.noarch texlive-sslides-9:svn32293.0-19.fc31.noarch texlive-stack-9:svn15878.1.00-19.fc31.noarch texlive-stackengine-9:svn43221-19.fc31.noarch texlive-standalone-9:svn47136-19.fc31.noarch texlive-stanli-9:svn42765-19.fc31.noarch texlive-starfont-9:svn19982.1.2-19.fc31.noarch texlive-statex-9:svn20306.1.6-19.fc31.noarch texlive-statex2-9:svn23961.2.1-19.fc31.noarch texlive-statistics-9:svn48252-19.fc31.noarch texlive-statistik-9:svn20334.0.03-19.fc31.noarch texlive-statmath-9:svn46925-19.fc31.noarch texlive-staves-9:svn15878.0-19.fc31.noarch texlive-stdclsdv-9:svn15878.1.1a-19.fc31.noarch texlive-stdpage-9:svn15878.0.6-19.fc31.noarch texlive-stealcaps-9:svn46434-19.fc31.noarch texlive-steinmetz-9:svn15878.1.0-19.fc31.noarch texlive-stellenbosch-9:svn36696.11a-19.fc31.noarch texlive-stex-9:svn50489-19.fc31.noarch texlive-stickstoo-9:svn47858-19.fc31.noarch texlive-stix-9:svn47652-19.fc31.noarch texlive-stix2-otf-9:svn50948-19.fc31.noarch texlive-stix2-type1-9:svn50940-19.fc31.noarch texlive-stmaryrd-9:svn22027.0-19.fc31.noarch texlive-storebox-9:svn24895.1.3a-19.fc31.noarch texlive-storecmd-9:svn24431.0.0.2-19.fc31.noarch texlive-stringstrings-9:svn36203.1.23-19.fc31.noarch texlive-structmech-9:svn47859-19.fc31.noarch texlive-struktex-9:svn47931-19.fc31.noarch texlive-sttools-9:svn43684-19.fc31.noarch texlive-stubs-9:svn19440.0.1.1-19.fc31.noarch texlive-studenthandouts-9:svn43516-19.fc31.noarch texlive-subdepth-9:svn15878.0.1-19.fc31.noarch texlive-subdocs-9:svn51480-19.fc31.noarch texlive-subeqn-9:svn15878.2.0b-19.fc31.noarch texlive-subeqnarray-9:svn15878.2.1c-19.fc31.noarch texlive-subfig-9:svn15878.1.3-19.fc31.noarch texlive-subfigmat-9:svn20308.1.0-19.fc31.noarch texlive-subfigure-9:svn15878.2.1.5-19.fc31.noarch texlive-subfiles-9:svn48323-19.fc31.noarch texlive-subfloat-9:svn29349.2.14-19.fc31.noarch texlive-substances-9:svn40989-19.fc31.noarch texlive-substitutefont-9:svn32066.0.1.4-19.fc31.noarch texlive-substr-9:svn16117.1.2-19.fc31.noarch texlive-subsupscripts-9:svn16080.1.0-19.fc31.noarch texlive-subtext-9:svn51273-19.fc31.noarch texlive-suftesi-9:svn49138-19.fc31.noarch texlive-sugconf-9:svn15878.0-19.fc31.noarch texlive-superiors-9:svn36422.1.05-19.fc31.noarch texlive-supertabular-9:svn15878.4.1a-19.fc31.noarch texlive-susy-9:svn19440.0-19.fc31.noarch texlive-svg-9:svn49148-19.fc31.noarch texlive-svgcolor-9:svn15878.1.0-19.fc31.noarch texlive-svn-9:svn15878.43-19.fc31.noarch texlive-svn-multi-7:20190410-8.fc31.noarch texlive-svn-prov-9:svn18017.3.1862-19.fc31.noarch texlive-svninfo-9:svn17554.0.7.4-19.fc31.noarch texlive-svrsymbols-9:svn50019-19.fc31.noarch texlive-swimgraf-9:svn25446.0-19.fc31.noarch texlive-syllogism-9:svn15878.1.2-19.fc31.noarch texlive-symbol-9:svn31835.0-19.fc31.noarch texlive-sympytexpackage-9:svn45818-19.fc31.noarch texlive-synproof-9:svn15878.1.0-19.fc31.noarch texlive-syntax-9:svn15878.0-19.fc31.noarch texlive-syntrace-9:svn15878.1.1-19.fc31.noarch texlive-synttree-9:svn16252.1.4.2-19.fc31.noarch texlive-t-angles-9:svn15878.0-19.fc31.noarch texlive-tabfigures-9:svn25202.1.1-19.fc31.noarch texlive-table-fct-9:svn41849-19.fc31.noarch texlive-tableaux-9:svn42413-19.fc31.noarch texlive-tablefootnote-9:svn32804.1.1c-19.fc31.noarch texlive-tableof-9:svn36489.1.4a-19.fc31.noarch texlive-tablestyles-9:svn34495.0-19.fc31.noarch texlive-tablists-9:svn15878.0.0e-19.fc31.noarch texlive-tablor-9:svn31855.4.07_g-19.fc31.noarch texlive-tabls-9:svn17255.3.5-19.fc31.noarch texlive-tabriz-thesis-9:svn51729-19.fc31.noarch texlive-tabstackengine-9:svn46848-19.fc31.noarch texlive-tabto-ltx-9:svn50188-19.fc31.noarch texlive-tabu-9:svn49707-19.fc31.noarch texlive-tabularborder-9:svn17885.1.0a-19.fc31.noarch texlive-tabularcalc-9:svn15878.0.2-19.fc31.noarch texlive-tabularew-9:svn15878.0.1-19.fc31.noarch texlive-tabulary-9:svn34368.0.10-19.fc31.noarch texlive-tagging-9:svn23761.0-19.fc31.noarch texlive-tagpair-9:svn42138-19.fc31.noarch texlive-tagpdf-9:svn51535-19.fc31.noarch texlive-talk-9:svn42428-19.fc31.noarch texlive-tamefloats-9:svn27345.v0.42-19.fc31.noarch texlive-tapir-9:svn20484.0.2-19.fc31.noarch texlive-tasks-9:svn41851-19.fc31.noarch texlive-tcldoc-9:svn22018.2.40-19.fc31.noarch texlive-tcolorbox-9:svn50206-19.fc31.noarch texlive-tdclock-9:svn33043.v2.5-19.fc31.noarch texlive-technics-9:svn29349.1.0-19.fc31.noarch texlive-technion-thesis-template-9:svn49889-19.fc31.noarch texlive-ted-9:svn15878.1.06-19.fc31.noarch texlive-templatetools-9:svn34495.0-19.fc31.noarch texlive-tempora-9:svn39596-19.fc31.noarch texlive-tengwarscript-9:svn34594.1.3.1-19.fc31.noarch texlive-tensind-9:svn51481-19.fc31.noarch texlive-tensor-9:svn15878.2.1-19.fc31.noarch texlive-termcal-9:svn22514.1.8-19.fc31.noarch texlive-termlist-9:svn18923.1.1-19.fc31.noarch texlive-testhyphens-9:svn38928-19.fc31.noarch texlive-testidx-9:svn45021-19.fc31.noarch texlive-tetex-7:20190410-8.fc31.noarch texlive-tex-7:20190410-8.fc31.x86_64 texlive-tex-ewd-9:svn15878.0-19.fc31.noarch texlive-tex-gyre-9:svn48058-19.fc31.noarch texlive-tex-gyre-math-9:svn41264-19.fc31.noarch texlive-tex-ini-files-9:svn40533-19.fc31.noarch texlive-tex-label-9:svn16372.0-19.fc31.noarch texlive-tex-locale-9:svn48500-19.fc31.noarch texlive-tex4ht-7:20190410-8.fc31.x86_64 texlive-texconfig-7:20190410-8.fc31.noarch texlive-texdraw-9:svn51030-19.fc31.noarch texlive-texilikechaps-9:svn28553.1.0a-19.fc31.noarch texlive-texilikecover-9:svn15878.0.1-19.fc31.noarch texlive-texlive-common-doc-9:svn50466-19.fc31.noarch texlive-texlive-docindex-9:svn51813-19.fc31.noarch texlive-texlive-en-7:20190410-8.fc31.noarch texlive-texlive-msg-translations-9:svn51750-19.fc31.noarch texlive-texlive-scripts-7:20190410-8.fc31.noarch texlive-texlive.infra-7:20190410-8.fc31.noarch texlive-texlogos-9:svn19083.1.3.1-19.fc31.noarch texlive-texmate-9:svn15878.2-19.fc31.noarch texlive-texments-9:svn15878.0.2.0-19.fc31.noarch texlive-texpower-9:svn29349.0.2-19.fc31.noarch texlive-texshade-9:svn46559-19.fc31.noarch texlive-textcase-9:svn15878.0-19.fc31.noarch texlive-textfit-9:svn20591.5-19.fc31.noarch texlive-textgreek-9:svn44192-19.fc31.noarch texlive-textmerg-9:svn20677.2.01-19.fc31.noarch texlive-textopo-9:svn23796.1.5-19.fc31.noarch texlive-textpos-9:svn50988-19.fc31.noarch texlive-textualicomma-9:svn48474-19.fc31.noarch texlive-texvc-9:svn46844-19.fc31.noarch texlive-tfrupee-9:svn20770.1.02-19.fc31.noarch texlive-theoremref-9:svn30640.0-19.fc31.noarch texlive-thesis-ekf-9:svn51026-19.fc31.noarch texlive-thesis-gwu-9:svn48324-19.fc31.noarch texlive-thesis-qom-9:svn49124-19.fc31.noarch texlive-thesis-titlepage-fhac-9:svn15878.0.1-19.fc31.noarch texlive-thinsp-9:svn39669-19.fc31.noarch texlive-thmbox-9:svn15878.0-19.fc31.noarch texlive-thmtools-9:svn51790-19.fc31.noarch texlive-threadcol-9:svn28754.1.0-19.fc31.noarch texlive-threeparttable-9:svn17383.0-19.fc31.noarch texlive-threeparttablex-9:svn34206.0.3-19.fc31.noarch texlive-thuaslogos-9:svn51347-19.fc31.noarch texlive-thucoursework-9:svn47781-19.fc31.noarch texlive-thumb-9:svn16549.1.0-19.fc31.noarch texlive-thumbpdf-7:20190410-8.fc31.noarch texlive-thumbs-9:svn33134.1.0q-19.fc31.noarch texlive-thumby-9:svn16736.0.1-19.fc31.noarch texlive-thuthesis-9:svn50932-19.fc31.noarch texlive-ticket-9:svn42280-19.fc31.noarch texlive-ticollege-9:svn36306.1.0-19.fc31.noarch texlive-tikz-3dplot-9:svn25087.0-19.fc31.noarch texlive-tikz-bayesnet-9:svn38295.0.1-19.fc31.noarch texlive-tikz-cd-9:svn49201-19.fc31.noarch texlive-tikz-dependency-9:svn42454-19.fc31.noarch texlive-tikz-dimline-9:svn35805.1.0-19.fc31.noarch texlive-tikz-feynhand-9:svn46502-19.fc31.noarch texlive-tikz-feynman-9:svn39582-19.fc31.noarch texlive-tikz-imagelabels-9:svn51490-19.fc31.noarch texlive-tikz-inet-9:svn15878.0.1-19.fc31.noarch texlive-tikz-kalender-9:svn51329-19.fc31.noarch texlive-tikz-karnaugh-9:svn47026-19.fc31.noarch texlive-tikz-ladder-9:svn46555-19.fc31.noarch texlive-tikz-layers-9:svn46660-19.fc31.noarch texlive-tikz-nef-9:svn48240-19.fc31.noarch texlive-tikz-network-9:svn48314-19.fc31.noarch texlive-tikz-opm-9:svn32769.0.1.1-19.fc31.noarch texlive-tikz-optics-9:svn43466-19.fc31.noarch texlive-tikz-page-9:svn42039-19.fc31.noarch texlive-tikz-palattice-9:svn43442-19.fc31.noarch texlive-tikz-qtree-9:svn26108.1.2-19.fc31.noarch texlive-tikz-relay-9:svn51355-19.fc31.noarch texlive-tikz-sfc-9:svn49424-19.fc31.noarch texlive-tikz-timing-9:svn46111-19.fc31.noarch texlive-tikz-truchet-9:svn50020-19.fc31.noarch texlive-tikzcodeblocks-9:svn47265-19.fc31.noarch texlive-tikzducks-9:svn50840-19.fc31.noarch texlive-tikzinclude-9:svn28715.1.0-19.fc31.noarch texlive-tikzlings-9:svn50841-19.fc31.noarch texlive-tikzmark-9:svn51050-19.fc31.noarch texlive-tikzmarmots-9:svn49114-19.fc31.noarch texlive-tikzorbital-9:svn36439.0-19.fc31.noarch texlive-tikzpagenodes-9:svn27723.1.1-19.fc31.noarch texlive-tikzpeople-9:svn43978-19.fc31.noarch texlive-tikzpfeile-9:svn25777.1.0-19.fc31.noarch texlive-tikzposter-9:svn32732.2.0-19.fc31.noarch texlive-tikzscale-9:svn30637.0.2.6-19.fc31.noarch texlive-tikzsymbols-9:svn49975-19.fc31.noarch texlive-timbreicmc-9:svn49740-19.fc31.noarch texlive-times-9:svn35058.0-19.fc31.noarch texlive-timing-diagrams-9:svn31491.0-19.fc31.noarch texlive-tinos-9:svn42882-19.fc31.noarch texlive-tipa-9:svn29349.1.3-19.fc31.noarch texlive-tipfr-doc-9:svn38646-19.fc31.noarch texlive-titlecaps-9:svn36170.1.2-19.fc31.noarch texlive-titlefoot-9:svn15878.0-19.fc31.noarch texlive-titlepic-9:svn43497-19.fc31.noarch texlive-titleref-9:svn18729.3.1-19.fc31.noarch texlive-titlesec-9:svn51664-19.fc31.noarch texlive-titling-9:svn15878.2.1d-19.fc31.noarch texlive-tkz-base-9:svn22961.1.16-19.fc31.noarch texlive-tkz-berge-9:svn22891.1.00c-19.fc31.noarch texlive-tkz-doc-9:svn22959.1.1c-19.fc31.noarch texlive-tkz-euclide-9:svn22830.1.16c-19.fc31.noarch texlive-tkz-fct-9:svn22831.1.16c-19.fc31.noarch texlive-tkz-graph-9:svn22832.1.00-19.fc31.noarch texlive-tkz-kiviat-9:svn22857.0.1-19.fc31.noarch texlive-tkz-linknodes-9:svn22833.1.0c-19.fc31.noarch texlive-tkz-orm-9:svn39408-19.fc31.noarch texlive-tkz-tab-9:svn49775-19.fc31.noarch texlive-tlc-article-9:svn51431-19.fc31.noarch texlive-tocbibind-9:svn20085.1.5k-19.fc31.noarch texlive-tocdata-9:svn51654-19.fc31.noarch texlive-tocloft-9:svn45188-19.fc31.noarch texlive-tocvsec2-9:svn33146.1.3a-19.fc31.noarch texlive-todo-9:svn17746.2.142-19.fc31.noarch texlive-todonotes-9:svn49820-19.fc31.noarch texlive-tokenizer-9:svn15878.1.1.0-19.fc31.noarch texlive-toolbox-9:svn32260.5.1-19.fc31.noarch texlive-tools-9:svn49619-19.fc31.noarch texlive-topfloat-9:svn19084.0-19.fc31.noarch texlive-topiclongtable-9:svn51601-19.fc31.noarch texlive-topletter-9:svn48182-19.fc31.noarch texlive-toptesi-9:svn51743-19.fc31.noarch texlive-totcount-9:svn21178.1.2-19.fc31.noarch texlive-totpages-9:svn15878.2.00-19.fc31.noarch texlive-tpslifonts-9:svn42428-19.fc31.noarch texlive-tqft-9:svn44455-19.fc31.noarch texlive-tracklang-9:svn47704-19.fc31.noarch texlive-trajan-9:svn15878.1.1-19.fc31.noarch texlive-translations-9:svn45189-19.fc31.noarch texlive-translator-9:svn51279-19.fc31.noarch texlive-tree-dvips-9:svn21751.91-19.fc31.noarch texlive-trfsigns-9:svn15878.1.01-19.fc31.noarch texlive-trimspaces-9:svn15878.1.1-19.fc31.noarch texlive-trivfloat-9:svn15878.1.3b-19.fc31.noarch texlive-trsym-9:svn18732.1.0-19.fc31.noarch texlive-truncate-9:svn18921.3.6-19.fc31.noarch texlive-tsemlines-9:svn23440.1.0-19.fc31.noarch texlive-ttfutils-7:20190410-8.fc31.x86_64 texlive-tucv-9:svn20680.1.0-19.fc31.noarch texlive-tuda-ci-9:svn51822-19.fc31.noarch texlive-tudscr-9:svn51675-19.fc31.noarch texlive-tufte-latex-9:svn37649.3.5.2-19.fc31.noarch texlive-tugboat-9:svn49415-19.fc31.noarch texlive-tugboat-plain-9:svn51373-19.fc31.noarch texlive-tui-9:svn27253.1.9-19.fc31.noarch texlive-turabian-9:svn36298.0.1.0-19.fc31.noarch texlive-turabian-formatting-9:svn48330-19.fc31.noarch texlive-turnstile-9:svn15878.1.0-19.fc31.noarch texlive-turnthepage-9:svn29803.1.3a-19.fc31.noarch texlive-twoinone-9:svn17024.0-19.fc31.noarch texlive-twoup-9:svn15878.1.3-19.fc31.noarch texlive-txfonts-9:svn15878.0-19.fc31.noarch texlive-txfontsb-9:svn21578.1.1-19.fc31.noarch texlive-txgreeks-9:svn21839.1.0-19.fc31.noarch texlive-txuprcal-9:svn43327-19.fc31.noarch texlive-type1cm-9:svn21820.0-19.fc31.noarch texlive-typed-checklist-9:svn49731-19.fc31.noarch texlive-typeface-9:svn27046.0.1-19.fc31.noarch texlive-typehtml-9:svn17134.0-19.fc31.noarch texlive-typicons-9:svn37623.2.0.7-19.fc31.noarch texlive-typoaid-9:svn44238-19.fc31.noarch texlive-typogrid-9:svn24994.0.21-19.fc31.noarch texlive-uaclasses-9:svn15878.0-19.fc31.noarch texlive-uafthesis-9:svn29349.12.12-19.fc31.noarch texlive-uantwerpendocs-9:svn51007-19.fc31.noarch texlive-uassign-9:svn38459-19.fc31.noarch texlive-ucalgmthesis-9:svn50705-19.fc31.noarch texlive-ucbthesis-9:svn51690-19.fc31.noarch texlive-ucdavisthesis-9:svn40772-19.fc31.noarch texlive-ucharcat-9:svn38907-19.fc31.noarch texlive-ucs-9:svn35853.2.2-19.fc31.noarch texlive-ucsmonograph-9:svn49389-19.fc31.noarch texlive-ucthesis-9:svn15878.3.2-19.fc31.noarch texlive-uebungsblatt-9:svn15878.1.5.0-19.fc31.noarch texlive-uestcthesis-9:svn36371.1.1.0-19.fc31.noarch texlive-uhc-9:svn16791.0-19.fc31.noarch texlive-uhhassignment-9:svn44026-19.fc31.noarch texlive-uiucredborder-9:svn29974.1.00-19.fc31.noarch texlive-uiucthesis-9:svn15878.2.25-19.fc31.noarch texlive-ulem-9:svn26785.0-19.fc31.noarch texlive-ulqda-7:20190410-8.fc31.noarch texlive-ulthese-9:svn51038-19.fc31.noarch texlive-umbclegislation-9:svn41348-19.fc31.noarch texlive-umich-thesis-9:svn15878.1.20-19.fc31.noarch texlive-umoline-9:svn19085.0-19.fc31.noarch texlive-umthesis-9:svn15878.0.2-19.fc31.noarch texlive-umtypewriter-9:svn18651.001.002-19.fc31.noarch texlive-unam-thesis-9:svn51207-19.fc31.noarch texlive-unamth-template-doc-9:svn33625.2.0-19.fc31.noarch texlive-unamthesis-9:svn43639-19.fc31.noarch texlive-underlin-9:svn15878.1.01-19.fc31.noarch texlive-underoverlap-9:svn29019.0.0.1_r1-19.fc31.noarch texlive-underscore-9:svn18261.0-19.fc31.noarch texlive-undolabl-9:svn36681.1.0l-19.fc31.noarch texlive-uni-wtal-ger-9:svn31541.0.2-19.fc31.noarch texlive-uni-wtal-lin-9:svn31409.0.2-19.fc31.noarch texlive-unicode-data-9:svn50303-19.fc31.noarch texlive-unicode-math-9:svn50245-19.fc31.noarch texlive-unitn-bimrep-9:svn45581-19.fc31.noarch texlive-units-9:svn42428-19.fc31.noarch texlive-unitsdef-9:svn15878.0.2-19.fc31.noarch texlive-universa-9:svn15878.2.0-19.fc31.noarch texlive-universalis-9:svn33860.0-19.fc31.noarch texlive-univie-ling-9:svn49785-19.fc31.noarch texlive-unravel-9:svn50557-19.fc31.noarch texlive-unswcover-9:svn29476.1.0-19.fc31.noarch texlive-uothesis-9:svn25355.2.5.6-19.fc31.noarch texlive-uowthesis-9:svn19700.1.0a-19.fc31.noarch texlive-uowthesistitlepage-9:svn45022-19.fc31.noarch texlive-updmap-map-9:svn52454-19.fc31.noarch texlive-upmethodology-9:svn51818-19.fc31.noarch texlive-uppunctlm-9:svn42334-19.fc31.noarch texlive-upquote-9:svn26059.v1.3-19.fc31.noarch texlive-urcls-9:svn49903-19.fc31.noarch texlive-uri-9:svn21608.1.0a-19.fc31.noarch texlive-url-9:svn32528.3.4-19.fc31.noarch texlive-urlbst-7:20190410-8.fc31.noarch texlive-urwchancal-9:svn21701.1-19.fc31.noarch texlive-usebib-9:svn25969.1.0a-19.fc31.noarch texlive-ushort-9:svn32261.2.2-19.fc31.noarch texlive-uspace-9:svn42456-19.fc31.noarch texlive-uspatent-9:svn27744.1.0-19.fc31.noarch texlive-ut-thesis-9:svn38269.2.1-19.fc31.noarch texlive-utopia-9:svn15878.0-19.fc31.noarch texlive-uwthesis-9:svn15878.6.13-19.fc31.noarch texlive-vak-9:svn23431.0-19.fc31.noarch texlive-vancouver-9:svn34470.0-19.fc31.noarch texlive-variablelm-9:svn46611-19.fc31.noarch texlive-varindex-9:svn32262.2.3-19.fc31.noarch texlive-varsfromjobname-9:svn44154-19.fc31.noarch texlive-varwidth-9:svn24104.0.92-19.fc31.noarch texlive-vdmlisting-9:svn29944.1.0-19.fc31.noarch texlive-venn-9:svn15878.0-19.fc31.noarch texlive-venndiagram-9:svn47952-19.fc31.noarch texlive-venturisadf-9:svn19444.1.005-19.fc31.noarch texlive-verbasef-9:svn21922.1.1-19.fc31.noarch texlive-verbatimbox-9:svn33197.3.13-19.fc31.noarch texlive-verbatimcopy-9:svn15878.0.06-19.fc31.noarch texlive-verbdef-9:svn17177.0.2-19.fc31.noarch texlive-verbments-9:svn23670.1.2-19.fc31.noarch texlive-verse-9:svn34017.2.4b-19.fc31.noarch texlive-version-9:svn21920.2.0-19.fc31.noarch texlive-versions-9:svn21921.0.55-19.fc31.noarch texlive-versonotes-9:svn51568-19.fc31.noarch texlive-vertbars-9:svn49429-19.fc31.noarch texlive-vgrid-9:svn32457.0.1-19.fc31.noarch texlive-vhistory-9:svn30080.1.6.1-19.fc31.noarch texlive-visualpstricks-doc-9:svn39799-19.fc31.noarch texlive-vmargin-9:svn15878.2.5-19.fc31.noarch texlive-volumes-9:svn15878.1.0-19.fc31.noarch texlive-vpe-7:20190410-8.fc31.noarch texlive-vruler-9:svn21598.2.3-19.fc31.noarch texlive-vtable-9:svn51126-19.fc31.noarch texlive-vwcol-9:svn36254.0.2-19.fc31.noarch texlive-wadalab-9:svn42428-19.fc31.noarch texlive-wallcalendar-9:svn45568-19.fc31.noarch texlive-wallpaper-9:svn15878.1.10-19.fc31.noarch texlive-warning-9:svn22028.0.01-19.fc31.noarch texlive-warpcol-9:svn15878.1.0c-19.fc31.noarch texlive-was-9:svn21439.0-19.fc31.noarch texlive-wasy-9:svn35831.0-19.fc31.noarch texlive-wasy2-ps-9:svn35830.0-19.fc31.noarch texlive-wasysym-9:svn15878.2.0-19.fc31.noarch texlive-webquiz-7:20190410-8.fc31.noarch texlive-widetable-9:svn51501-19.fc31.noarch texlive-widows-and-orphans-9:svn49194-19.fc31.noarch texlive-williams-9:svn15878.0-19.fc31.noarch texlive-windycity-9:svn51668-19.fc31.noarch texlive-withargs-9:svn42756-19.fc31.noarch texlive-witharrows-9:svn51793-19.fc31.noarch texlive-wordcount-7:20190410-8.fc31.noarch texlive-wordlike-9:svn15878.1.2b-19.fc31.noarch texlive-worksheet-9:svn48423-19.fc31.noarch texlive-wrapfig-9:svn22048.3.6-19.fc31.noarch texlive-wsemclassic-9:svn31532.1.0.1-19.fc31.noarch texlive-wsuipa-9:svn25469.0-19.fc31.noarch texlive-wtref-9:svn42981-19.fc31.noarch texlive-xargs-9:svn15878.1.1-19.fc31.noarch texlive-xassoccnt-9:svn49516-19.fc31.noarch texlive-xbmks-9:svn48138-19.fc31.noarch texlive-xcharter-9:svn51816-19.fc31.noarch texlive-xcite-9:svn23783.1.0-19.fc31.noarch texlive-xcjk2uni-9:svn50848-19.fc31.noarch texlive-xcntperchap-9:svn46236-19.fc31.noarch texlive-xcolor-9:svn41044-19.fc31.noarch texlive-xcolor-material-9:svn42289-19.fc31.noarch texlive-xcolor-solarized-9:svn41809-19.fc31.noarch texlive-xcomment-9:svn20031.1.3-19.fc31.noarch texlive-xcookybooky-9:svn36435.1.5-19.fc31.noarch texlive-xcpdftips-9:svn50449-19.fc31.noarch texlive-xdoc-9:svn15878.prot2.5-19.fc31.noarch texlive-xduthesis-9:svn39694-19.fc31.noarch texlive-xdvi-7:20190410-8.fc31.x86_64 texlive-xellipsis-9:svn47546-19.fc31.noarch texlive-xetex-7:20190410-8.fc31.x86_64 texlive-xetexconfig-9:svn45845-19.fc31.noarch texlive-xfakebold-9:svn48460-19.fc31.noarch texlive-xfor-9:svn15878.1.05-19.fc31.noarch texlive-xhfill-9:svn22575.1.01-19.fc31.noarch texlive-xifthen-9:svn38929-19.fc31.noarch texlive-xint-9:svn50844-19.fc31.noarch texlive-xits-9:svn32763.1.108-19.fc31.noarch texlive-xkeyval-9:svn35741.2.7a-19.fc31.noarch texlive-xltabular-9:svn49939-19.fc31.noarch texlive-xltxtra-9:svn49555-19.fc31.noarch texlive-xmpincl-9:svn15878.2.2-19.fc31.noarch texlive-xnewcommand-9:svn15878.1.2-19.fc31.noarch texlive-xoptarg-9:svn15878.1.0-19.fc31.noarch texlive-xpatch-9:svn27897.0.2-19.fc31.noarch texlive-xpeek-9:svn27442.0.2-19.fc31.noarch texlive-xpicture-9:svn28770.1.2a-19.fc31.noarch texlive-xprintlen-9:svn35928.1.0-19.fc31.noarch texlive-xpunctuate-9:svn26641.1.0-19.fc31.noarch texlive-xsavebox-9:svn51448-19.fc31.noarch texlive-xsim-9:svn46634-19.fc31.noarch texlive-xstring-9:svn49946-19.fc31.noarch texlive-xtab-9:svn23347.2.3f-19.fc31.noarch texlive-xunicode-9:svn30466.0.981-19.fc31.noarch texlive-xurl-9:svn49488-19.fc31.noarch texlive-xwatermark-9:svn28090.1.5.2d-19.fc31.noarch texlive-xyling-9:svn15878.1.1-19.fc31.noarch texlive-xymtex-9:svn32182.5.06-19.fc31.noarch texlive-xypic-9:svn31859.3.8.9-19.fc31.noarch texlive-xytree-9:svn15878.1.5-19.fc31.noarch texlive-yafoot-9:svn19086.0-19.fc31.noarch texlive-yagusylo-9:svn29803.1.2-19.fc31.noarch texlive-yaletter-9:svn42830-19.fc31.noarch texlive-yathesis-9:svn50630-19.fc31.noarch texlive-ycbook-9:svn46201-19.fc31.noarch texlive-ydoc-9:svn26202.0.6alpha-19.fc31.noarch texlive-yfonts-9:svn50755-19.fc31.noarch texlive-yfonts-t1-9:svn36013-19.fc31.noarch texlive-yhmath-9:svn50127-19.fc31.noarch texlive-yinit-otf-9:svn40207-19.fc31.noarch texlive-york-thesis-9:svn23348.3.6-19.fc31.noarch texlive-youngtab-9:svn17635.1.1-19.fc31.noarch texlive-yplan-7:20190410-8.fc31.noarch texlive-ytableau-9:svn27430.1.3-19.fc31.noarch texlive-zapfchan-9:svn31835.0-19.fc31.noarch texlive-zapfding-9:svn31835.0-19.fc31.noarch texlive-zebra-goodies-9:svn51554-19.fc31.noarch texlive-zed-csp-9:svn17258.0-19.fc31.noarch texlive-zhnumber-9:svn50850-19.fc31.noarch texlive-ziffer-9:svn32279.2.1-19.fc31.noarch texlive-zlmtt-9:svn51368-19.fc31.noarch texlive-zootaxa-bst-9:svn50619-19.fc31.noarch texlive-zwgetfdate-9:svn15878.0-19.fc31.noarch texlive-zwpagelayout-9:svn28846.1.4d-19.fc31.noarch tk-1:8.6.8-2.fc31.x86_64 tre-0.8.0-26.20140228gitc2f5d13.fc31.x86_64 tre-common-0.8.0-26.20140228gitc2f5d13.fc31.noarch txt2man-1.6.0-7.fc31.noarch urw-base35-bookman-fonts-20170801-13.fc31.noarch urw-base35-c059-fonts-20170801-13.fc31.noarch urw-base35-d050000l-fonts-20170801-13.fc31.noarch urw-base35-fonts-20170801-13.fc31.noarch urw-base35-fonts-common-20170801-13.fc31.noarch urw-base35-gothic-fonts-20170801-13.fc31.noarch urw-base35-nimbus-mono-ps-fonts-20170801-13.fc31.noarch urw-base35-nimbus-roman-fonts-20170801-13.fc31.noarch urw-base35-nimbus-sans-fonts-20170801-13.fc31.noarch urw-base35-p052-fonts-20170801-13.fc31.noarch urw-base35-standard-symbols-ps-fonts-20170801-13.fc31.noarch urw-base35-z003-fonts-20170801-13.fc31.noarch vim-minimal-2:8.2.525-1.fc31.x86_64 xdg-utils-1.1.3-5.fc31.noarch xkeyboard-config-2.28-1.fc31.noarch xml-common-0.6.3-53.fc31.noarch xorg-x11-font-utils-1:7.5-43.fc31.x86_64 xorg-x11-fonts-ISO8859-1-100dpi-7.5-23.fc31.noarch xorg-x11-server-utils-7.7-32.fc31.x86_64 zlib-devel-1.2.11-20.fc31.x86_64 zziplib-0.13.69-6.fc31.x86_64 Complete! Finish: build setup for yosys-0.9-3.fc31.src.rpm Start: rpmbuild yosys-0.9-3.fc31.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1587254400 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Oq3Hgt + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys-yosys-0.9 + /usr/bin/gzip -dc /builddir/build/SOURCES/yosys-0.9.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-yosys-0.9 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + echo 'Patch #1 (yosys-cfginc.patch):' Patch #1 (yosys-cfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 628 (offset 108 lines). + echo 'Patch #2 (yosys-mancfginc.patch):' Patch #2 (yosys-mancfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/CHAPTER_Prog/Makefile patching file manual/PRESENTATION_Prog/Makefile + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + /usr/bin/tar -xof - + /usr/bin/xz -dc /builddir/build/SOURCES/yosys_0.9-1.debian.tar.xz + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/brams_init.py + touch -r ./techlibs/xilinx/brams_init.py ./techlibs/xilinx/brams_init.py.new + mv ./techlibs/xilinx/brams_init.py.new ./techlibs/xilinx/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ice40/brams_init.py + touch -r ./techlibs/ice40/brams_init.py ./techlibs/ice40/brams_init.py.new + mv ./techlibs/ice40/brams_init.py.new ./techlibs/ice40/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/brams_init.py + touch -r ./techlibs/ecp5/brams_init.py ./techlibs/ecp5/brams_init.py.new + mv ./techlibs/ecp5/brams_init.py.new ./techlibs/ecp5/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/brams_connect.py + touch -r ./techlibs/ecp5/brams_connect.py ./techlibs/ecp5/brams_connect.py.new + mv ./techlibs/ecp5/brams_connect.py.new ./techlibs/ecp5/brams_connect.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + make config-gcc /bin/sh: clang: command not found rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f rm -f kernel/version_UNKNOWN.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verific/verific.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/smt2/smt2.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/common/synth.o techlibs/common/prep.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v passes/techmap/filterlib.o passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h techlibs/xilinx/brams_init.mk techlibs/ice40/brams_init.mk techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata echo 'CONFIG := gcc' > Makefile.conf ++ find manual -name '*.tex' -exec grep -l '{luximono}' '{}' ';' + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/presentation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/manual.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_012_Verilog_to_BTOR.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_011_Design_Investigation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_010_Verilog_to_BLIF.tex + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.AbW8qx + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all manual [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os)\"; }" > kernel/version_UNKNOWN.cc gcc -o kernel/driver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ gcc -o kernel/log.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:5: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:48: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:37: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:28: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:5: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:48: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:37: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:35: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ilang/ bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_rmdff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/techmap/ echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new echo "0};" >> passes/techmap/techmap.inc.new mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffe.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffsr2dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffs.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/ilang/ gcc -o backends/ilang/ilang_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/determine_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/determine_init.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffssr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_unlut.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_unlut.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/ecp5_ffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_ffinit.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_determine_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_determine_init.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/sf2_iobs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/sf2_iobs.cc sed -e 's#@CXXFLAGS@#-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/backends/ilang/ cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p techlibs/xilinx mkdir -p share/gowin python3 techlibs/xilinx/brams_init.py cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/bram.txt share/gowin/bram.txt mkdir -p share/gowin cp "./"/techlibs/gowin/drams_map.v share/gowin/drams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/dram.txt share/gowin/dram.txt mkdir -p share/gowin cp "./"/techlibs/gowin/brams_init3.vh share/gowin/brams_init3.vh mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v touch techlibs/xilinx/brams_init.mk mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 mkdir -p techlibs/ice40 python3 techlibs/ice40/brams_init.py cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v touch techlibs/ice40/brams_init.mk mkdir -p share/intel/max10 mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclonev mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/bram.txt share/ecp5/bram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p techlibs/ecp5 mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_connect.py python3 techlibs/ecp5/brams_init.py touch techlibs/ecp5/brams_connect.mk mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v touch techlibs/ecp5/brams_init.mk mkdir -p share/coolrunner2 mkdir -p share/anlogic cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams.txt share/anlogic/drams.txt cp "./"/techlibs/anlogic/drams_map.v share/anlogic/drams_map.v mkdir -p share/anlogic mkdir -p share/greenpak4 cp "./"/techlibs/anlogic/dram_init_16x4.vh share/anlogic/dram_init_16x4.vh cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p kernel/ gcc -o kernel/version_UNKNOWN.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_UNKNOWN.cc mkdir -p kernel/ gcc -o kernel/register.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_parser.tab.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_lexer.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 mkdir -p share/xilinx cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_1_2_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_9_18_36.vh share/ecp5/bram_init_9_18_36.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_1.vh share/ecp5/bram_conn_1.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_2.vh share/ecp5/bram_conn_2.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_4.vh share/ecp5/bram_conn_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_9.vh share/ecp5/bram_conn_9.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_18.vh share/ecp5/bram_conn_18.vh gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic kernel/version_UNKNOWN.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/verific/verific.o frontends/json/jsonparse.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/liberty/liberty.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o backends/firrtl/firrtl.o backends/simplec/simplec.o backends/edif/edif.o backends/aiger/aiger.o backends/smt2/smt2.o backends/table/table.o backends/btor/btor.o backends/intersynth/intersynth.o backends/ilang/ilang_backend.o backends/json/json.o backends/verilog/verilog_backend.o backends/smv/smv.o backends/blif/blif.o backends/spice/spice.o techlibs/easic/synth_easic.o techlibs/xilinx/synth_xilinx.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/achronix/synth_achronix.o techlibs/common/synth.o techlibs/common/prep.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 cd manual && bash appnotes.sh Build successful. + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' ++ '[' -f APPNOTE_010_Verilog_to_BLIF.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_010_Verilog_to_BLIF.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. kpathsea: Running mktexfmt pdflatex.fmt mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2019/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2019/texmf-var/web2c mktexfmt [INFO]: --- remaking pdflatex with pdftex mktexfmt: running `pdftex -ini -jobname=pdflatex -progname=pdflatex -translate-file=cp227.tcx *pdflatex.ini' ... This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (INITEX) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) entering extended mode (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/pdflatex.ini (/usr/share/texlive/texmf-dist/tex/generic/tex-ini-files/pdftexconfig.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/latex.ltx (/usr/share/texlive/texmf-dist/tex/latex/base/texsys.cfg) ./texsys.aux found \@currdir set to: ./. Assuming \openin and \input have the same search path. Defining UNIX/DOS style filename parser. catcodes, registers, parameters, LaTeX2e <2018-12-01> hacks, control, par, spacing, files, font encodings, lengths, ==================================== Local config file fonttext.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmtt.fd))) ==================================== Local config file fontmath.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlcmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omscmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omxcmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ucmr.fd))) ==================================== Local config file preload.cfg used ===================================== (/usr/share/texlive/texmf-dist/tex/latex/base/preload.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/preload.ltx)) page nos., x-ref, environments, center, verbatim, math definitions, boxes, title, sectioning, contents, floats, footnotes, index, bibliography, output, =========================================== Local configuration file hyphen.cfg used =========================================== (/usr/share/texlive/texmf-dist/tex/generic/babel/hyphen.cfg (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/hyphen.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/dumyhyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/zerohyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/loadhyph/loadhyph-pl.tex QX Polish hyphenation patterns (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/conversions/conv-utf8-qx.t ex) (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/patterns/tex/hyph-pl.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/base/utf8.def (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.dfu)) ) ) Beginning to dump on file pdflatex.fmt (preloaded format=pdflatex 2020.4.19) 5482 strings of total length 73558 51382 memory locations dumped; current usage is 144&51208 3778 multiletter control sequences \font\nullfont=nullfont \font\OMX/cmex/m/n/10=cmex10 \font\tenln=line10 \font\tenlnw=linew10 \font\tencirc=lcircle10 \font\tencircw=lcirclew10 \font\OT1/cmr/m/n/5=cmr5 \font\OT1/cmr/m/n/7=cmr7 \font\OT1/cmr/m/n/10=cmr10 \font\OML/cmm/m/it/5=cmmi5 \font\OML/cmm/m/it/7=cmmi7 \font\OML/cmm/m/it/10=cmmi10 \font\OMS/cmsy/m/n/5=cmsy5 \font\OMS/cmsy/m/n/7=cmsy7 \font\OMS/cmsy/m/n/10=cmsy10 3633 words of font info for 14 preloaded fonts 34 hyphenation exceptions Hyphenation trie of length 11340 has 377 ops out of 35111 194 for language 3 2 for language 1 181 for language 0 0 words of pdfTeX memory 0 indirect objects No pages of output. Transcript written on pdflatex.log. mktexfmt [INFO]: /builddir/.texlive2019/texmf-var/web2c/pdftex/pdflatex.fmt installed. mktexfmt [INFO]: Successfully rebuilt formats: 1 mktexfmt [INFO]: Not selected formats: 16 mktexfmt [INFO]: Total formats: 17 mktexfmt [INFO]: exiting with status 0 entering extended mode (./APPNOTE_010_Verilog_to_BLIF.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file APPNOTE_010_Verilog_to_BLIF.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Citation `blif' on page 1 undefined on input line 64. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 69. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 97. LaTeX Warning: Citation `bigsim' on page 1 undefined on input line 98. LaTeX Warning: Citation `navre' on page 1 undefined on input line 104. Underfull \hbox (badness 10000) in paragraph at lines 109--111 []\T1/LinuxLibertineT-TLF/m/n/9 Converting \T1/LinuxLibertineMonoT-TLF/regular/ n/9 softusb_navre.v \T1/LinuxLibertineT-TLF/m/n/9 to (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (23.40253pt too wide) in paragraph at lines 114--115 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 3128) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 For ex-am-ple, the \T1/LinuxLibertineMonoT-TLF/re gular/n/9 -o softusb_navre.blif \T1/LinuxLibertineT-TLF/m/n/9 op-tion just Underfull \hbox (badness 2035) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 -- \T1/LinuxLibertineMonoT-TLF/regular/n/9 softus b_navre.v \T1/LinuxLibertineT-TLF/m/n/9 in this case -- adds the com-mand [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 ] Overfull \hbox (23.40253pt too wide) in paragraph at lines 235--236 [][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Citation `amber' on page 2 undefined on input line 244. LaTeX Warning: Citation `bigsim' on page 2 undefined on input line 246. LaTeX Warning: Reference `glob_arst' on page 2 undefined on input line 278. LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 290. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 345. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 394. LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 395. LaTeX Warning: Reference `sieve' on page 2 undefined on input line 397. Underfull \vbox (badness 3815) has occurred while \output is active [2pdfTeX wa rning (ext4): destination with the same identifier (name{figure.4}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 ] LaTeX Warning: Citation `ABC' on page 3 undefined on input line 401. [3pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 362244 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='26a7f8cddb1dbf63fc08d96edf3efa37 -' + '[' '' '!=' '26a7f8cddb1dbf63fc08d96edf3efa37 -' ']' + old_md5='26a7f8cddb1dbf63fc08d96edf3efa37 -' + pdflatex -shell-escape -halt-on-error APPNOTE_010_Verilog_to_BLIF.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_010_Verilog_to_BLIF.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./APPNOTE_010_Verilog_to_BLIF.aux) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) Underfull \hbox (badness 10000) in paragraph at lines 109--111 []\T1/LinuxLibertineT-TLF/m/n/9 Converting \T1/LinuxLibertineMonoT-TLF/regular/ n/9 softusb_navre.v \T1/LinuxLibertineT-TLF/m/n/9 to (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (23.40253pt too wide) in paragraph at lines 114--115 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 3128) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 For ex-am-ple, the \T1/LinuxLibertineMonoT-TLF/re gular/n/9 -o softusb_navre.blif \T1/LinuxLibertineT-TLF/m/n/9 op-tion just Underfull \hbox (badness 2035) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 -- \T1/LinuxLibertineMonoT-TLF/regular/n/9 softus b_navre.v \T1/LinuxLibertineT-TLF/m/n/9 in this case -- adds the com-mand [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 ] Overfull \hbox (23.40253pt too wide) in paragraph at lines 235--236 [][][][][][][][][][][][][][][][][][][][][][][][][][] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) Underfull \vbox (badness 3815) has occurred while \output is active [2pdfTeX wa rning (ext4): destination with the same identifier (name{figure.4}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 ] [3pdfTeX warning (ext4): destination with the same identifier (name{fig ure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 362523 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='26a7f8cddb1dbf63fc08d96edf3efa37 -' + '[' '26a7f8cddb1dbf63fc08d96edf3efa37 -' '!=' '26a7f8cddb1dbf63fc08d96edf3efa37 -' ']' + touch APPNOTE_010_Verilog_to_BLIF.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_011_Design_Investigation.ok -a APPNOTE_011_Design_Investigation.ok -nt APPNOTE_011_Design_Investigation.tex ']' + '[' -f APPNOTE_011_Design_Investigation/make.sh ']' + cd APPNOTE_011_Design_Investigation + bash make.sh + false + for dot_file in *.dot + pdf_file=cmos_00.pdf + dot -Tpdf -o cmos_00.pdf cmos_00.dot + for dot_file in *.dot + pdf_file=cmos_01.pdf + dot -Tpdf -o cmos_01.pdf cmos_01.dot + for dot_file in *.dot + pdf_file=example_00.pdf + dot -Tpdf -o example_00.pdf example_00.dot + for dot_file in *.dot + pdf_file=example_01.pdf + dot -Tpdf -o example_01.pdf example_01.dot + for dot_file in *.dot + pdf_file=example_02.pdf + dot -Tpdf -o example_02.pdf example_02.dot + for dot_file in *.dot + pdf_file=example_03.pdf + dot -Tpdf -o example_03.pdf example_03.dot + for dot_file in *.dot + pdf_file=memdemo_00.pdf + dot -Tpdf -o memdemo_00.pdf memdemo_00.dot + for dot_file in *.dot + pdf_file=memdemo_01.pdf + dot -Tpdf -o memdemo_01.pdf memdemo_01.dot + for dot_file in *.dot + pdf_file=splice.pdf + dot -Tpdf -o splice.pdf splice.dot + for dot_file in *.dot + pdf_file=submod_00.pdf + dot -Tpdf -o submod_00.pdf submod_00.dot + for dot_file in *.dot + pdf_file=submod_01.pdf + dot -Tpdf -o submod_01.pdf submod_01.dot + for dot_file in *.dot + pdf_file=submod_02.pdf + dot -Tpdf -o submod_02.pdf submod_02.dot + for dot_file in *.dot + pdf_file=submod_03.pdf + dot -Tpdf -o submod_03.pdf submod_03.dot + for dot_file in *.dot + pdf_file=sumprod_00.pdf + dot -Tpdf -o sumprod_00.pdf sumprod_00.dot + for dot_file in *.dot + pdf_file=sumprod_01.pdf + dot -Tpdf -o sumprod_01.pdf sumprod_01.dot + for dot_file in *.dot + pdf_file=sumprod_02.pdf + dot -Tpdf -o sumprod_02.pdf sumprod_02.dot + for dot_file in *.dot + pdf_file=sumprod_03.pdf + dot -Tpdf -o sumprod_03.pdf sumprod_03.dot + for dot_file in *.dot + pdf_file=sumprod_04.pdf + dot -Tpdf -o sumprod_04.pdf sumprod_04.dot + for dot_file in *.dot + pdf_file=sumprod_05.pdf + dot -Tpdf -o sumprod_05.pdf sumprod_05.dot + cd .. ++ '[' -f APPNOTE_011_Design_Investigation.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_011_Design_Investigation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_011_Design_Investigation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file APPNOTE_011_Design_Investigation.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros LaTeX Warning: Citation `yosys' on page 1 undefined on input line 61. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 74. LaTeX Warning: Citation `graphviz' on page 1 undefined on input line 76. LaTeX Warning: Citation `xdot' on page 1 undefined on input line 77. LaTeX Warning: Reference `intro_show' on page 1 undefined on input line 83. LaTeX Warning: Reference `navigate' on page 1 undefined on input line 86. LaTeX Warning: Reference `poke' on page 1 undefined on input line 90. LaTeX Warning: Reference `conclusion' on page 1 undefined on input line 93. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 124. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 138. LaTeX Warning: Reference `example_out' on page 1 undefined on input line 146. [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.172 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.172 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `example_out' on page 2 undefined on input line 195. (./APPNOTE_011_Design_Investigation/splice.v Overfull \hbox (5.80966pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] LaTeX Warning: Reference `splice_dia' on page 2 undefined on input line 226. LaTeX Warning: Reference `splice_src' on page 2 undefined on input line 226. Underfull \hbox (badness 10000) in paragraph at lines 229--236 []\T1/LinuxLibertineT-TLF/m/n/9 The key el-e-ments in un-der-stand-ing this cir -cuit Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 di-a-gram are of course the boxes with round cor- ners Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 and rows la-beled \T1/LinuxLibertineMonoT-TLF/reg ular/n/9 : -- Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineMonoT-TLF/regular/n/9 :\T1/LinuxLiberti neT-TLF/m/n/9 . Each of this boxes has LaTeX Warning: Reference `splitnets_libfile' on page 2 undefined on input line 246. [2pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.253 pdfTeX warning (ext4): destination with the same identifier (name{figure. 4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.253 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.253 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 1412) in paragraph at lines 263--268 []\T1/LinuxLibertineT-TLF/m/n/9 In ad-di-tion to that, the 2nd di-a-gram was ge n-er-ated af-ter LaTeX Warning: Reference `example_src' on page 3 undefined on input line 337. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 355. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 355. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 369. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 370. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 372. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 373. LaTeX Warning: Reference `dump2' on page 3 undefined on input line 386. [3pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.390 F or the remainder of this document we will assume that the commands ar... pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.390 F or the remainder of this document we will assume that the commands ar... ] LaTeX Warning: Reference `example_out' on page 4 undefined on input line 398. LaTeX Warning: Reference `example_out' on page 4 undefined on input line 398. LaTeX Warning: Reference `seladd' on page 4 undefined on input line 412. LaTeX Warning: Reference `seladd' on page 4 undefined on input line 420. (./APPNOTE_011_Design_Investigation/foobaraddsub.v Overfull \hbox (32.80994pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./APPNOTE_011_Design_Investigation/sumprod.v) LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 455. LaTeX Warning: Reference `foobaraddsub' on page 4 undefined on input line 470. [4pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i pdfTeX warning (ext4): destination with the same id entifier (name{figure.9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i pdfTeX warning (ext4): destination with the same id entifier (name{figure.10}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i pdfTeX warning (ext4): destination with the same id entifier (name{figure.11}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i <./APPNOTE_011_Design_Investigation/example_03.pdf > <./APPNOTE_011_Design_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `sumprod' on page 5 undefined on input line 485. LaTeX Warning: Reference `sumprod_00' on page 5 undefined on input line 491. LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 500. LaTeX Warning: Reference `sumprod' on page 5 undefined on input line 504. LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 510. LaTeX Warning: Reference `select_prod' on page 5 undefined on input line 519. LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 552. LaTeX Warning: Reference `memdemo_00' on page 5 undefined on input line 555. (./APPNOTE_011_Design_Investigation/memdemo.v Overfull \hbox (43.61005pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (5.80966pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 565. Underfull \vbox (badness 1248) has occurred while \output is active [5pdfTeX wa rning (ext4): destination with the same identifier (name{figure.12}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.574 show y %ci2 pdfTeX warning (ext4): destination with the same identifier (n ame{figure.13}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.574 show y %ci2 pdfTeX warning (ext4): destination with the same identifier (n ame{figure.14}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.574 show y %ci2 <./APPNOTE_011_Design_Investigation/sumprod_01.pdf> <./APPNOT E_011_Design_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 10000) in paragraph at lines 610--610 \T1/LinuxLibertineT-TLF/m/n/8 Figure 16. []Output of \T1/LinuxLibertineMonoT-T LF/regular/n/8 show y %ci2:+$dff[Q,D] LaTeX Warning: Reference `memdemo_01' on page 6 undefined on input line 632. [6pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.680 pdfTeX warning (ext4): destination with the same identifier (name{figure. 16}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.680 <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNOTE_011_Desig n_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `submod' on page 7 undefined on input line 681. LaTeX Warning: Reference `memdemo_src' on page 7 undefined on input line 682. LaTeX Warning: Reference `memdemo_00' on page 7 undefined on input line 682. Overfull \hbox (17.20978pt too wide) in paragraph at lines 692--693 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 693--694 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 694--695 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `memdemo_src' on page 7 undefined on input line 699. LaTeX Warning: Reference `memdemo_00' on page 7 undefined on input line 699. LaTeX Warning: Reference `submod' on page 7 undefined on input line 706. Overfull \hbox (79.51968pt too wide) in paragraph at lines 710--710 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1 Overfull \hbox (34.71973pt too wide) in paragraph at lines 712--712 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 9. Executing EVAL pass (evaluate t he circuit given an input).[] Overfull \hbox (83.99968pt too wide) in paragraph at lines 713--713 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1[] Overfull \hbox (39.19972pt too wide) in paragraph at lines 731--731 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0] Overfull \hbox (39.19972pt too wide) in paragraph at lines 733--733 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 10. Executing EVAL pass (evaluate the circuit given an input).[] Overfull \hbox (43.67972pt too wide) in paragraph at lines 734--734 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0][] Overfull \hbox (3.35976pt too wide) in paragraph at lines 747--747 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Assumed undef (x) value for the fo llowing signals: \s2[] (./APPNOTE_011_Design_Investigation/primetest.v) LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. Overfull \hbox (14.51244pt too wide) in paragraph at lines 806--807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Citation `CircuitSAT' on page 7 undefined on input line 834. LaTeX Warning: Citation `MiniSAT' on page 7 undefined on input line 834. Overfull \hbox (52.63971pt too wide) in paragraph at lines 842--842 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> sat -show s1,s2, d -set s1 s2 -set n2,n1 4'b1001 Overfull \hbox (34.71973pt too wide) in paragraph at lines 844--844 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 11. Executing SAT pass (solving SA T problems in the circuit).[] Overfull \hbox (57.1197pt too wide) in paragraph at lines 845--845 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: sat -show s1,s2 ,d -set s1 s2 -set n2,n1 4'b1001[] Overfull \hbox (25.75974pt too wide) in paragraph at lines 850--850 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Final constraint equation: { \n2 \ n1 \s1 } = { 4'1001 \s2 }[] [7pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.854 ...oblem with 81 variables and 207 clauses.. <./APPNOTE_011_Design_Invest igation/submod_00.pdf> <./APPNOTE_011_Design_Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (30.23973pt too wide) in paragraph at lines 857--857 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Signal Name Dec Hex Bin[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 858--858 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 -------------------- ---------- ---------- ---------------[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 859--859 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \d 9 9 1001[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 860--860 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s1 0 0 00[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 861--861 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s2 0 0 00[] LaTeX Warning: Reference `primetest' on page 8 undefined on input line 875. LaTeX Warning: Reference `primesat' on page 8 undefined on input line 879. LaTeX Warning: Reference `primesat' on page 8 undefined on input line 887. Overfull \hbox (29.8099pt too wide) in paragraph at lines 904--905 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 905--907 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (25.60986pt too wide) in paragraph at lines 907--908 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (29.8099pt too wide) in paragraph at lines 908--909 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 909--911 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (38.20999pt too wide) in paragraph at lines 939--940 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.20999pt too wide) in paragraph at lines 940--942 [][][][][][][][][][][][][][][] Overfull \hbox (8.8097pt too wide) in paragraph at lines 945--946 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 949--950 [][][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 950--951 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 951--952 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 952--953 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 953--954 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 954--955 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 955--956 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 956--957 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 957--958 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 958--959 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 959--960 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 960--961 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 961--962 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 962--963 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 963--964 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 964--965 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 965--966 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 966--967 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 967--968 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 968--969 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 969--970 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 970--971 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 971--972 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 972--973 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 973--974 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 974--975 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 975--976 [][][][][][][][][][][][][][][] LaTeX Warning: Reference `memdemo_src' on page 8 undefined on input line 977. LaTeX Warning: Reference `memdemo_src' on page 8 undefined on input line 977. LaTeX Warning: Float too large for page by 81.95883pt on input line 979. LaTeX Warning: Reference `memdemo_src' on page 8 undefined on input line 983. LaTeX Warning: Reference `memdemo_sat' on page 8 undefined on input line 986. Overfull \hbox (18.71974pt too wide) in paragraph at lines 990--990 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 sat -seq 6 -show y -show d -set-in it-undef \ Overfull \hbox (76.31969pt too wide) in paragraph at lines 991--991 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 -max_undef -set-at 4 y 1 -set-at 5 y 2 -set-at 6 y 3[] LaTeX Warning: Citation `tip' on page 8 undefined on input line 1020. (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [8pdfTeX warning (ex t4): destination with the same identifier (name{figure.18}) has been already us ed, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] [9pdfTeX warning (ext4): destination with the same ident ifier (name{figure.19}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] [10pdfTeX warning (ext4): destination with the same iden tifier (name{figure.20}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_011_Design_Investigation.pdf (10 pages, 650363 bytes) . Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='5380b5db9e01c63dbb6e78ff67d05e3f -' + '[' '' '!=' '5380b5db9e01c63dbb6e78ff67d05e3f -' ']' + old_md5='5380b5db9e01c63dbb6e78ff67d05e3f -' + pdflatex -shell-escape -halt-on-error APPNOTE_011_Design_Investigation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_011_Design_Investigation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./APPNOTE_011_Design_Investigation.aux) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX wa rning (ext4): destination with the same identifier (name{figure.1}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.172 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.172 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/splice.v Overfull \hbox (5.80966pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] Underfull \hbox (badness 10000) in paragraph at lines 229--236 []\T1/LinuxLibertineT-TLF/m/n/9 The key el-e-ments in un-der-stand-ing this cir -cuit Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 di-a-gram are of course the boxes with round cor- ners Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 and rows la-beled \T1/LinuxLibertineMonoT-TLF/reg ular/n/9 : -- Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineMonoT-TLF/regular/n/9 :\T1/LinuxLiberti neT-TLF/m/n/9 . Each of this boxes has [2pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.253 pdfTeX warning (ext4): destination with the same identifier (name{figure. 4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.253 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.253 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 1412) in paragraph at lines 263--268 []\T1/LinuxLibertineT-TLF/m/n/9 In ad-di-tion to that, the 2nd di-a-gram was ge n-er-ated af-ter [3pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.390 F or the remainder of this document we will assume that the commands ar... pdfTeX warning (ext4): destination with the same identifier (name{figure.7}) ha s been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.390 F or the remainder of this document we will assume that the commands ar... ] (./APPNOTE_011_Design_Investigation/foobaraddsub.v Overfull \hbox (32.80994pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./APPNOTE_011_Design_Investigation/sumprod.v) [4pdfTeX warning (ext4): desti nation with the same identifier (name{figure.8}) has been already used, duplica te ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i pdfTeX warning (ext4): destination with the same id entifier (name{figure.9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i pdfTeX warning (ext4): destination with the same id entifier (name{figure.10}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i pdfTeX warning (ext4): destination with the same id entifier (name{figure.11}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.482 select t:$add a:foo %i <./APPNOTE_011_Design_Investigation/example_03.pdf > <./APPNOTE_011_Design_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/memdemo.v Overfull \hbox (43.61005pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (5.80966pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \vbox (badness 1248) has occurred while \output is active [5pdfTeX wa rning (ext4): destination with the same identifier (name{figure.12}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.574 show y %ci2 pdfTeX warning (ext4): destination with the same identifier (n ame{figure.13}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.574 show y %ci2 pdfTeX warning (ext4): destination with the same identifier (n ame{figure.14}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.574 show y %ci2 <./APPNOTE_011_Design_Investigation/sumprod_01.pdf> <./APPNOT E_011_Design_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 10000) in paragraph at lines 610--610 \T1/LinuxLibertineT-TLF/m/n/8 Figure 16. []Output of \T1/LinuxLibertineMonoT-T LF/regular/n/8 show y %ci2:+$dff[Q,D] [6pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.680 pdfTeX warning (ext4): destination with the same identifier (name{figure. 16}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.680 <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNOTE_011_Desig n_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (17.20978pt too wide) in paragraph at lines 692--693 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 693--694 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 694--695 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.51968pt too wide) in paragraph at lines 710--710 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1 Overfull \hbox (34.71973pt too wide) in paragraph at lines 712--712 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 9. Executing EVAL pass (evaluate t he circuit given an input).[] Overfull \hbox (83.99968pt too wide) in paragraph at lines 713--713 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1[] Overfull \hbox (39.19972pt too wide) in paragraph at lines 731--731 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0] Overfull \hbox (39.19972pt too wide) in paragraph at lines 733--733 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 10. Executing EVAL pass (evaluate the circuit given an input).[] Overfull \hbox (43.67972pt too wide) in paragraph at lines 734--734 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0][] Overfull \hbox (3.35976pt too wide) in paragraph at lines 747--747 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Assumed undef (x) value for the fo llowing signals: \s2[] (./APPNOTE_011_Design_Investigation/primetest.v) Overfull \hbox (14.51244pt too wide) in paragraph at lines 806--807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (52.63971pt too wide) in paragraph at lines 842--842 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> sat -show s1,s2, d -set s1 s2 -set n2,n1 4'b1001 Overfull \hbox (34.71973pt too wide) in paragraph at lines 844--844 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 11. Executing SAT pass (solving SA T problems in the circuit).[] Overfull \hbox (57.1197pt too wide) in paragraph at lines 845--845 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: sat -show s1,s2 ,d -set s1 s2 -set n2,n1 4'b1001[] Overfull \hbox (25.75974pt too wide) in paragraph at lines 850--850 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Final constraint equation: { \n2 \ n1 \s1 } = { 4'1001 \s2 }[] [7pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.854 ...oblem with 81 variables and 207 clauses.. <./APPNOTE_011_Design_Invest igation/submod_00.pdf> <./APPNOTE_011_Design_Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (30.23973pt too wide) in paragraph at lines 857--857 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Signal Name Dec Hex Bin[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 858--858 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 -------------------- ---------- ---------- ---------------[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 859--859 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \d 9 9 1001[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 860--860 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s1 0 0 00[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 861--861 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s2 0 0 00[] Overfull \hbox (29.8099pt too wide) in paragraph at lines 904--905 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 905--907 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (25.60986pt too wide) in paragraph at lines 907--908 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (29.8099pt too wide) in paragraph at lines 908--909 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 909--911 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (38.20999pt too wide) in paragraph at lines 939--940 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.20999pt too wide) in paragraph at lines 940--942 [][][][][][][][][][][][][][][] Overfull \hbox (8.8097pt too wide) in paragraph at lines 945--946 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 949--950 [][][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 950--951 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 951--952 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 952--953 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 953--954 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 954--955 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 955--956 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 956--957 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 957--958 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 958--959 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 959--960 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 960--961 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 961--962 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 962--963 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 963--964 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 964--965 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 965--966 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 966--967 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 967--968 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 968--969 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 969--970 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 970--971 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 971--972 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 972--973 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 973--974 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 974--975 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 975--976 [][][][][][][][][][][][][][][] LaTeX Warning: Float too large for page by 81.95883pt on input line 979. Overfull \hbox (18.71974pt too wide) in paragraph at lines 990--990 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 sat -seq 6 -show y -show d -set-in it-undef \ Overfull \hbox (76.31969pt too wide) in paragraph at lines 991--991 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 -max_undef -set-at 4 y 1 -set-at 5 y 2 -set-at 6 y 3[] (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [8pdfTeX warning (ex t4): destination with the same identifier (name{figure.18}) has been already us ed, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] [9pdfTeX warning (ext4): destination with the same ident ifier (name{figure.19}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] [10pdfTeX warning (ext4): destination with the same iden tifier (name{figure.20}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_011_Design_Investigation.pdf (10 pages, 652408 bytes) . Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='5380b5db9e01c63dbb6e78ff67d05e3f -' + '[' '5380b5db9e01c63dbb6e78ff67d05e3f -' '!=' '5380b5db9e01c63dbb6e78ff67d05e3f -' ']' + touch APPNOTE_011_Design_Investigation.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_012_Verilog_to_BTOR.ok -a APPNOTE_012_Verilog_to_BTOR.ok -nt APPNOTE_012_Verilog_to_BTOR.tex ']' + '[' -f APPNOTE_012_Verilog_to_BTOR/make.sh ']' ++ '[' -f APPNOTE_012_Verilog_to_BTOR.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_012_Verilog_to_BTOR.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_012_Verilog_to_BTOR.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file APPNOTE_012_Verilog_to_BTOR.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros LaTeX Warning: Citation `btor' on page 1 undefined on input line 61. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 65. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 85. LaTeX Warning: Citation `btor' on page 1 undefined on input line 94. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Reference `specifying_property_assert' on page 1 undefined on in put line 118. LaTeX Warning: Reference `specifying_property_output' on page 1 undefined on in put line 123. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) Overfull \hbox (12.60242pt too wide) in paragraph at lines 128--130 [][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Citation `boolector' on page 1 undefined on input line 177. LaTeX Warning: Citation `nuxmv' on page 1 undefined on input line 192. LaTeX Warning: Reference `btor_script_memory' on page 1 undefined on input line 202. Overfull \hbox (1.8023pt too wide) in paragraph at lines 208--209 [][][][][][][][][][][][][][][][][][][] [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} ] LaTeX Warning: Reference `btor_script_without_memory' on page 2 undefined on in put line 257. Overfull \hbox (1.8023pt too wide) in paragraph at lines 263--264 [][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active Overfull \hbox (7.20236pt too wide) in paragraph at lines 298--300 [][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `btor_script_memory' on page 2 undefined on input line 308. Underfull \vbox (badness 10000) has occurred while \output is active [2pdfTeX w arning (ext4): destination with the same identifier (name{figure.5}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 ] LaTeX Warning: Reference `btor_script_without_memory' on page 3 undefined on in put line 347. Underfull \vbox (badness 10000) has occurred while \output is active [3pdfTeX w arning (ext4): destination with the same identifier (name{figure.8}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 ] [4] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (4 pages, 368023 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='8be0190d527c7a2741d88e89423b231d -' + '[' '' '!=' '8be0190d527c7a2741d88e89423b231d -' ']' + old_md5='8be0190d527c7a2741d88e89423b231d -' + pdflatex -shell-escape -halt-on-error APPNOTE_012_Verilog_to_BTOR.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_012_Verilog_to_BTOR.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./APPNOTE_012_Verilog_to_BTOR.aux LaTeX Warning: Label `example_btor' multiply defined. ) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) Overfull \hbox (12.60242pt too wide) in paragraph at lines 128--130 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.8023pt too wide) in paragraph at lines 208--209 [][][][][][][][][][][][][][][][][][][] [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} ] Overfull \hbox (1.8023pt too wide) in paragraph at lines 263--264 [][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active Overfull \hbox (7.20236pt too wide) in paragraph at lines 298--300 [][][][][][][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active [2pdfTeX w arning (ext4): destination with the same identifier (name{figure.5}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 ] Underfull \vbox (badness 10000) has occurred while \output is active [3pdfTeX w arning (ext4): destination with the same identifier (name{figure.8}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 ] [4] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were multiply-defined labels. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (4 pages, 368344 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='8be0190d527c7a2741d88e89423b231d -' + '[' '8be0190d527c7a2741d88e89423b231d -' '!=' '8be0190d527c7a2741d88e89423b231d -' ']' + touch APPNOTE_012_Verilog_to_BTOR.ok cd manual && bash presentation.sh + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux '*.snm' '*.nav' '*.toc' md5sum: '*.snm': No such file or directory md5sum: '*.nav': No such file or directory md5sum: '*.toc': No such file or directory + make -C PRESENTATION_Intro make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Intro' ../../yosys counter.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \counter 2.2. Analyzing design hierarchy.. Top module: \counter Removed 0 unused modules. 3. Generating Graphviz representation of design. Writing dot description to `counter_00.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf' 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.9. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 6.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). 6.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 6.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.5. Executing MEMORY_COLLECT pass (generating $mem cells). 6.6. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_RMDFF pass (remove dff with constant values). 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_RMDFF pass (remove dff with constant values). 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Writing dot description to `counter_01.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf' 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: Parsing Verilog input from `' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $dff. Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $alu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $xor. Using template $paramod\_90_lcu\WIDTH=2 for cells of type $lcu. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $or. No more expansions possible. 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_RMDFF pass (remove dff with constant values). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_RMDFF pass (remove dff with constant values). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.16. Finished OPT passes. (There is nothing left to do.) 13. Executing SPLITNETS pass (splitting up multi-bit signals). 14. Generating Graphviz representation of design. Writing dot description to `counter_02.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf' 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. create mapping for $_DFF_N_ from mapping for $_DFF_P_. final dff cell mappings: DFF _DFF_N_ (.C(~C), .D( D), .Q( Q)); DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 16. Executing ABC pass (technology mapping using ABC). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Extracted 6 gates and 12 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Running ABC command: /usr/bin/abc -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_Intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + ifraig ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + retime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 6 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. Writing dot description to `counter_03.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' End of script. Logfile hash: 543ce2bb49 CPU: user 0.07s system 0.01s, MEM: 16.89 MB total, 11.26 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 17% 4x read_verilog (0 sec), 15% 9x opt_clean (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Intro' + make -C PRESENTATION_ExSyn make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExSyn' ../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' -- -- Executing script file `proc_01.ys' -- 1. Executing Verilog-2005 frontend: proc_01.v Parsing Verilog input from `proc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_01.v:2$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_01.v:2$1'. 1/1: $0\Q[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. created $adff cell `$procdff$2' with positive edge clock and positive level reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_01.v:2$1'. Cleaned up 0 empty switches. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' End of script. Logfile hash: db08299fbb CPU: user 0.01s system 0.00s, MEM: 15.77 MB total, 9.52 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 1x clean (0 sec), 24% 1x show (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' -- -- Executing script file `proc_02.ys' -- 1. Executing Verilog-2005 frontend: proc_02.v Parsing Verilog input from `proc_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_02.v:3$1'. 1/1: $0\Q[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. Warning: Async reset value `\RV' is not constant! created $dffsr cell `$procdff$2' with positive edge clock and positive level non-const reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_02.v:3$1'. Cleaned up 0 empty switches. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' Warnings: 1 unique messages, 1 total End of script. Logfile hash: 56c089ead5 CPU: user 0.01s system 0.00s, MEM: 15.77 MB total, 9.81 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 31% 1x clean (0 sec), 25% 1x show (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' -- -- Executing script file `proc_03.ys' -- 1. Executing Verilog-2005 frontend: proc_03.v Parsing Verilog input from `proc_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_03.v:3$1'. 1/1: $0\Y[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. 3.7. Executing PROC_DFF pass (convert process syncs to FFs). 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. Removing empty process `test.$proc$proc_03.v:3$1'. Cleaned up 2 empty switches. Removed 0 unused cells and 4 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' End of script. Logfile hash: fd9c05a086 CPU: user 0.01s system 0.00s, MEM: 15.77 MB total, 9.82 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 31% 1x clean (0 sec), 24% 1x show (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' -- -- Executing script file `opt_01.ys' -- 1. Executing Verilog-2005 frontend: opt_01.v Parsing Verilog input from `opt_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$opt_01.v:2$1. Removed 1 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. New ctrl vector for $mux cell $ternary$opt_01.v:2$2: { } Optimizing cells in module \test. Performed a total of 1 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.13. Executing OPT_RMDFF pass (remove dff with constant values). 3.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 3.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.16. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' End of script. Logfile hash: 946b2a57b6 CPU: user 0.01s system 0.00s, MEM: 15.75 MB total, 10.06 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 3x opt_expr (0 sec), 26% 3x opt_merge (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' -- -- Executing script file `opt_02.ys' -- 1. Executing Verilog-2005 frontend: opt_02.v Parsing Verilog input from `opt_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' End of script. Logfile hash: 8ae470d74b CPU: user 0.01s system 0.00s, MEM: 15.76 MB total, 9.40 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 30% 2x opt_expr (0 sec), 24% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' -- -- Executing script file `opt_03.ys' -- 1. Executing Verilog-2005 frontend: opt_03.v Parsing Verilog input from `opt_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' End of script. Logfile hash: e467ab0617 CPU: user 0.01s system 0.00s, MEM: 15.77 MB total, 9.88 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 24% 2x opt_expr (0 sec), 24% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' -- -- Executing script file `opt_04.ys' -- 1. Executing Verilog-2005 frontend: opt_04.v Parsing Verilog input from `opt_04.v' to AST representation. Generating RTLIL representation for module `\test'. Warning: wire '\Q1' is assigned in a block at opt_04.v:8. Warning: wire '\Q2' is assigned in a block at opt_04.v:12. Warning: wire '\Q2' is assigned in a block at opt_04.v:14. Warning: wire '\Q3' is assigned in a block at opt_04.v:17. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \ARST in `\test.$proc$opt_04.v:10$2'. Found async reset \ARST in `\test.$proc$opt_04.v:6$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$opt_04.v:16$3'. 1/1: $0\Q3[7:0] Creating decoders for process `\test.$proc$opt_04.v:10$2'. 1/1: $0\Q2[7:0] Creating decoders for process `\test.$proc$opt_04.v:6$1'. 1/1: $0\Q1[7:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'. created $adff cell `$procdff$5' with positive edge clock and positive level reset. Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'. created $adff cell `$procdff$6' with positive edge clock and positive level reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$opt_04.v:16$3'. Removing empty process `test.$proc$opt_04.v:10$2'. Removing empty process `test.$proc$opt_04.v:6$1'. Cleaned up 0 empty switches. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_RMDFF pass (remove dff with constant values). Removing $procdff$6 ($adff) from module test. Removing $procdff$5 ($adff) from module test. Removing $procdff$4 ($dff) from module test. Replaced 3 DFF cells. 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_RMDFF pass (remove dff with constant values). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `opt_04.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' Warnings: 4 unique messages, 4 total End of script. Logfile hash: 35bed86fa8 CPU: user 0.02s system 0.00s, MEM: 15.76 MB total, 10.09 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 21% 3x opt_merge (0 sec), 21% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' -- -- Executing script file `memory_01.ys' -- 1. Executing Verilog-2005 frontend: memory_01.v Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_01.v:5$2'. 1/4: $0\DOUT[7:0] 2/4: $0$memwr$\mem$memory_01.v:6$1_EN[7:0]$3 3/4: $0$memwr$\mem$memory_01.v:6$1_DATA[7:0]$5 4/4: $0$memwr$\mem$memory_01.v:6$1_ADDR[0:0]$4 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$9' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$10' with positive edge clock. Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$11' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_01.v:5$2'. Cleaned up 0 empty switches. Removed 0 unused cells and 4 unused wires. 4. Executing MEMORY pass. 4.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). Checking cell `$memwr$\mem$memory_01.v:6$7' in module `\test': merged $dff to cell. Checking cell `$memrd$\mem$memory_01.v:7$6' in module `\test': merged data $dff to cell. 4.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 4 unused cells and 5 unused wires. 4.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 4.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.5. Executing MEMORY_COLLECT pass (generating $mem cells). Collecting $memrd, $memwr and $meminit for memory `\mem' in module `\test': $memwr$\mem$memory_01.v:6$7 ($memwr) $memrd$\mem$memory_01.v:7$6 ($memrd) 4.6. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). Mapping memory cell \mem in module \test: created 2 $dff cells and 0 static cells of width 8. read interface: 1 $dff and 1 $mux cells. write interface: 2 write mux blocks. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 6 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_RMDFF pass (remove dff with constant values). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' End of script. Logfile hash: 6e79952879 CPU: user 0.03s system 0.00s, MEM: 15.77 MB total, 9.81 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 24% 4x opt_clean (0 sec), 18% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' -- -- Executing script file `memory_02.ys' -- 1. Executing Verilog-2005 frontend: memory_02.v Parsing Verilog input from `memory_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_02.v:24$13'. 1/1: $0\RD2_DATA[7:0] Creating decoders for process `\test.$proc$memory_02.v:21$11'. 1/1: $0\RD1_DATA[7:0] Creating decoders for process `\test.$proc$memory_02.v:17$7'. 1/3: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 2/3: $0$memwr$\memory$memory_02.v:19$2_DATA[7:0]$9 3/3: $0$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$8 Creating decoders for process `\test.$proc$memory_02.v:13$3'. 1/3: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 2/3: $0$memwr$\memory$memory_02.v:15$1_DATA[7:0]$5 3/3: $0$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$4 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$13'. created $dff cell `$procdff$29' with positive edge clock. Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$11'. created $dff cell `$procdff$30' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$31' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$32' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$33' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$34' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$36' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_02.v:24$13'. Removing empty process `test.$proc$memory_02.v:21$11'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$7'. Removing empty process `test.$proc$memory_02.v:17$7'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. Removing empty process `test.$proc$memory_02.v:13$3'. Cleaned up 2 empty switches. Removed 0 unused cells and 14 unused wires. 4. Executing MEMORY pass. 4.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). Checking cell `$memwr$\memory$memory_02.v:15$15' in module `\test': merged $dff to cell. Checking cell `$memwr$\memory$memory_02.v:19$16' in module `\test': merged $dff to cell. Checking cell `$memrd$\memory$memory_02.v:22$12' in module `\test': merged data $dff to cell. Checking cell `$memrd$\memory$memory_02.v:25$14' in module `\test': merged data $dff to cell. 4.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 8 unused cells and 10 unused wires. 4.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating write ports of memory test.memory by address: New clock domain: posedge \WR1_CLK Port 0 ($memwr$\memory$memory_02.v:15$15) has addr \WR1_ADDR. Active bits: 11111111 New clock domain: posedge \WR2_CLK Port 1 ($memwr$\memory$memory_02.v:19$16) has addr \WR2_ADDR. Active bits: 11111111 Consolidating write ports of memory test.memory using sat-based resource sharing: Port 0 ($memwr$\memory$memory_02.v:15$15) on posedge \WR1_CLK: considered Port 1 ($memwr$\memory$memory_02.v:19$16) on posedge \WR2_CLK: considered No two subsequent ports in same clock domain considered -> nothing to consolidate. 4.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.5. Executing MEMORY_COLLECT pass (generating $mem cells). Collecting $memrd, $memwr and $meminit for memory `\memory' in module `\test': $memwr$\memory$memory_02.v:15$15 ($memwr) $memwr$\memory$memory_02.v:19$16 ($memwr) $memrd$\memory$memory_02.v:22$12 ($memrd) $memrd$\memory$memory_02.v:25$14 ($memrd) 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Consolidated identical input bits for $mux cell $procmux$23: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } Consolidated identical input bits for $mux cell $procmux$17: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] } Optimizing cells in module \test. Performed a total of 2 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 4 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_RMDFF pass (remove dff with constant values). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' End of script. Logfile hash: aa9233bc91 CPU: user 0.04s system 0.00s, MEM: 15.82 MB total, 9.89 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 4x opt_clean (0 sec), 12% 1x clean (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' -- -- Executing script file `techmap_01.ys' -- 1. Executing Verilog-2005 frontend: techmap_01.v Parsing Verilog input from `techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: techmap_01_map.v Parsing Verilog input from `techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$add\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `techmap_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' End of script. Logfile hash: 0262846cc7 CPU: user 0.01s system 0.00s, MEM: 15.92 MB total, 9.75 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 38% 1x techmap (0 sec), 31% 1x clean (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' -- -- Executing script file `abc_01.ys' -- 1. Executing Verilog-2005 frontend: abc_01.v Parsing Verilog input from `abc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: abc_01_cells.v Parsing Verilog input from `abc_01_cells.v' to AST representation. Generating RTLIL representation for module `\BUF'. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Generating RTLIL representation for module `\DFFSR'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \test 3.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$abc_01.v:5$1'. 1/3: $0\y[0:0] 2/3: $0\q2[2:0] 3/3: $0\q1[2:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$3' with positive edge clock. Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$5' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$abc_01.v:5$1'. Cleaned up 0 empty switches. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Finished OPT passes. (There is nothing left to do.) 6. Executing TECHMAP pass (map to technology primitives). 6.1. Executing Verilog-2005 frontend: Parsing Verilog input from `' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 6.2. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $reduce_xor. No more expansions possible. 7. Executing ABC pass (technology mapping using ABC). 7.1. Summary of detected clock domains: 9 cells in clk=\clk, en={ } 7.2. Extracting gate netlist of module `\test' to `/input.blif'.. Found matching posedge clock domain: \clk Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs. 7.2.1. Executing ABC. Running ABC command: /usr/bin/abc -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_ExSyn/abc_01_cells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR". ABC: Library "demo" from "/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + ifraig ABC: + scorr ABC: Warning: 7 registers in this network have don't-care init values. ABC: The don't-care are assumed to be 0. The result may not verify. ABC: Use command "print_latch" to see the init values of registers. ABC: Use command "zero" to convert or "init" to change the values. ABC: + dc2 ABC: + dretime ABC: + retime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 7.2.2. Re-integrating ABC results. ABC RESULTS: BUF cells: 2 ABC RESULTS: NAND cells: 3 ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 3 ABC RESULTS: _dff_ cells: 4 ABC RESULTS: internal signals: 8 ABC RESULTS: input signals: 3 ABC RESULTS: output signals: 1 Removing temp directory. Removed 0 unused cells and 9 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `abc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' End of script. Logfile hash: 314af208de CPU: user 0.04s system 0.00s, MEM: 16.68 MB total, 11.05 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 50% 5x read_verilog (0 sec), 9% 1x abc (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExSyn' + make -C PRESENTATION_ExAdv make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExAdv' ../../yosys select.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `select.ys' -- 1. Executing Verilog-2005 frontend: select.v Parsing Verilog input from `select.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$select.v:7$1'. 1/2: $0\c[15:0] 2/2: $0\b[15:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$9' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_RMDFF pass (remove dff with constant values). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 7 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_RMDFF pass (remove dff with constant values). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `select.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' End of script. Logfile hash: ac335b9416 CPU: user 0.02s system 0.00s, MEM: 15.81 MB total, 9.91 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 20% 3x opt_expr (0 sec), 18% 2x opt_clean (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `red_or3x1_test.ys' -- 1. Executing Verilog-2005 frontend: red_or3x1_test.v Parsing Verilog input from `red_or3x1_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: red_or3x1_map.v Parsing Verilog input from `red_or3x1_map.v' to AST representation. Generating RTLIL representation for module `\$reduce_or'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=7\Y_WIDTH=1 for cells of type $reduce_or. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=1\Y_WIDTH=1 for cells of type $reduce_or. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=3\Y_WIDTH=1 for cells of type $reduce_or. No more expansions possible. Removed 0 unused cells and 18 unused wires. 4. Executing SPLITNETS pass (splitting up multi-bit signals). 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v Parsing Verilog input from `red_or3x1_cells.v' to AST representation. Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `red_or3x1.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' End of script. Logfile hash: f7f7720e72 CPU: user 0.01s system 0.00s, MEM: 15.90 MB total, 9.99 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 43% 1x techmap (0 sec), 24% 4x read_verilog (0 sec), ... ../../yosys sym_mul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `sym_mul_test.ys' -- 1. Executing Verilog-2005 frontend: sym_mul_test.v Parsing Verilog input from `sym_mul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=8\B_WIDTH=8\Y_WIDTH=8 for cells of type $mul. No more expansions possible. Removed 0 unused cells and 6 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `sym_mul.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' End of script. Logfile hash: 976edf2e64 CPU: user 0.01s system 0.00s, MEM: 15.77 MB total, 9.91 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 28% 1x clean (0 sec), 27% 4x read_verilog (0 sec), ... ../../yosys mymul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `mymul_test.ys' -- 1. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mymul_map.v Parsing Verilog input from `mymul_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $mul. Using template $paramod\MYMUL\WIDTH=2 for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 10 unused wires. Renaming module \test to \test_mapped. 4. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 5. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". 6. Executing FLATTEN pass (flatten design). Using template test_mapped for cells of type test_mapped. Using template test for cells of type test. No more expansions possible. 7. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 9 cells to SAT database. Import proof-constraint: \trigger = 1'0 Final proof equation: \trigger = 1'0 Solving problem with 127 variables and 335 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ 8. Executing SPLITNETS pass (splitting up multi-bit signals). 9. Generating Graphviz representation of design. Writing dot description to `mymul.dot'. Dumping module test_mapped to page 1. Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' End of script. Logfile hash: 88e74f01d1 CPU: user 0.02s system 0.00s, MEM: 15.90 MB total, 10.23 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 2x clean (0 sec), 20% 1x techmap (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `mulshift_test.ys' -- 1. Executing Verilog-2005 frontend: mulshift_test.v Parsing Verilog input from `mulshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mulshift_map.v Parsing Verilog input from `mulshift_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=8\B_WIDTH=8\Y_WIDTH=8 for cells of type $mul. Using template $paramod$bbc3b0b87462ac640198340d7dc539ed37c95c0b\MYMUL for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 16 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `mulshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' End of script. Logfile hash: 612c492bc0 CPU: user 0.03s system 0.00s, MEM: 16.01 MB total, 9.83 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 35% 3x clean (0 sec), 29% 1x techmap (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `addshift_test.ys' -- 1. Executing Verilog-2005 frontend: addshift_test.v Parsing Verilog input from `addshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: addshift_map.v Parsing Verilog input from `addshift_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$f9d15d41450676d24e4cd1a1cce4370f40b165ac\$add for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `addshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' End of script. Logfile hash: a0fd954202 CPU: user 0.01s system 0.00s, MEM: 15.78 MB total, 9.70 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 32% 1x clean (0 sec), 28% 1x techmap (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `macc_simple_test.ys' -- 1. Executing Verilog-2005 frontend: macc_simple_test.v Parsing Verilog input from `macc_simple_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 3. Generating Graphviz representation of design. 3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 3.2. Continuing show pass. Writing dot description to `macc_simple_test_00a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf' 4. Executing EXTRACT pass (map subcircuits to cells). 4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 4.2. Executing PROC pass (convert processes to netlists). 4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.2.3. Executing PROC_INIT pass (extract init attributes). 4.2.4. Executing PROC_ARST pass (detect async resets in processes). 4.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 4.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 4.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 4.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 4.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 4.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$8 Removed 0 unused cells and 1 unused wires. 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `macc_simple_test_00b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf' 6. Executing Verilog-2005 frontend: macc_simple_test_01.v Parsing Verilog input from `macc_simple_test_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 7. Executing HIERARCHY pass (managing design hierarchy). 7.1. Analyzing design hierarchy.. Top module: \test 7.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 8. Generating Graphviz representation of design. 8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 8.2. Continuing show pass. Writing dot description to `macc_simple_test_01a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf' 9. Executing EXTRACT pass (map subcircuits to cells). 9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 9.2. Executing PROC pass (convert processes to netlists). 9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 9.2.3. Executing PROC_INIT pass (extract init attributes). 9.2.4. Executing PROC_ARST pass (detect async resets in processes). 9.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 9.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 9.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 9.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 9.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 9.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 9.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$19 Removed 0 unused cells and 1 unused wires. 10. Generating Graphviz representation of design. 10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 10.2. Continuing show pass. Writing dot description to `macc_simple_test_01b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf' 11. Executing Verilog-2005 frontend: macc_simple_test_02.v Parsing Verilog input from `macc_simple_test_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 12. Executing HIERARCHY pass (managing design hierarchy). 12.1. Analyzing design hierarchy.. Top module: \test 12.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 13. Generating Graphviz representation of design. 13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 13.2. Continuing show pass. Writing dot description to `macc_simple_test_02a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf' 14. Executing EXTRACT pass (map subcircuits to cells). 14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 14.2. Executing PROC pass (convert processes to netlists). 14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 14.2.3. Executing PROC_INIT pass (extract init attributes). 14.2.4. Executing PROC_ARST pass (detect async resets in processes). 14.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 14.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 14.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 14.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 14.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 14.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 2 matches. 14.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$30 Match #1: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$31 Removed 0 unused cells and 2 unused wires. 15. Generating Graphviz representation of design. 15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 15.2. Continuing show pass. Writing dot description to `macc_simple_test_02b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf' 16. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 17. Executing HIERARCHY pass (managing design hierarchy). 17.1. Analyzing design hierarchy.. Top module: \macc_16_16_32 17.2. Analyzing design hierarchy.. Top module: \macc_16_16_32 Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_simple_xmap.dot'. Dumping module macc_16_16_32 to page 1. Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' End of script. Logfile hash: a22913d34f CPU: user 0.05s system 0.00s, MEM: 15.84 MB total, 9.64 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 30% 7x clean (0 sec), 18% 3x extract (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `macc_xilinx_test.ys' -- 1. Executing Verilog-2005 frontend: macc_xilinx_test.v Parsing Verilog input from `macc_xilinx_test.v' to AST representation. Generating RTLIL representation for module `\test1'. Generating RTLIL representation for module `\test2'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `$__mul_wrapper'. Generating RTLIL representation for module `$__add_wrapper'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 4. Executing HIERARCHY pass (managing design hierarchy). Removed 0 unused cells and 2 unused wires. 5. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1a.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf' 6. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2a.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf' 7. Executing TECHMAP pass (map to technology primitives). 7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 7.2. Continuing TECHMAP pass. Using template $paramod\mul_swap_ports\A_SIGNED=0\B_SIGNED=0\A_WIDTH=20\B_WIDTH=16\Y_WIDTH=42 for cells of type $mul. No more expansions possible. Removed 0 unused cells and 8 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1b.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf' 9. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2b.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf' 10. Executing TECHMAP pass (map to technology primitives). 10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 10.2. Continuing TECHMAP pass. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=20\Y_WIDTH=42 for cells of type $mul. Using template $paramod\add_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=42\B_WIDTH=42\Y_WIDTH=42 for cells of type $add. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=16\Y_WIDTH=42 for cells of type $mul. No more expansions possible. 11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap19$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap21$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap17$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap25$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap24$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap23$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } Removed 0 unused cells and 56 unused wires. 12. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1c.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf' 13. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2c.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf' 14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 15. Executing TECHMAP pass (map to technology primitives). 15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 15.2. Continuing TECHMAP pass. No more expansions possible. 16. Executing TECHMAP pass (map to technology primitives). 16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 16.2. Continuing TECHMAP pass. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=18\B_WIDTH=25\Y_WIDTH=48 for cells of type $mul. Using template $paramod\add_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=48\B_WIDTH=48\Y_WIDTH=48 for cells of type $add. No more expansions possible. Removed 0 unused cells and 17 unused wires. 17. Executing EXTRACT pass (map subcircuits to cells). 17.1. Creating graphs for SubCircuit library. Creating needle graph needle_DSP48_MACC. Creating haystack graph haystack_$__add_wrapper. Creating haystack graph haystack_$__mul_wrapper. Creating haystack graph haystack_DSP48_MACC. Creating haystack graph haystack_test1. Creating haystack graph haystack_test2. 17.2. Running solver from SubCircuit library. Solving for needle_DSP48_MACC in haystack_$__add_wrapper. Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. Solving for needle_DSP48_MACC in haystack_DSP48_MACC. Solving for needle_DSP48_MACC in haystack_test1. Solving for needle_DSP48_MACC in haystack_test2. Found 3 matches. 17.3. Substitute SubCircuits with cells. Match #0: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$35 Match #1: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$36 Match #2: (needle_DSP48_MACC in haystack_test2) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$37 Removed 0 unused cells and 6 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1d.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf' 19. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2d.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf' 20. Executing TECHMAP pass (map to technology primitives). 20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `\$__mul_wrapper'. Generating RTLIL representation for module `\$__add_wrapper'. Successfully finished Verilog frontend. 20.2. Continuing TECHMAP pass. Using template $paramod\$__mul_wrapper\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=16\Y_WIDTH=42 for cells of type $__mul_wrapper. Using template $paramod\$__add_wrapper\A_SIGNED=0\B_SIGNED=0\A_WIDTH=42\B_WIDTH=42\Y_WIDTH=42 for cells of type $__add_wrapper. No more expansions possible. Removed 0 unused cells and 14 unused wires. 21. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1e.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf' 22. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2e.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf' 23. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_xmap.dot'. Dumping module DSP48_MACC to page 1. Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' End of script. Logfile hash: f74c6fae71 CPU: user 0.12s system 0.01s, MEM: 16.53 MB total, 10.71 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 43% 12x clean (0 sec), 19% 5x techmap (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExAdv' + make -C PRESENTATION_ExOth make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExOth' ../../yosys scrambler.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v Parsing Verilog input from `scrambler.v' to AST representation. Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/3: $1\xs[31:0] 2/3: $0\out_bit[0:0] 3/3: $0\xs[31:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$13' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. Removed 0 unused cells and 4 unused wires. 4. Executing SUBMOD pass (moving cells to submodules as requested). 4.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \scrambler.. 4.2. Continuing SUBMOD pass. Creating submodule xorshift32 (\xorshift32) of module \scrambler. signal $shl$scrambler.v:11$6_Y: internal signal $shl$scrambler.v:9$2_Y: internal signal $0\xs[31:0]: output \n1 signal $1\xs[31:0]: input \n2 signal $xor$scrambler.v:9$3_Y: internal signal $shr$scrambler.v:10$4_Y: internal signal $xor$scrambler.v:10$5_Y: internal cell $xor$scrambler.v:9$3 ($xor) cell $shl$scrambler.v:9$2 ($shl) cell $shr$scrambler.v:10$4 ($shr) cell $xor$scrambler.v:10$5 ($xor) cell $shl$scrambler.v:11$6 ($shl) cell $xor$scrambler.v:11$7 ($xor) 5. Generating Graphviz representation of design. Writing dot description to `scrambler_p01.dot'. Dumping module scrambler to page 1. Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf' 6. Generating Graphviz representation of design. Writing dot description to `scrambler_p02.dot'. Dumping module xorshift32 to page 1. Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf' echo on yosys> cd xorshift32 yosys [xorshift32]> rename n2 in Renaming wire n2 to in in module xorshift32. yosys [xorshift32]> rename n1 out Renaming wire n1 to out in module xorshift32. yosys [xorshift32]> eval -set in 1 -show out 7. Executing EVAL pass (evaluate the circuit given an input). Eval result: \out = 270369. yosys [xorshift32]> eval -set in 270369 -show out 8. Executing EVAL pass (evaluate the circuit given an input). Eval result: \out = 67634689. yosys [xorshift32]> sat -set out 632435482 9. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Import set-constraint: \out = 632435482 Final constraint equation: \out = 632435482 Imported 6 cells to SAT database. Solving problem with 1119 variables and 2905 clauses.. SAT solving finished - model found: Signal Name Dec Hex Bin --------------- ----------- --------- ----------------------------------- \in 745495504 2c6f5bd0 00101100011011110101101111010000 \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: 6c404457a8 CPU: user 0.03s system 0.00s, MEM: 16.62 MB total, 11.02 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 33% 1x sat (0 sec), 13% 1x clean (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `equiv.ys' -- 1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Renaming module \test to \test_mapped. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$add\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $add. No more expansions possible. 4. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped". 5. Executing FLATTEN pass (flatten design). Using template test_orig for cells of type test_orig. Using template test_mapped for cells of type test_mapped. No more expansions possible. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 7 cells to SAT database. Import proof for assert: $auto$miter.cc:211:create_miter_equiv$6 when 1'1. Import show expression: \in_b Import show expression: \in_a Import show expression: \trigger Import show expression: \gate_y Import show expression: \gold_y Solving problem with 945 variables and 2505 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ End of script. Logfile hash: a981646901 CPU: user 0.02s system 0.00s, MEM: 16.76 MB total, 10.82 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 68% 1x sat (0 sec), 18% 1x techmap (0 sec), ... mv equiv.log_new equiv.log ../../yosys -l axis_test.log_new axis_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `axis_test.ys' -- 1. Executing Verilog-2005 frontend: axis_master.v Parsing SystemVerilog input from `axis_master.v' to AST representation. Generating RTLIL representation for module `\axis_master'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: axis_test.v Parsing SystemVerilog input from `axis_test.v' to AST representation. Generating RTLIL representation for module `\axis_test'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master 3.2. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master Removed 0 unused modules. Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute. Mapping positional arguments of cell axis_test.uut (axis_master). 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master. Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). Found init rule in `\axis_test.$proc$axis_test.v:22$98'. Set init value: $formal$axis_test.v:22$23_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:21$96'. Set init value: $formal$axis_test.v:21$22_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:20$94'. Set init value: $formal$axis_test.v:20$21_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:19$92'. Set init value: $formal$axis_test.v:19$20_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:18$90'. Set init value: $formal$axis_test.v:18$19_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:17$88'. Set init value: $formal$axis_test.v:17$18_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:16$86'. Set init value: $formal$axis_test.v:16$17_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:15$84'. Set init value: $formal$axis_test.v:15$16_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:14$82'. Set init value: $formal$axis_test.v:14$15_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:13$80'. Set init value: $formal$axis_test.v:13$14_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:7$79'. Set init value: \aresetn = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:6$78'. Set init value: \counter = 0 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\axis_test.$proc$axis_test.v:22$98'. 1/1: $0$formal$axis_test.v:22$23_EN[0:0]$99 Creating decoders for process `\axis_test.$proc$axis_test.v:21$96'. 1/1: $0$formal$axis_test.v:21$22_EN[0:0]$97 Creating decoders for process `\axis_test.$proc$axis_test.v:20$94'. 1/1: $0$formal$axis_test.v:20$21_EN[0:0]$95 Creating decoders for process `\axis_test.$proc$axis_test.v:19$92'. 1/1: $0$formal$axis_test.v:19$20_EN[0:0]$93 Creating decoders for process `\axis_test.$proc$axis_test.v:18$90'. 1/1: $0$formal$axis_test.v:18$19_EN[0:0]$91 Creating decoders for process `\axis_test.$proc$axis_test.v:17$88'. 1/1: $0$formal$axis_test.v:17$18_EN[0:0]$89 Creating decoders for process `\axis_test.$proc$axis_test.v:16$86'. 1/1: $0$formal$axis_test.v:16$17_EN[0:0]$87 Creating decoders for process `\axis_test.$proc$axis_test.v:15$84'. 1/1: $0$formal$axis_test.v:15$16_EN[0:0]$85 Creating decoders for process `\axis_test.$proc$axis_test.v:14$82'. 1/1: $0$formal$axis_test.v:14$15_EN[0:0]$83 Creating decoders for process `\axis_test.$proc$axis_test.v:13$80'. 1/1: $0$formal$axis_test.v:13$14_EN[0:0]$81 Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'. 1/1: $1\aresetn[0:0] Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'. 1/1: $1\counter[31:0] Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'. 1/22: $0\aresetn[0:0] 2/22: $0$formal$axis_test.v:13$14_EN[0:0]$27 3/22: $0$formal$axis_test.v:13$14_CHECK[0:0]$26 4/22: $0$formal$axis_test.v:14$15_EN[0:0]$28 5/22: $0$formal$axis_test.v:14$15_CHECK[0:0]$32 6/22: $0$formal$axis_test.v:15$16_EN[0:0]$30 7/22: $0$formal$axis_test.v:15$16_CHECK[0:0]$29 8/22: $0$formal$axis_test.v:16$17_EN[0:0]$25 9/22: $0$formal$axis_test.v:16$17_CHECK[0:0]$31 10/22: $0$formal$axis_test.v:17$18_EN[0:0]$34 11/22: $0$formal$axis_test.v:17$18_CHECK[0:0]$33 12/22: $0$formal$axis_test.v:18$19_EN[0:0]$36 13/22: $0$formal$axis_test.v:18$19_CHECK[0:0]$35 14/22: $0$formal$axis_test.v:19$20_EN[0:0]$38 15/22: $0$formal$axis_test.v:19$20_CHECK[0:0]$37 16/22: $0$formal$axis_test.v:20$21_EN[0:0]$40 17/22: $0$formal$axis_test.v:20$21_CHECK[0:0]$39 18/22: $0$formal$axis_test.v:21$22_EN[0:0]$42 19/22: $0$formal$axis_test.v:21$22_CHECK[0:0]$41 20/22: $0$formal$axis_test.v:22$23_EN[0:0]$44 21/22: $0$formal$axis_test.v:22$23_CHECK[0:0]$43 22/22: $0\counter[31:0] Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'. 1/5: $2\state[31:0] 2/5: $1\state[31:0] 3/5: $0\state[31:0] 4/5: $0\tvalid[0:0] 5/5: $0\tdata[7:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$209' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$210' with positive edge clock. Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$211' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$212' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$213' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$214' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$215' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$216' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$217' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$218' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$219' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$220' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$221' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$222' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$223' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$224' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$225' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$226' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$227' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$228' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$229' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$230' with positive edge clock. Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$231' with positive edge clock. Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$232' with positive edge clock. Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$233' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `axis_test.$proc$axis_test.v:22$98'. Removing empty process `axis_test.$proc$axis_test.v:21$96'. Removing empty process `axis_test.$proc$axis_test.v:20$94'. Removing empty process `axis_test.$proc$axis_test.v:19$92'. Removing empty process `axis_test.$proc$axis_test.v:18$90'. Removing empty process `axis_test.$proc$axis_test.v:17$88'. Removing empty process `axis_test.$proc$axis_test.v:16$86'. Removing empty process `axis_test.$proc$axis_test.v:15$84'. Removing empty process `axis_test.$proc$axis_test.v:14$82'. Removing empty process `axis_test.$proc$axis_test.v:13$80'. Removing empty process `axis_test.$proc$axis_test.v:7$79'. Removing empty process `axis_test.$proc$axis_test.v:6$78'. Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'. Removing empty process `axis_test.$proc$axis_test.v:11$24'. Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'. Removing empty process `axis_master.$proc$axis_master.v:7$1'. Cleaned up 15 empty switches. 5. Executing FLATTEN pass (flatten design). Using template axis_master for cells of type axis_master. No more expansions possible. Deleting now unused module axis_master. Removed 0 unused cells and 90 unused wires. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up time step 1: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0 Import set-constraint from init attribute: \aresetn = 1'0 Import set-constraint from init attribute: \counter = 0 Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000 Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 2: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 3: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 4: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 5: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 6: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 7: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 8: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 9: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 10: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 11: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 12: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 13: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 14: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 15: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 16: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 17: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 18: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 19: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 20: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 21: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 22: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 23: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 24: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 25: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 26: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 27: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 28: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 29: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 30: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 31: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 32: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 33: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 34: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 35: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 36: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 37: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 38: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 39: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 40: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 41: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 42: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 43: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 44: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 45: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 46: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 47: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 48: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 49: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 50: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Solving problem with 159344 variables and 442126 clauses.. SAT proof finished - model found: FAIL! ______ ___ ___ _ _ _ _ (_____ \ / __) / __) (_) | | | | _____) )___ ___ ___ _| |__ _| |__ _____ _| | _____ __| | | | ____/ ___) _ \ / _ (_ __) (_ __|____ | | || ___ |/ _ |_| | | | | | |_| | |_| || | | | / ___ | | || ____( (_| |_ |_| |_| \___/ \___/ |_| |_| \_____|_|\_)_____)\____|_| Time Signal Name Dec Hex Bin ---- ------------------------------------ ----------- --------- ----------------------------------- init $formal$axis_test.v:13$14_CHECK 0 0 0 init $formal$axis_test.v:13$14_EN 0 0 0 init $formal$axis_test.v:14$15_CHECK 0 0 0 init $formal$axis_test.v:14$15_EN 0 0 0 init $formal$axis_test.v:15$16_CHECK 0 0 0 init $formal$axis_test.v:15$16_EN 0 0 0 init $formal$axis_test.v:16$17_CHECK 0 0 0 init $formal$axis_test.v:16$17_EN 0 0 0 init $formal$axis_test.v:17$18_CHECK 0 0 0 init $formal$axis_test.v:17$18_EN 0 0 0 init $formal$axis_test.v:18$19_CHECK 0 0 0 init $formal$axis_test.v:18$19_EN 0 0 0 init $formal$axis_test.v:19$20_CHECK 0 0 0 init $formal$axis_test.v:19$20_EN 0 0 0 init $formal$axis_test.v:20$21_CHECK 0 0 0 init $formal$axis_test.v:20$21_EN 0 0 0 init $formal$axis_test.v:21$22_CHECK 0 0 0 init $formal$axis_test.v:21$22_EN 0 0 0 init $formal$axis_test.v:22$23_CHECK 0 0 0 init $formal$axis_test.v:22$23_EN 0 0 0 init \aresetn 0 0 0 init \counter 0 0 00000000000000000000000000000000 init \uut.state 0 0 00000000000000000000000000000000 init \uut.tdata 80 50 01010000 init \uut.tvalid 1 1 1 End of script. Logfile hash: f85fee5d76 CPU: user 1.46s system 0.08s, MEM: 120.35 MB total, 113.54 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 97% 1x sat (1 sec), 0% 1x clean (0 sec), ... mv axis_test.log_new axis_test.log make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExOth' + make -C PRESENTATION_Prog make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Prog' ../../yosys-config --exec --cxx --cxxflags -I../.. --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs my_cmd.cc: In member function 'virtual void {anonymous}::MyPass::execute(std::vector >, Yosys::RTLIL::Design*)': my_cmd.cc:17:26: warning: format '%zd' expects argument of type 'signed size_t', but argument 3 has type 'int' [-Wformat=] 17 | log(" %s (%zd wires, %zd cells)\n", log_id(mod), | ~~^ | | | long int | %d 18 | GetSize(mod->wires()), GetSize(mod->cells())); | ~~~~~~~~~~~~~~~~~~~~~ | | | int my_cmd.cc:17:37: warning: format '%zd' expects argument of type 'signed size_t', but argument 4 has type 'int' [-Wformat=] 17 | log(" %s (%zd wires, %zd cells)\n", log_id(mod), | ~~^ | | | long int | %d 18 | GetSize(mod->wires()), GetSize(mod->cells())); | ~~~~~~~~~~~~~~~~~~~~~ | | | int ../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `my_cmd foo bar' -- Arguments to my_cmd: my_cmd foo bar Modules in current design: absval_ref (4 wires, 2 cells) End of script. Logfile hash: be47de2266 CPU: user 0.00s system 0.00s, MEM: 15.70 MB total, 9.88 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 94% 1x read_verilog (0 sec), 5% 1x my_cmd (0 sec) mv test0.log_new test0.log ../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `clean; test1; dump' -- Removed 0 unused cells and 1 unused wires. Name of this module: absval autoidx 6 module \absval wire width 4 $auto$my_cmd.cc:41:execute$3 wire width 4 output 2 \y wire width 4 input 1 \a cell $mux $auto$my_cmd.cc:43:execute$5 parameter \WIDTH 4 connect \Y \y connect \S \a [3] connect \B $auto$my_cmd.cc:41:execute$3 connect \A \a end cell $neg $auto$my_cmd.cc:42:execute$4 parameter \Y_WIDTH 4 parameter \A_WIDTH 4 parameter \A_SIGNED 1 connect \Y $auto$my_cmd.cc:41:execute$3 connect \A \a end end attribute \cells_not_processed 1 attribute \src "absval_ref.v:1" module \absval_ref attribute \src "absval_ref.v:2" wire width 4 $neg$absval_ref.v:2$1_Y attribute \src "absval_ref.v:1" wire width 4 input 1 \a attribute \src "absval_ref.v:1" wire width 4 output 2 \y attribute \src "absval_ref.v:2" cell $neg $neg$absval_ref.v:2$1 parameter \A_SIGNED 1 parameter \A_WIDTH 4 parameter \Y_WIDTH 4 connect \A \a connect \Y $neg$absval_ref.v:2$1_Y end attribute \src "absval_ref.v:2" cell $mux $ternary$absval_ref.v:2$2 parameter \WIDTH 4 connect \A \a connect \B $neg$absval_ref.v:2$1_Y connect \S \a [3] connect \Y \y end end End of script. Logfile hash: 41d715e711 CPU: user 0.00s system 0.00s, MEM: 15.70 MB total, 9.73 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 62% 1x clean (0 sec), 30% 1x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'test2' sigmap_test.v -- Parsing `sigmap_test.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: sigmap_test.v Parsing Verilog input from `sigmap_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -- Running command `test2' -- 0 0 0 1 1 1 Mapped signal x: \a 2. Doing important stuff! Log message #0. Log message #1. Log message #2. Log message #3. Log message #4. Log message #5. Log message #6. Log message #7. Log message #8. Log message #9. End of script. Logfile hash: c613caebe8 CPU: user 0.00s system 0.00s, MEM: 15.70 MB total, 10.12 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 80% 1x read_verilog (0 sec), 19% 1x test2 (0 sec) mv test2.log_new test2.log make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Prog' + set -ex + pdflatex -shell-escape -halt-on-error presentation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./presentation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2019/07/23 v3.56 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/filehook/filehook.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) No file presentation.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxBiolinumT-TLF.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) No file presentation.nav. [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] [3] [4] (./PRESENTATION_Intro.tex [5] [6] [7] [8] [9] [10] [11] [12] [13] (/usr/share/texlive/texmf-dist/tex/latex/libertine/OT1LinuxBiolinumT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) kpathsea: Running mktextfm skull mktextfm: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1; nonstopmode; input skull This is METAFONT, Version 2.7182818 (TeX Live 2019) (preloaded base=mf) kpathsea: Running mktexfmt mf.base mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2019/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2019/texmf-var/web2c mktexfmt [INFO]: --- remaking mf with mf-nowin mktexfmt: running `mf-nowin -ini -jobname=mf -progname=mf -translate-file=cp227.tcx mf.ini' ... This is METAFONT, Version 2.7182818 (TeX Live 2019) (INIMF) (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) (/usr/share/texlive/texmf-dist/metafont/config/mf.ini (/usr/share/texlive/texmf-dist/metafont/base/plain.mf Preloading the plain base, version 2.71: preliminaries, basic constants and mathematical macros, macros for converting from device-independent units to pixels, macros and tables for various modes of operation, macros for drawing and filling, macros for proof labels and rules, macros for character and font administration, and a few last-minute items.) (/usr/share/texlive/texmf-dist/metafont/misc/modes.mf) ) Beginning to dump on file mf.base (preloaded base=mf 2020.4.19) 2226 strings of total length 30025 11855 memory locations dumped; current usage is 3651&7844 1004 symbolic tokens Transcript written on mf.log. mktexfmt [INFO]: /builddir/.texlive2019/texmf-var/web2c/metafont/mf.base installed. mktexfmt [INFO]: Successfully rebuilt formats: 1 mktexfmt [INFO]: Not selected formats: 16 mktexfmt [INFO]: Total formats: 17 mktexfmt [INFO]: exiting with status 0 (/usr/share/texlive/texmf-dist/fonts/source/public/skull/skull.mf [65] ) Font metrics written on skull.tfm. Output written on skull.600gf (1 character, 684 bytes). Transcript written on skull.log. mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm: successfully generated. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [14] [15] [16] [17] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [18] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [19] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [20] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [21] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [22] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [23] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [24] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [25] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [26] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [27] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Overfull \hbox (13.33607pt too wide) in paragraph at lines 368--368 [][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [28] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [29] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [30] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v)) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 23--24 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 31--32 [][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 33--34 [][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 34--35 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 36--37 [][][][][][][][][][][][] )) [33] (./presentation.vrb) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (44.59058pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) [38] (./presentation.vrb Overfull \hbox (12.42075pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.82089pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] ) [39] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (17.2208pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (65.22128pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.02084pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [40] (./presentation.vrb Overfull \hbox (55.62119pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] ) [41] (./presentation.vrb) [42] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [43] (./presentation.vrb) Overfull \vbox (1.85118pt too high) detected at line 641 [44] [45] [46] (./presentation.vrb Overfull \hbox (74.82138pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (36.42099pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.02109pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][] ) [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) [50] [51] [52] [53] [54] kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. [55] [56] [57] [58] [59] [60]) (./PRESENTATION_ExSyn.tex [61] [62] (./presentation.vrb) [63] (./presentation.vrb Overfull \hbox (70.1951pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][] ) [64] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (54.53822pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys)) Overfull \vbox (3.37903pt too high) detected at line 114 [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_02.ys)) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v Overfull \hbox (11.33778pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][] )) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb Overfull \hbox (12.59451pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (26.99466pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (12.59451pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] ) [69] (./presentation.vrb (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][] )) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][] )) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][] )) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (35.33803pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (40.13808pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] [74] (./presentation.vrb Overfull \hbox (22.19461pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][] ) [75] (./presentation.vrb (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] )) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/memory_02.v Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 3--4 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 4--5 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 5--6 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 6--7 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 7--8 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 8--9 [][][][][][][][][][] ) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (61.13892pt too high) detected at line 290 [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) [78] [79] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \vbox (11.4184pt too high) detected at line 383 [81] [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v Overfull \hbox (6.53773pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/abc_01.ys Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][] ) Overfull \hbox (30.53798pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][] ) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] [84] (./presentation.vrb Overfull \hbox (44.59059pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 11--13 [][][][][][][][][][][][][][][][][][] Overfull \hbox (4.99019pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][] Overfull \hbox (66.19081pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (15.7903pt too wide) in paragraph at lines 25--27 [][][][][][][][][][][][][][] Overfull \hbox (73.39088pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (84.191pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) [85] [86]) (./PRESENTATION_ExAdv.tex [87] [88] [89] (./presentation.vrb) [90] (./presentation.vrb Overfull \hbox (2.99442pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (70.1951pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [91] (./presentation.vrb Overfull \hbox (55.79495pt too wide) in paragraph at lines 16--18 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [92] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] ) [93] (./presentation.vrb Overfull \hbox (84.59525pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] Overfull \hbox (79.7952pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][] ) [94] (./presentation.vrb) [95] (./presentation.vrb) [96] (./presentation.vrb Overfull \hbox (60.595pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (60.595pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (65.39505pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v Overfull \hbox (22.99037pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/select.ys Overfull \hbox (2.43277pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][] Overfull \hbox (36.03311pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][] )) [98 <./PRESENTATION_ExAdv/select.pdf>] [99] [100] (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (3.57303pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 3--4 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 4--5 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 5--7 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][] Overfull \hbox (24.57324pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (47.84811pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (47.84811pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 39--40 [][][][][][][][][][][][][][][][] Overfull \hbox (31.04794pt too wide) in paragraph at lines 41--42 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.8479pt too wide) in paragraph at lines 42--43 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 43--44 [][][][][][][][][][][][][][][][][][][][][] ) [101] (./PRESENTATION_ExAdv/red_or3x1_test.ys) Overfull \hbox (30.19044pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) [102 <./PRESENTATION_ExAdv/red_or3x1.pd f>] [103] (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) (./PRESENTATION_ExAdv/sym_mul_test.ys Overfull \hbox (15.7903pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (10.99025pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (20.59035pt too wide) in paragraph at lines 4--321 [][][][][][][][][][][] Overfull \vbox (2.5389pt too high) detected at line 321 [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] [105] (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (54.88577pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] Overfull \hbox (6.88528pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (5.29979pt too wide) in paragraph at lines 5--366 [][][][][][][][][][] (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (27.50002pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][] ) Overfull \hbox (23.29997pt too wide) in paragraph at lines 12--366 [][][][][][][][][][][][][][][] [106 <./PRESENTATION_ExAdv/mymul.pdf>] [107] (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (22.48544pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][] Overfull \hbox (22.48544pt too wide) in paragraph at lines 7--9 [][][][][][][][][][][][][] Overfull \hbox (26.68549pt too wide) in paragraph at lines 10--12 [][][][][][][][][][][][][][][][][][] Overfull \hbox (9.88531pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][][] Overfull \hbox (10.09984pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 5--404 [][][][][][][][][][] [108 <./PRESENTATION_ExAdv/mulshift.pdf>] [109] (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (106.4863pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (110.68634pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 4--445 [][][][][][][][][][][] [110 <./PRESENTATION_ExAdv/addshift.pdf>] [111] [112] (./presentation.vrb) [113] [114] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v Overfull \hbox (16.13783pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (6.53773pt too wide) in paragraph at lines 17--18 [][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][] ) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 4 []| ) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) Overfull \hbox (29.93797pt too wide) in paragraph at lines 14--7 [][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (38.33806pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 25--26 [][][][][][][][] Overfull \hbox (21.53789pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 27--28 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 29--30 [][][][][][][][] Overfull \hbox (0.53767pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 31--32 [][][][][][][][] )) Overfull \vbox (1.59166pt too high) detected at line 610 [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) Overfull \hbox (38.33806pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 72--73 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 73--74 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 74--75 [][][][][][][][] )) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 5 []| Overfull \hbox (140.9391pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (68.93837pt too wide) in paragraph at lines 11--12 [][][][][][][] ) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (160.1393pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] ) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb Overfull \hbox (35.51181pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][] ) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] [131] [132] (./presentation.vrb Overfull \hbox (21.28543pt too wide) in paragraph at lines 22--24 [][][][][][][][][][][][][][][] Overfull \hbox (107.68631pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.1902pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][] Overfull \hbox (20.59035pt too wide) in paragraph at lines 34--35 [][][][][][][][][] ) [133] [134]) (./PRESENTATION_ExOth.tex [135] [136] [137] [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v Overfull \hbox (8.59023pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] )) Overfull \vbox (5.42781pt too high) detected at line 65 [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (31.96849pt too wide) in paragraph at lines 5--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (27.16844pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 19--20 [][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][] ) [140] [141] [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 [143] (./presentation.vrb) Overfull \vbox (2.99165pt too high) detected at line 159 [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v Overfull \hbox (28.73796pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 16--17 [][][][][][][][][][] ) (./PRESENTATION_ExOth/axis_test.v Overfull \hbox (34.73802pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][][][][][][][] )) Overfull \vbox (31.79161pt too high) detected at line 177 [145] (./presentation.vrb) [146] [147]) (./PRESENTATION_Prog.tex [148] [149] [150] (./presentation.vrb) [151] [152] [153] [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) [155] (./presentation.vrb Underfull \hbox (badness 10000) in paragraph at lines 17--17 []\T1/LinuxLibertineMonoT-TLF/regular/n/10.95 start_offset ) [156] (./presentation.vrb) [157] (./presentation.vrb) [158] (./presentation.vrb Overfull \hbox (20.82083pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (42.42105pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 29--30 [][][][][][][][][][][][][][][][][] Overfull \hbox (24.42087pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][] Overfull \hbox (28.0209pt too wide) in paragraph at lines 31--32 [][][][][][][][][][][][][] ) [159] (./presentation.vrb) [160] (./presentation.vrb Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] ) [161] (./presentation.vrb) Overfull \vbox (2.59166pt too high) detected at line 400 [162] [163] (./presentation.vrb) [164] (./presentation.vrb) [165] (./presentation.vrb Overfull \hbox (41.22104pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [166] (./presentation.vrb Overfull \hbox (60.42123pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][] ) [167] (./presentation.vrb) [168] [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux ) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) Package rerunfilecheck Warning: File `presentation.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathsy.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l ibertine/lbtn_25tcsq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/liberti ne/lbtn_dhi6qf.enc} Output written on presentation.pdf (169 pages, 972169 bytes). Transcript written on presentation.log. + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new cmp: EOF on autoloop.old after byte 203, line 3 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error presentation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./presentation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2019/07/23 v3.56 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/filehook/filehook.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux) ) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxBiolinumT-TLF.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./presentation.out) (./presentation.out) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) (./presentation.nav) [1{/usr/share/texlive/texmf-dist/fonts/ma p/pdftex/updmap/pdftex.map}] [2] [3] [4] (./PRESENTATION_Intro.tex [5] [6] [7] [8] [9] [10] [11] [12] [13] (/usr/share/texlive/texmf-dist/tex/latex/libertine/OT1LinuxBiolinumT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [14] [15] [16] [17] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [18] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [19] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [20] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [21] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [22] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [23] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [24] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [25] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [26] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [27] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Overfull \hbox (13.33607pt too wide) in paragraph at lines 368--368 [][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [28] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [29] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [30] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v)) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 23--24 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 31--32 [][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 33--34 [][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 34--35 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 36--37 [][][][][][][][][][][][] )) [33] (./presentation.vrb) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (44.59058pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) [38] (./presentation.vrb Overfull \hbox (12.42075pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.82089pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] ) [39] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (17.2208pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (65.22128pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.02084pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [40] (./presentation.vrb Overfull \hbox (55.62119pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] ) [41] (./presentation.vrb) [42] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [43] (./presentation.vrb) Overfull \vbox (1.85118pt too high) detected at line 641 [44] [45] [46] (./presentation.vrb Overfull \hbox (74.82138pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (36.42099pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.02109pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][] ) [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60]) (./PRESENTATION_ExSyn.tex [61] [62] (./presentation.vrb) [63] (./presentation.vrb Overfull \hbox (70.1951pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][] ) [64] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (54.53822pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys)) Overfull \vbox (3.37903pt too high) detected at line 114 [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_02.ys)) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v Overfull \hbox (11.33778pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][] )) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb Overfull \hbox (12.59451pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (26.99466pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (12.59451pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] ) [69] (./presentation.vrb (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][] )) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][] )) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][] )) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (35.33803pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (40.13808pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] [74] (./presentation.vrb Overfull \hbox (22.19461pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][] ) [75] (./presentation.vrb (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] )) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/memory_02.v Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 3--4 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 4--5 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 5--6 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 6--7 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 7--8 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 8--9 [][][][][][][][][][] ) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (61.13892pt too high) detected at line 290 [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) [78] [79] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \vbox (11.4184pt too high) detected at line 383 [81] [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v Overfull \hbox (6.53773pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/abc_01.ys Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][] ) Overfull \hbox (30.53798pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][] ) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] [84] (./presentation.vrb Overfull \hbox (44.59059pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 11--13 [][][][][][][][][][][][][][][][][][] Overfull \hbox (4.99019pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][] Overfull \hbox (66.19081pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (15.7903pt too wide) in paragraph at lines 25--27 [][][][][][][][][][][][][][] Overfull \hbox (73.39088pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (84.191pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) [85] [86]) (./PRESENTATION_ExAdv.tex [87] [88] [89] (./presentation.vrb) [90] (./presentation.vrb Overfull \hbox (2.99442pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (70.1951pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [91] (./presentation.vrb Overfull \hbox (55.79495pt too wide) in paragraph at lines 16--18 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [92] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] ) [93] (./presentation.vrb Overfull \hbox (84.59525pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] Overfull \hbox (79.7952pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][] ) [94] (./presentation.vrb) [95] (./presentation.vrb) [96] (./presentation.vrb Overfull \hbox (60.595pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (60.595pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (65.39505pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v Overfull \hbox (22.99037pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/select.ys Overfull \hbox (2.43277pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][] Overfull \hbox (36.03311pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][] )) [98 <./PRESENTATION_ExAdv/select.pdf>] [99] [100] (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (3.57303pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 3--4 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 4--5 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 5--7 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][] Overfull \hbox (24.57324pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (47.84811pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (47.84811pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 39--40 [][][][][][][][][][][][][][][][] Overfull \hbox (31.04794pt too wide) in paragraph at lines 41--42 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.8479pt too wide) in paragraph at lines 42--43 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 43--44 [][][][][][][][][][][][][][][][][][][][][] ) [101] (./PRESENTATION_ExAdv/red_or3x1_test.ys) Overfull \hbox (30.19044pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) [102 <./PRESENTATION_ExAdv/red_or3x1.pd f>] [103] (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) (./PRESENTATION_ExAdv/sym_mul_test.ys Overfull \hbox (15.7903pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (10.99025pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (20.59035pt too wide) in paragraph at lines 4--321 [][][][][][][][][][][] Overfull \vbox (2.5389pt too high) detected at line 321 [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] [105] (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (54.88577pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] Overfull \hbox (6.88528pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (5.29979pt too wide) in paragraph at lines 5--366 [][][][][][][][][][] (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (27.50002pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][] ) Overfull \hbox (23.29997pt too wide) in paragraph at lines 12--366 [][][][][][][][][][][][][][][] [106 <./PRESENTATION_ExAdv/mymul.pdf>] [107] (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (22.48544pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][] Overfull \hbox (22.48544pt too wide) in paragraph at lines 7--9 [][][][][][][][][][][][][] Overfull \hbox (26.68549pt too wide) in paragraph at lines 10--12 [][][][][][][][][][][][][][][][][][] Overfull \hbox (9.88531pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][][] Overfull \hbox (10.09984pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 5--404 [][][][][][][][][][] [108 <./PRESENTATION_ExAdv/mulshift.pdf>] [109] (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (106.4863pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (110.68634pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 4--445 [][][][][][][][][][][] [110 <./PRESENTATION_ExAdv/addshift.pdf>] [111] [112] (./presentation.vrb) [113] [114] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v Overfull \hbox (16.13783pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (6.53773pt too wide) in paragraph at lines 17--18 [][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][] ) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 4 []| ) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) Overfull \hbox (29.93797pt too wide) in paragraph at lines 14--7 [][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (38.33806pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 25--26 [][][][][][][][] Overfull \hbox (21.53789pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 27--28 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 29--30 [][][][][][][][] Overfull \hbox (0.53767pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 31--32 [][][][][][][][] )) Overfull \vbox (1.59166pt too high) detected at line 610 [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) Overfull \hbox (38.33806pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 72--73 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 73--74 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 74--75 [][][][][][][][] )) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 5 []| Overfull \hbox (140.9391pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (68.93837pt too wide) in paragraph at lines 11--12 [][][][][][][] ) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (160.1393pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] ) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb Overfull \hbox (35.51181pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][] ) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] [131] [132] (./presentation.vrb Overfull \hbox (21.28543pt too wide) in paragraph at lines 22--24 [][][][][][][][][][][][][][][] Overfull \hbox (107.68631pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.1902pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][] Overfull \hbox (20.59035pt too wide) in paragraph at lines 34--35 [][][][][][][][][] ) [133] [134]) (./PRESENTATION_ExOth.tex [135] [136] [137] [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v Overfull \hbox (8.59023pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] )) Overfull \vbox (5.42781pt too high) detected at line 65 [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (31.96849pt too wide) in paragraph at lines 5--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (27.16844pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 19--20 [][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][] ) [140] [141] [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 [143] (./presentation.vrb) Overfull \vbox (2.99165pt too high) detected at line 159 [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v Overfull \hbox (28.73796pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 16--17 [][][][][][][][][][] ) (./PRESENTATION_ExOth/axis_test.v Overfull \hbox (34.73802pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][][][][][][][] )) Overfull \vbox (31.79161pt too high) detected at line 177 [145] (./presentation.vrb) [146] [147]) (./PRESENTATION_Prog.tex [148] [149] [150] (./presentation.vrb) [151] [152] [153] [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) [155] (./presentation.vrb Underfull \hbox (badness 10000) in paragraph at lines 17--17 []\T1/LinuxLibertineMonoT-TLF/regular/n/10.95 start_offset ) [156] (./presentation.vrb) [157] (./presentation.vrb) [158] (./presentation.vrb Overfull \hbox (20.82083pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (42.42105pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 29--30 [][][][][][][][][][][][][][][][][] Overfull \hbox (24.42087pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][] Overfull \hbox (28.0209pt too wide) in paragraph at lines 31--32 [][][][][][][][][][][][][] ) [159] (./presentation.vrb) [160] (./presentation.vrb Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] ) [161] (./presentation.vrb) Overfull \vbox (2.59166pt too high) detected at line 400 [162] [163] (./presentation.vrb) [164] (./presentation.vrb) [165] (./presentation.vrb Overfull \hbox (41.22104pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [166] (./presentation.vrb Overfull \hbox (60.42123pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][] ) [167] (./presentation.vrb) [168] [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux ) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathsy.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l ibertine/lbtn_25tcsq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/liberti ne/lbtn_dhi6qf.enc} Output written on presentation.pdf (169 pages, 978803 bytes). Transcript written on presentation.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new cd manual && bash manual.sh md5sum: '*.bbl': No such file or directory md5sum: '*.blg': No such file or directory + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file weblink.aux. Writing index file manual.idx No file manual.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) ABD: EveryShipout initializing macros [1{/usr/share/texlive/texmf-dist/fonts/ma p/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 168. [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] [4] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 5 undefined on input lin e 17. Package natbib Warning: Citation `VerilogSynth' on page 5 undefined on input li ne 17. Package natbib Warning: Citation `VHDL' on page 5 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 5 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 5 undefined on input line 27. Package natbib Warning: Citation `LogicSynthesis' on page 5 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 5 undefined on input line 43. LaTeX Warning: Reference `chapter:sota' on page 5 undefined on input line 52. [5] LaTeX Warning: Reference `chapter:intro' on page 6 undefined on input line 61. LaTeX Warning: Reference `chapter:basics' on page 6 undefined on input line 63. LaTeX Warning: Reference `chapter:approach' on page 6 undefined on input line 6 6. LaTeX Warning: Reference `chapter:overview' on page 6 undefined on input line 7 0. LaTeX Warning: Reference `chapter:celllib' on page 6 undefined on input line 75 . LaTeX Warning: Reference `chapter:prog' on page 6 undefined on input line 79. LaTeX Warning: Reference `chapter:verilog' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:opt' on page 6 undefined on input line 84. LaTeX Warning: Reference `chapter:techmap' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:eval' on page 6 undefined on input line 89. LaTeX Warning: Reference `commandref' on page 6 undefined on input line 95. LaTeX Warning: Reference `chapter:sota' on page 6 undefined on input line 96. ) [6] (./CHAPTER_Basics.tex Chapter 2. LaTeX Warning: Reference `fig:Basics_abstractions' on page 7 undefined on input line 18. Package natbib Warning: Citation `ABEL' on page 7 undefined on input line 68. [7] Package natbib Warning: Citation `IP-XACT' on page 8 undefined on input line 85 . Package natbib Warning: Citation `C_to_Verilog' on page 8 undefined on input li ne 101. Package natbib Warning: Citation `LegUp' on page 8 undefined on input line 101. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 8 undefined on input line 139. [8] Package natbib Warning: Citation `MultiLevelLogicSynth' on page 9 undefined on input line 199. Package natbib Warning: Citation `ABC' on page 9 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 9 undefined on input line 206. Package natbib Warning: Citation `MVSIS' on page 9 undefined on input line 206. Package natbib Warning: Citation `ABC' on page 9 undefined on input line 209. [9] Package natbib Warning: Citation `Verilog2005' on page 10 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 10 undefined on input l ine 245. (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [10] [11] Package natbib Warning: Citation `Cummings00' on page 12 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 12 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 12 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 12 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 391. [12] [13] LaTeX Warning: Reference `fig:Basics_flow' on page 14 undefined on input line 5 02. [14] Package natbib Warning: Citation `Dragonbook' on page 15 undefined on input lin e 585. LaTeX Warning: Reference `tab:Basics_tokens' on page 15 undefined on input line 590. Package natbib Warning: Citation `flex' on page 15 undefined on input line 609. Package natbib Warning: Citation `bison' on page 15 undefined on input line 623 . Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] LaTeX Warning: Reference `fig:Basics_parsetree' on page 15 undefined on input l ine 669. [15] LaTeX Warning: Reference `fig:Basics_ast' on page 16 undefined on input line 67 9. LaTeX Warning: Reference `fig:Basics_parsetree' on page 16 undefined on input l ine 680. Package natbib Warning: Citation `Dragonbook' on page 16 undefined on input lin e 683. ) [16] [17] (./CHAPTER_Approach.tex Chapter 3. LaTeX Warning: Reference `fig:approach_flow' on page 18 undefined on input line 13. [18] Package natbib Warning: Citation `LibertyFormat' on page 19 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [19] LaTeX Warning: Reference `commandref' on page 20 undefined on input line 144. ) [20] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 21 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 21 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 21 undefined on input line 14. Package natbib Warning: Citation `k68' on page 21 undefined on input line 14. LaTeX Warning: Reference `fig:Overview_flow' on page 21 undefined on input line 26. [21] LaTeX Warning: Reference `fig:Overview_RTLIL' on page 22 undefined on input lin e 103. [22] [23] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module LaTeX Warning: Reference `fig:Overview_RTLIL' on page 24 undefined on input lin e 201. [24] [25] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [26] [27] LaTeX Warning: Reference `sec:memcells' on page 28 undefined on input line 458. LaTeX Warning: Reference `sec:typusecase' on page 28 undefined on input line 46 6. [28] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [29] (./CHAPTER_CellLib.tex Chapter 5. LaTeX Warning: Reference `tab:CellLib_unary' on page 30 undefined on input line 45. [30] LaTeX Warning: Reference `tab:CellLib_binary' on page 31 undefined on input lin e 98. [31] [32] [33] [34] LaTeX Warning: Reference `tab:CellLib_gates' on page 35 undefined on input line 437. Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [35] Package natbib Warning: Citation `ABC' on page 36 undefined on input line 460. Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [36]) [37] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [38] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [39] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [40] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [41] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [42] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [43] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [44] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [45] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Warning: Reference `fig:Verilog_flow' on page 46 undefined on input line 9. [46] Package natbib Warning: Citation `flex' on page 47 undefined on input line 95. Underfull \hbox (badness 4752) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/b/n/1 0 ?\T1/LinuxLibertineT-TLF/m/n/10 . Its source code can be found in Package natbib Warning: Citation `bison' on page 47 undefined on input line 117 . Overfull \hbox (83.20296pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/b /n/10 ?\T1/LinuxLibertineT-TLF/m/n/10 . Its source code can be found in \T1/Lin uxLibertineMonoT-TLF/regular/n/10 frontends/verilog/parser.y LaTeX Warning: Reference `tab:Verilog_AstNodeType' on page 47 undefined on inpu t line 392. Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [47] [48] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [49] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [50] [51] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [52] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [53] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [54] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [55] [56] (./CHAPTER_Optimize.tex Chapter 8. LaTeX Warning: Reference `chapter:celllib' on page 57 undefined on input line 3 7. LaTeX Warning: Reference `tab:opt_expr_and' on page 57 undefined on input line 64. Package natbib Warning: Citation `Verilog2005' on page 57 undefined on input li ne 69. [57] [58] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [59] Package natbib Warning: Citation `fsmextract' on page 60 undefined on input lin e 202. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [60] LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 276. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 278. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 279. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 281. [61] Package natbib Warning: Citation `Formality' on page 62 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 62 undefined on input line 320. LaTeX Warning: Reference `sec:techmap_extern' on page 62 undefined on input lin e 323. ) [62] (./CHAPTER_Techmap.tex Chapter 9. LaTeX Warning: Reference `sec:celllib_gates' on page 63 undefined on input line 12. LaTeX Warning: Reference `cmd:techmap' on page 63 undefined on input line 34. [63] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 64 undefine d on input line 61. LaTeX Warning: Reference `sec:SubCircuit' on page 64 undefined on input line 66 . Package natbib Warning: Citation `LibertyFormat' on page 64 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 64 undefined on input line 92. LaTeX Warning: Reference `sec:filterlib' on page 64 undefined on input line 100 . ) [64] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 65 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 65 undefi ned on input line 26. LaTeX Warning: Reference `cmd:extract' on page 65 undefined on input line 27. LaTeX Warning: Reference `cmd:sat' on page 65 undefined on input line 34. ) [65] (./CHAPTER_Auxprogs.tex Appendix B. LaTeX Warning: Reference `chapter:prog' on page 66 undefined on input line 11. LaTeX Warning: Reference `sec:techmap_extern' on page 66 undefined on input lin e 17. Package natbib Warning: Citation `ABC' on page 66 undefined on input line 22. ) [66] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [67] [68] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [69] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [70] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [71] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [72] [73] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [75] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [76] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [77] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [80] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] [92] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] [95] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [102] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [112] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [119] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [120] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] [124] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [131] [132] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] [138] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [139] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [142] [143] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [145] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] [147] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [150] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [154] [155] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [160] [161] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [162] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [166] [167] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [168] [169]) [170] (./CHAPTER_Appnotes.tex Appendix D. LaTeX Warning: Reference `app:010' on page 171 undefined on input line 16. LaTeX Warning: Reference `app:011' on page 171 undefined on input line 17. LaTeX Warning: Reference `app:012' on page 171 undefined on input line 18. [171] [172 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [173 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [174 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [175 <./APPNOTE_011_Design _Investigation.pdf>] [176 <./APPNOTE_011_Design_Investigation.pdf>] [177 <./APP NOTE_011_Design_Investigation.pdf>] [178 <./APPNOTE_011_Design_Investigation.pd f>] [179 <./APPNOTE_011_Design_Investigation.pdf>] [180 <./APPNOTE_011_Design_I nvestigation.pdf>] [181 <./APPNOTE_011_Design_Investigation.pdf>] [182 <./APPNO TE_011_Design_Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf> ] [184 <./APPNOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [186 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [187 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [188 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) No file manual.bbl. No file weblink.bbl. Package natbib Warning: There were undefined citations. (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) Package rerunfilecheck Warning: File `manual.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/d vips/lm/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn _naooyc.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6q f.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (188 pages, 1493419 bytes). Transcript written on manual.log. + false + bibtex manual.aux This is BibTeX, Version 0.99d (TeX Live 2019) The top-level auxiliary file: manual.aux A level-1 auxiliary file: CHAPTER_Intro.aux A level-1 auxiliary file: CHAPTER_Basics.aux A level-1 auxiliary file: CHAPTER_Approach.aux A level-1 auxiliary file: CHAPTER_Overview.aux A level-1 auxiliary file: CHAPTER_CellLib.aux A level-1 auxiliary file: CHAPTER_Prog.aux A level-1 auxiliary file: CHAPTER_Verilog.aux A level-1 auxiliary file: CHAPTER_Optimize.aux A level-1 auxiliary file: CHAPTER_Techmap.aux A level-1 auxiliary file: CHAPTER_Auxlibs.aux A level-1 auxiliary file: CHAPTER_Auxprogs.aux A level-1 auxiliary file: CHAPTER_Appnotes.aux The style file: alphadin.bst Database file #1: literature.bib Warning--to sort, need author or key in Verilog2005 Warning--to sort, need author or key in VerilogSynth Warning--to sort, need author or key in VHDL Warning--to sort, need author or key in VHDLSynth Warning--to sort, need author or key in IP-XACT Warning--empty pages in Cummings00 Warning--empty pages in intersynthFdlBookChapter Warning--empty author in IP-XACT Warning--empty author in VerilogSynth Warning--empty author in Verilog2005 Warning--empty author in VHDLSynth Warning--empty author in VHDL (There were 12 warnings) + bibtex weblink.aux This is BibTeX, Version 0.99d (TeX Live 2019) The top-level auxiliary file: weblink.aux The style file: abbrv.bst Database file #1: weblinks.bib Warning--to sort, need author or key in C_to_Verilog Warning--to sort, need author or key in LegUp Warning--to sort, need author or key in flex Warning--to sort, need author or key in bison Warning--to sort, need author or key in LibertyFormat Warning--to sort, need author or key in OR1200 Warning--to sort, need author or key in openMSP430 Warning--to sort, need author or key in i2cmaster Warning--to sort, need author or key in k68 Warning--to sort, need author or key in Formality (There were 10 warnings) + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 168. [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 12 undefined on input li ne 17. Package natbib Warning: Citation `VerilogSynth' on page 12 undefined on input l ine 17. Package natbib Warning: Citation `VHDL' on page 12 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 12 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 12 undefined on input lin e 27. Package natbib Warning: Citation `LogicSynthesis' on page 12 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 12 undefined on input lin e 43. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. Package natbib Warning: Citation `ABEL' on page 14 undefined on input line 68. [14] Package natbib Warning: Citation `IP-XACT' on page 15 undefined on input line 8 5. Package natbib Warning: Citation `C_to_Verilog' on page 15 undefined on input l ine 101. Package natbib Warning: Citation `LegUp' on page 15 undefined on input line 101 . (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Package natbib Warning: Citation `MultiLevelLogicSynth' on page 16 undefined on input line 199. Package natbib Warning: Citation `ABC' on page 16 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 16 undefined on input line 206 . Package natbib Warning: Citation `MVSIS' on page 16 undefined on input line 206 . Package natbib Warning: Citation `ABC' on page 16 undefined on input line 209. [16] Package natbib Warning: Citation `Verilog2005' on page 17 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 17 undefined on input l ine 245. (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] Package natbib Warning: Citation `Cummings00' on page 19 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Package natbib Warning: Citation `Dragonbook' on page 22 undefined on input lin e 585. Package natbib Warning: Citation `flex' on page 22 undefined on input line 609. Package natbib Warning: Citation `bison' on page 22 undefined on input line 623 . Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22] Package natbib Warning: Citation `Dragonbook' on page 23 undefined on input lin e 683. ) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] Package natbib Warning: Citation `LibertyFormat' on page 26 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 28 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 28 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 28 undefined on input line 14. Package natbib Warning: Citation `k68' on page 28 undefined on input line 14. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Package natbib Warning: Citation `ABC' on page 43 undefined on input line 460. Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Package natbib Warning: Citation `flex' on page 54 undefined on input line 95. Underfull \hbox (badness 2103) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [\T1/LinuxLibertineT-TLF/b/n/10 ? \T1/LinuxLibertineT-TLF/m/n/10 ]. Its sourc e code can be found in Package natbib Warning: Citation `bison' on page 54 undefined on input line 117 . Overfull \hbox (92.96228pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [\T1/LinuxLibertineT-TLF/b/n/10 ? \T1/LinuxLibertineT-TLF/m/n/10 ]. Its s ource code can be found in \T1/LinuxLibertineMonoT-TLF/regular/n/10 frontends/v erilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. Package natbib Warning: Citation `Verilog2005' on page 64 undefined on input li ne 69. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Package natbib Warning: Citation `fsmextract' on page 67 undefined on input lin e 202. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68] Package natbib Warning: Citation `Formality' on page 69 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 69 undefined on input line 320. ) [69] (./CHAPTER_Techmap.tex Chapter 9. [70] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 71 undefine d on input line 61. Package natbib Warning: Citation `LibertyFormat' on page 71 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 71 undefined on input line 92. ) [71] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 72 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 72 undefi ned on input line 26. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. Package natbib Warning: Citation `ABC' on page 73 undefined on input line 22. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) Package natbib Warning: There were undefined citations. [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux) Package natbib Warning: Citation(s) may have changed. (natbib) Rerun to get citations correct. ) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1552981 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. [14] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Overfull \hbox (12.97556pt too wide) in paragraph at lines 198--204 []\T1/LinuxLibertineT-TLF/m/n/10 Therefore mod-ern logic syn-the-sis tools uti- lize much more com-pli-cated \T1/LinuxLibertineT-TLF/m/it/10 multi-level logic syn-the-sis \T1/LinuxLibertineT-TLF/m/n/10 al-go-rithms [[]]. [16] (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22]) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Underfull \hbox (badness 3009) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [[]]. Its source code can be found in Overfull \hbox (95.32294pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [[]]. Its source code can be found in \T1/LinuxLibertineMonoT-TLF/regular /n/10 frontends/verilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Overfull \hbox (7.16573pt too wide) in paragraph at lines 201--203 []\T1/LinuxLibertineT-TLF/m/n/10 The al-go-rithms used for FSM de-tec-tion and ex-trac-tion are in-flu-enced by a more gen-eral re-ported tech-nique [[]]. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68]) [69] (./CHAPTER_Techmap.tex Chapter 9. [70]) [71] (./CHAPTER_Auxlibs.tex Appendix A. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1554261 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 314, line 6 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. [14] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Overfull \hbox (12.97556pt too wide) in paragraph at lines 198--204 []\T1/LinuxLibertineT-TLF/m/n/10 Therefore mod-ern logic syn-the-sis tools uti- lize much more com-pli-cated \T1/LinuxLibertineT-TLF/m/it/10 multi-level logic syn-the-sis \T1/LinuxLibertineT-TLF/m/n/10 al-go-rithms [[]]. [16] (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22]) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Underfull \hbox (badness 3009) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [[]]. Its source code can be found in Overfull \hbox (95.32294pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [[]]. Its source code can be found in \T1/LinuxLibertineMonoT-TLF/regular /n/10 frontends/verilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Overfull \hbox (7.16573pt too wide) in paragraph at lines 201--203 []\T1/LinuxLibertineT-TLF/m/n/10 The al-go-rithms used for FSM de-tec-tion and ex-trac-tion are in-flu-enced by a more gen-eral re-ported tech-nique [[]]. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68]) [69] (./CHAPTER_Techmap.tex Chapter 9. [70]) [71] (./CHAPTER_Auxlibs.tex Appendix A. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1554261 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new ++ stat -c %y debian/man/yosys-smtbmc.txt ++ awk '{ print $1 }' + txt2man -d 2019-10-18 -t YOSYS-SMTBMC debian/man/yosys-smtbmc.txt gawk: cmd. line:58: warning: regexp escape sequence `\o' is not a known regexp operator gawk: cmd. line:116: warning: regexp escape sequence `\,' is not a known regexp operator gawk: cmd. line:116: warning: regexp escape sequence `\;' is not a known regexp operator + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.AjxDfw + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 + cd yosys-yosys-0.9 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/include/yosys + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/man/man1 + install -m 0644 yosys-smtbmc.1 debian/yosys.1 debian/yosys-config.1 debian/yosys-filterlib.1 /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/man/man1 + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/doc/yosys + install -m 0644 manual/APPNOTE_010_Verilog_to_BLIF.pdf manual/APPNOTE_011_Design_Investigation.pdf manual/APPNOTE_012_Verilog_to_BTOR.pdf manual/manual.pdf manual/presentation.pdf /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/doc/yosys + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 0.9-3.fc31 --unique-debug-suffix -0.9-3.fc31.x86_64 --unique-debug-src-base yosys-0.9-3.fc31.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys-yosys-0.9 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin/yosys explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin/yosys-filterlib extracting debug info from /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin/yosys extracting debug info from /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/bin/yosys-filterlib original debug info size: 250060kB, size after compression: 208096kB /usr/lib/rpm/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 8643 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile /usr/bin/python3 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs *** WARNING: ./usr/src/debug/yosys-0.9-3.fc31.x86_64/techlibs/achronix/synth_achronix.cc is executable but has empty or no shebang, removing executable bit *** WARNING: ./usr/share/yosys/achronix/speedster22i/cells_map.v is executable but has empty or no shebang, removing executable bit *** WARNING: ./usr/share/yosys/achronix/speedster22i/cells_sim.v is executable but has empty or no shebang, removing executable bit mangling shebang in /usr/bin/yosys-config from /bin/bash to #!/usr/bin/bash Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.Dn6tNu + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + make test ABCEXTERNAL=/usr/bin/abc SEED=314159265359 [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/simple' + gcc -Wall -o /builddir/build/BUILD/yosys-yosys-0.9/tests/tools/cmp_tbdata /builddir/build/BUILD/yosys-yosys-0.9/tests/tools/cmp_tbdata.c Test: arrays02 -> ok Test: defvalue -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: implicit_ports -> ok Test: localparam_attr -> ok Test: loops -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem_arst -> ok Test: memory -> ok Test: multiplier -> ok Test: muxtree -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: peepopt -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signedexpr -> ok Test: sincos -> ok Test: specify -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/simple' cd tests/hana && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/hana' Test: test_intermout -> ok Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_always -> ok Test: test_simulation_and -> ok Test: test_simulation_buffer -> ok Test: test_simulation_decoder -> ok Test: test_simulation_inc -> ok Test: test_simulation_mux -> ok Test: test_simulation_nand -> ok Test: test_simulation_nor -> ok Test: test_simulation_or -> ok Test: test_simulation_seq -> ok Test: test_simulation_shifter -> ok Test: test_simulation_sop -> ok Test: test_simulation_techmap -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/hana' cd tests/asicworld && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/asicworld' Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_cam -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_uart -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_which_clock -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/asicworld' # +cd tests/realmath && bash run-test.sh "-S 314159265359" cd tests/share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/fsm && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/fsm' [0]K[1]K[2]K[3]K[4]K[5]K[6]T[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]T[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]T[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]K make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. cd tests/memories && bash run-test.sh "-A /usr/bin/abc" "-S 314159265359" Test: amber23_sram_byte_en -> ok Test: firrtl_938 -> ok Test: implicit_en -> ok Test: issue00335 -> ok Test: issue00710 -> ok Test: no_implicit_en -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/bram' Passed memory_bram test 00_01. Passed memory_bram test 00_02. Passed memory_bram test 00_03. Passed memory_bram test 00_04. Passed memory_bram test 01_00. Passed memory_bram test 01_02. Passed memory_bram test 01_03. Passed memory_bram test 01_04. Passed memory_bram test 02_00. Passed memory_bram test 02_01. Passed memory_bram test 02_03. Passed memory_bram test 02_04. Passed memory_bram test 03_00. Passed memory_bram test 03_01. Passed memory_bram test 03_02. Passed memory_bram test 03_04. Passed memory_bram test 04_00. Passed memory_bram test 04_01. Passed memory_bram test 04_02. Passed memory_bram test 04_03. make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/bram' cd tests/various && bash run-test.sh Running attrib05_port_conn.ys.. Running attrib07_func_call.ys.. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:17. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:18. Running constmsk_test.ys.. constmsk_testmap.v:45: Warning: Range [1:0] select out of bounds on signal `\tmp': Setting 1 MSB bits to undef. Running elab_sys_tasks.ys.. elab_sys_tasks.sv:8: Warning: X is 1. elab_sys_tasks.sv:22: Warning: Running muxcover.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/simcells.v:437) Running muxpack.ys.. Running opt_rmdff.ys.. Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver. Running pmux2shiftx.ys.. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:39. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:40. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:41. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:42. Running reg_wire_error.ys.. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29. Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35. Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62. Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69. Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 Running shregmap.ys.. /* Generated by Yosys 0.9 (git sha1 UNKNOWN, gcc 9.3.1 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) */ (* top = 1 *) (* src = "shregmap.v:24" *) module shregmap_variable_test(i, clk, l1, l2, q); wire \$auto$shregmap.cc:228:fixup$96 ; wire \$auto$shregmap.cc:228:fixup$98 ; wire \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 ; (* src = "shregmap.v:24" *) input clk; (* init = 1'h0 *) (* src = "shregmap.v:25" *) wire head; (* src = "shregmap.v:24" *) input i; (* src = "shregmap.v:24" *) input [1:0] l1; (* src = "shregmap.v:24" *) input [1:0] l2; (* src = "shregmap.v:24" *) output [1:0] q; (* src = "shregmap.v:26" *) wire [3:0] shift1; (* src = "shregmap.v:27" *) wire [3:0] shift2; (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$95 ( .C(clk), .D(head), .L(l1), .Q(q[0]) ); (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$97 ( .C(clk), .D(head), .L(l2), .Q(q[1]) ); (* src = "shregmap.v:29" *) \$_DFF_P_ \$auto$simplemap.cc:420:simplemap_dff$93 ( .C(clk), .D(i), .Q(head) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$8 ( .A(shift1), .B(l1), .Y(\$auto$shregmap.cc:228:fixup$96 ) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$9 ( .A(shift2), .B(l2), .Y(\$auto$shregmap.cc:228:fixup$98 ) ); assign \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 = head; endmodule Running signext.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Test: svinterface_at_top -> ok cd tests/opt && bash run-test.sh Running opt_expr_cmp.ys.. Running opt_ff.ys.. Running opt_lut.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:31) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:76) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:77) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1193) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1194) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1256) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1257) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1258) Running opt_lut_elim.ys.. Running opt_lut_port.ys.. cd tests/aiger && bash run-test.sh "-A /usr/bin/abc" Checking and_.aag. Checking buffer.aag. Checking cnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aag. Checking empty.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aag. Checking inverter.aag. Checking notcnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aag. Checking or_.aag. Checking toggle-re.aag. Checking toggle.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking and_.aig. Checking buffer.aig. Checking cnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aig. Checking empty.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aig. Checking inverter.aig. Checking notcnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aig. Checking or_.aig. Checking toggle-re.aig. Checking toggle.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. cd tests/arch && bash run-test.sh Running syntax check on arch sim models Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel/cyclonev/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cyclone10/cells_sim.v -> ok Test ../../techlibs/intel/a10gx/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v -> ok Passed "make test". + RPM_EC=0 ++ jobs -p + exit 0 Processing files: yosys-0.9-3.fc31.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.BohT8v + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + DOCDIR=/builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/doc/yosys + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/doc/yosys + cp -pr README.md /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.hFwe4w + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/licenses/yosys + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/licenses/yosys + cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.9-3.fc31 yosys(x86-64) = 0.9-3.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6()(64bit) libc.so.6(GLIBC_2.11)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.27)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libdl.so.2()(64bit) libdl.so.2(GLIBC_2.2.5)(64bit) libffi.so.6()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.29)(64bit) libreadline.so.8()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.5)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtcl8.6.so()(64bit) rtld(GNU_HASH) Processing files: yosys-doc-0.9-3.fc31.x86_64 Provides: yosys-doc = 0.9-3.fc31 yosys-doc(x86-64) = 0.9-3.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.9-3.fc31.noarch Provides: yosys-share = 0.9-3.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.9-3.fc31.x86_64 Provides: yosys-devel = 0.9-3.fc31 yosys-devel(x86-64) = 0.9-3.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.9-3.fc31.x86_64 Provides: yosys-debugsource = 0.9-3.fc31 yosys-debugsource(x86-64) = 0.9-3.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.9-3.fc31.x86_64 Provides: debuginfo(build-id) = 241a39bc0b7c00204bfbc627bc05854ebc4ee54c debuginfo(build-id) = b7bb9ca8518d7baceab1f408aa4baf71d0946918 yosys-debuginfo = 0.9-3.fc31 yosys-debuginfo(x86-64) = 0.9-3.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-64) = 0.9-3.fc31 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 Wrote: /builddir/build/RPMS/yosys-doc-0.9-3.fc31.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-share-0.9-3.fc31.noarch.rpm Wrote: /builddir/build/RPMS/yosys-devel-0.9-3.fc31.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-0.9-3.fc31.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.9-3.fc31.x86_64.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.9-3.fc31.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.UBCIEt + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.9-3.fc31.x86_64 + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild yosys-0.9-3.fc31.src.rpm Finish: build phase for yosys-0.9-3.fc31.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-31-x86_64-1587286509.139348/root/var/log/dnf.rpm.log /var/lib/mock/fedora-31-x86_64-1587286509.139348/root/var/log/dnf.librepo.log /var/lib/mock/fedora-31-x86_64-1587286509.139348/root/var/log/dnf.log INFO: Done(/var/lib/copr-rpmbuild/results/yosys-0.9-3.fc31.src.rpm) Config(child) 30 minutes 27 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run INFO: unmounting tmpfs.