Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c14d' (ED25519) to the list of known hosts. Running: /usr/bin/copr-rpmbuild --verbose --drop-resultdir --build-id 5189348 --chroot fedora-rawhide-x86_64 --detached Version: 0.62 PID: 12359 Logging PID: 12360 Task: {'appstream': False, 'background': True, 'build_id': 5189348, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-x86_64', 'enable_net': False, 'fedora_review': False, 'git_hash': 'e5586d2f6c93065302744f8efc3f3c8a0f5478ff', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/jakub/gcc-13-test.checker/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.24-1.20221209git7ad7b55', 'project_dirname': 'gcc-13-test.checker', 'project_name': 'gcc-13-test.checker', 'project_owner': 'jakub', 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/jakub/gcc-13-test.checker/fedora-rawhide-x86_64/', 'id': 'copr_base', 'name': 'Copr repository'}, {'baseurl': 'https://jakub.fedorapeople.org/fedora-gcc13-$arch/', 'id': 'https_jakub_fedorapeople_org_fedora_gcc13_arch', 'name': 'Additional repo https_jakub_fedorapeople_org_fedora_gcc13_arch'}], 'sandbox': 'jakub/gcc-13-test.checker--jakub', 'source_json': {}, 'source_type': None, 'submitter': 'jakub', 'tags': [], 'task_id': '5189348-fedora-rawhide-x86_64', 'timeout': 115200, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/jakub/gcc-13-test.checker/yosys /var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/jakub/gcc-13-test.checker/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys'... Running: git checkout e5586d2f6c93065302744f8efc3f3c8a0f5478ff cmd: ['git', 'checkout', 'e5586d2f6c93065302744f8efc3f3c8a0f5478ff'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys rc: 0 stdout: stderr: Note: switching to 'e5586d2f6c93065302744f8efc3f3c8a0f5478ff'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at e5586d2 automatic import of yosys Running: copr-distgit-client sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading yosys-7ad7b55.tar.gz INFO: Calling: curl -H Pragma: -o yosys-7ad7b55.tar.gz --location --remote-time --show-error --fail https://copr-dist-git.fedorainfracloud.org/repo/pkgs/jakub/gcc-13-test.checker/yosys/yosys-7ad7b55.tar.gz/md5/01ccced9981fd7a931eef0d83d2df268/yosys-7ad7b55.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 2599k 100 2599k 0 0 19.6M 0 --:--:-- --:--:-- --:--:-- 19.6M INFO: Reading stdout from command: md5sum yosys-7ad7b55.tar.gz INFO: Downloading yosys_0.9-1.debian.tar.xz INFO: Calling: curl -H Pragma: -o yosys_0.9-1.debian.tar.xz --location --remote-time --show-error --fail https://copr-dist-git.fedorainfracloud.org/repo/pkgs/jakub/gcc-13-test.checker/yosys/yosys_0.9-1.debian.tar.xz/md5/cd3e7ea8ea41b6095a23ff309dd873eb/yosys_0.9-1.debian.tar.xz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 17276 100 17276 0 0 265k 0 --:--:-- --:--:-- --:--:-- 267k INFO: Reading stdout from command: md5sum yosys_0.9-1.debian.tar.xz Running (timeout=115200): unbuffer mock --buildsrpm --spec /var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1672403225.479053 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 3.5 starting (python version = 3.11.0, NVR = mock-3.5-1.fc37)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys/yosys.spec) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1672403225.479053/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 3.5 INFO: Mock Version: 3.5 Start(bootstrap): dnf install No matches found for the following disable plugin patterns: local, spacewalk, versionlock Updating Subscription Management repositories. Unable to read consumer identity This system is not registered with an entitlement server. You can use subscription-manager to register. Copr repository 34 MB/s | 9.1 MB 00:00 Additional repo https_jakub_fedorapeople_org_fe 361 kB/s | 92 kB 00:00 fedora 21 MB/s | 65 MB 00:03 Dependencies resolved. ========================================================================================================================= Package Arch Version Repository Size ========================================================================================================================= Installing: dnf noarch 4.14.0-1.fc38 fedora 480 k dnf-plugins-core noarch 4.3.1-1.fc38 fedora 34 k Installing dependencies: alternatives x86_64 1.21-1.fc38 fedora 38 k audit-libs x86_64 3.0.9-2.fc38 fedora 116 k basesystem noarch 11-14.fc37 fedora 7.0 k bash x86_64 5.2.9-3.fc38 fedora 1.8 M bzip2-libs x86_64 1.0.8-12.fc37 fedora 41 k ca-certificates noarch 2022.2.54-5.fc37 fedora 829 k coreutils x86_64 9.1-8.fc38 fedora 1.1 M coreutils-common x86_64 9.1-8.fc38 fedora 2.0 M crypto-policies noarch 20221215-1.gita4c31a3.fc38 fedora 90 k curl x86_64 7.87.0-1.fc38 fedora 344 k cyrus-sasl-lib x86_64 2.1.28-8.fc38 fedora 792 k dbus-libs x86_64 1:1.14.4-1.fc38 fedora 156 k dnf-data noarch 4.14.0-1.fc38 fedora 43 k elfutils-default-yama-scope noarch 0.188-3.fc38 fedora 15 k elfutils-libelf x86_64 0.188-3.fc38 fedora 196 k elfutils-libs x86_64 0.188-3.fc38 fedora 257 k expat x86_64 2.5.0-1.fc38 fedora 110 k fedora-gpg-keys noarch 38-0.3 fedora 125 k fedora-release noarch 38-0.6 fedora 11 k fedora-release-common noarch 38-0.6 fedora 21 k fedora-release-identity-basic noarch 38-0.6 fedora 11 k fedora-repos noarch 38-0.3 fedora 10 k fedora-repos-rawhide noarch 38-0.3 fedora 9.7 k file-libs x86_64 5.42-4.fc37 fedora 676 k filesystem x86_64 3.18-2.fc37 fedora 1.1 M findutils x86_64 1:4.9.0-2.fc37 fedora 492 k gawk x86_64 5.1.1-4.fc37 fedora 1.0 M gdbm-libs x86_64 1:1.23-2.fc37 fedora 56 k glib2 x86_64 2.74.1-2.fc38 fedora 2.7 M glibc x86_64 2.36.9000-19.fc38 fedora 2.1 M glibc-common x86_64 2.36.9000-19.fc38 fedora 309 k glibc-minimal-langpack x86_64 2.36.9000-19.fc38 fedora 30 k gmp x86_64 1:6.2.1-3.fc37 fedora 315 k gnupg2 x86_64 2.3.8-1.fc38 fedora 2.6 M gnutls x86_64 3.7.8-9.fc38 fedora 1.1 M gpgme x86_64 1.17.1-3.fc38 fedora 210 k grep x86_64 3.8-1.fc38 fedora 292 k ima-evm-utils x86_64 1.4-6.fc37 fedora 63 k json-c x86_64 0.16-3.fc38 fedora 41 k keyutils-libs x86_64 1.6.1-5.fc37 fedora 31 k krb5-libs x86_64 1.20.1-3.fc38 fedora 723 k libacl x86_64 2.3.1-4.fc37 fedora 23 k libarchive x86_64 3.6.1-3.fc38 fedora 397 k libassuan x86_64 2.5.5-5.fc37 fedora 67 k libattr x86_64 2.5.1-5.fc37 fedora 18 k libb2 x86_64 0.98.1-7.fc37 fedora 25 k libblkid x86_64 2.38.1-3.fc38 fedora 106 k libbrotli x86_64 1.0.9-9.fc37 fedora 315 k libcap x86_64 2.48-5.fc37 fedora 67 k libcap-ng x86_64 0.8.3-4.fc38 fedora 32 k libcom_err x86_64 1.46.5-3.fc38 copr_base 26 k libcomps x86_64 0.1.18-4.fc37 fedora 76 k libcurl x86_64 7.87.0-1.fc38 fedora 301 k libdnf x86_64 0.68.0-1.fc38 fedora 673 k libevent x86_64 2.1.12-7.fc37 fedora 261 k libffi x86_64 3.4.4-1.fc38 fedora 38 k libfsverity x86_64 1.4-8.fc37 fedora 19 k libgcc x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 93 k libgcrypt x86_64 1.10.1-6.fc38 fedora 511 k libgomp x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 300 k libgpg-error x86_64 1.46-1.fc38 fedora 227 k libidn2 x86_64 2.3.4-1.fc38 fedora 160 k libksba x86_64 1.6.3-1.fc38 fedora 158 k libmodulemd x86_64 2.14.0-4.fc37 fedora 234 k libmount x86_64 2.38.1-3.fc38 fedora 135 k libnghttp2 x86_64 1.51.0-1.fc38 fedora 75 k libnsl2 x86_64 2.0.0-4.fc37 fedora 30 k libpsl x86_64 0.21.2-1.fc38 fedora 65 k librepo x86_64 1.15.1-1.fc38 fedora 96 k libreport-filesystem noarch 2.17.6-1.fc38 fedora 14 k libselinux x86_64 3.5-0.rc1.1.fc38 fedora 86 k libsemanage x86_64 3.5-0.rc1.1.fc38 fedora 119 k libsepol x86_64 3.5-0.rc1.1.fc38 fedora 322 k libsigsegv x86_64 2.14-3.fc37 fedora 27 k libsmartcols x86_64 2.38.1-3.fc38 fedora 64 k libsolv x86_64 0.7.22-3.fc37 fedora 405 k libssh x86_64 0.10.4-2.fc38 fedora 209 k libssh-config noarch 0.10.4-2.fc38 fedora 8.8 k libstdc++ x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 799 k libtasn1 x86_64 4.19.0-1.fc38 fedora 75 k libtirpc x86_64 1.3.3-0.fc37 fedora 93 k libunistring x86_64 1.0-2.fc37 fedora 549 k libuuid x86_64 2.38.1-3.fc38 fedora 27 k libverto x86_64 0.3.2-4.fc37 fedora 21 k libxcrypt x86_64 4.4.33-5.fc38 fedora 120 k libxml2 x86_64 2.10.3-2.fc38 fedora 705 k libyaml x86_64 0.2.5-8.fc37 fedora 60 k libzstd x86_64 1.5.2-3.fc37 fedora 294 k lua-libs x86_64 5.4.4-6.fc38 fedora 131 k lz4-libs x86_64 1.9.3-5.fc37 fedora 70 k mpdecimal x86_64 2.5.1-4.fc37 fedora 102 k mpfr x86_64 4.1.1-2.fc38 fedora 598 k ncurses-base noarch 6.3-5.20221126.fc38 fedora 86 k ncurses-libs x86_64 6.3-5.20221126.fc38 fedora 329 k nettle x86_64 3.8-2.fc37 fedora 414 k npth x86_64 1.6-10.fc38 fedora 24 k openldap x86_64 2.6.3-1.fc38 fedora 254 k openssl-libs x86_64 1:3.0.5-6.fc38 fedora 2.1 M p11-kit x86_64 0.24.1-5.fc38 fedora 376 k p11-kit-trust x86_64 0.24.1-5.fc38 fedora 137 k pcre2 x86_64 10.40-1.fc37.1 fedora 236 k pcre2-syntax noarch 10.40-1.fc37.1 fedora 142 k popt x86_64 1.19-1.fc38 fedora 66 k publicsuffix-list-dafsa noarch 20221208-1.fc38 fedora 59 k python-pip-wheel noarch 22.3.1-1.fc38 fedora 1.4 M python-setuptools-wheel noarch 65.5.1-1.fc38 fedora 715 k python3 x86_64 3.11.1-1.fc38 fedora 27 k python3-dateutil noarch 1:2.8.2-4.fc37 fedora 361 k python3-dbus x86_64 1.3.2-1.fc38 fedora 157 k python3-distro noarch 1.8.0-1.fc38 fedora 49 k python3-dnf noarch 4.14.0-1.fc38 fedora 607 k python3-dnf-plugins-core noarch 4.3.1-1.fc38 fedora 277 k python3-gpg x86_64 1.17.1-3.fc38 fedora 296 k python3-hawkey x86_64 0.68.0-1.fc38 fedora 108 k python3-libcomps x86_64 0.1.18-4.fc37 fedora 48 k python3-libdnf x86_64 0.68.0-1.fc38 fedora 818 k python3-libs x86_64 3.11.1-1.fc38 fedora 9.6 M python3-rpm x86_64 4.18.0-8.fc38 fedora 94 k python3-six noarch 1.16.0-8.fc37 fedora 42 k readline x86_64 8.2-2.fc38 fedora 211 k rpm x86_64 4.18.0-8.fc38 fedora 572 k rpm-build-libs x86_64 4.18.0-8.fc38 fedora 94 k rpm-libs x86_64 4.18.0-8.fc38 fedora 309 k rpm-sequoia x86_64 1.2.0-1.fc38 fedora 829 k rpm-sign-libs x86_64 4.18.0-8.fc38 fedora 27 k sed x86_64 4.8-11.fc37 fedora 306 k setup noarch 2.14.3-1.fc38 fedora 152 k shadow-utils x86_64 2:4.13-3.fc38 fedora 1.3 M sqlite-libs x86_64 3.40.0-1.fc38 fedora 661 k systemd-libs x86_64 252.4-598.fc38 fedora 632 k tpm2-tss x86_64 4.0.0-0.1.rc2.fc38 fedora 710 k tzdata noarch 2022g-1.fc38 copr_base 432 k xz-libs x86_64 5.2.9-1.fc38 fedora 93 k zchunk-libs x86_64 1.2.3-1.fc38 fedora 51 k zlib x86_64 1.2.13-1.fc38 fedora 94 k Transaction Summary ========================================================================================================================= Install 137 Packages Total download size: 57 M Installed size: 200 M Downloading Packages: (1/137): libcom_err-1.46.5-3.fc38.x86_64.rpm 266 kB/s | 26 kB 00:00 (2/137): tzdata-2022g-1.fc38.noarch.rpm 2.9 MB/s | 432 kB 00:00 (3/137): libgcc-13.0.0-0.4.fc38.x86_64.rpm 583 kB/s | 93 kB 00:00 (4/137): libgomp-13.0.0-0.4.fc38.x86_64.rpm 1.4 MB/s | 300 kB 00:00 (5/137): alternatives-1.21-1.fc38.x86_64.rpm 243 kB/s | 38 kB 00:00 (6/137): libstdc++-13.0.0-0.4.fc38.x86_64.rpm 3.8 MB/s | 799 kB 00:00 (7/137): basesystem-11-14.fc37.noarch.rpm 176 kB/s | 7.0 kB 00:00 (8/137): bzip2-libs-1.0.8-12.fc37.x86_64.rpm 518 kB/s | 41 kB 00:00 (9/137): audit-libs-3.0.9-2.fc38.x86_64.rpm 482 kB/s | 116 kB 00:00 (10/137): ca-certificates-2022.2.54-5.fc37.noar 2.0 MB/s | 829 kB 00:00 (11/137): coreutils-9.1-8.fc38.x86_64.rpm 2.7 MB/s | 1.1 MB 00:00 (12/137): bash-5.2.9-3.fc38.x86_64.rpm 2.9 MB/s | 1.8 MB 00:00 (13/137): crypto-policies-20221215-1.gita4c31a3 1.9 MB/s | 90 kB 00:00 (14/137): curl-7.87.0-1.fc38.x86_64.rpm 4.1 MB/s | 344 kB 00:00 (15/137): cyrus-sasl-lib-2.1.28-8.fc38.x86_64.r 6.3 MB/s | 792 kB 00:00 (16/137): dbus-libs-1.14.4-1.fc38.x86_64.rpm 2.0 MB/s | 156 kB 00:00 (17/137): coreutils-common-9.1-8.fc38.x86_64.rp 6.5 MB/s | 2.0 MB 00:00 (18/137): dnf-data-4.14.0-1.fc38.noarch.rpm 1.1 MB/s | 43 kB 00:00 (19/137): dnf-plugins-core-4.3.1-1.fc38.noarch. 895 kB/s | 34 kB 00:00 (20/137): dnf-4.14.0-1.fc38.noarch.rpm 6.0 MB/s | 480 kB 00:00 (21/137): elfutils-default-yama-scope-0.188-3.f 406 kB/s | 15 kB 00:00 (22/137): elfutils-libs-0.188-3.fc38.x86_64.rpm 6.2 MB/s | 257 kB 00:00 (23/137): elfutils-libelf-0.188-3.fc38.x86_64.r 2.5 MB/s | 196 kB 00:00 (24/137): fedora-gpg-keys-38-0.3.noarch.rpm 3.1 MB/s | 125 kB 00:00 (25/137): expat-2.5.0-1.fc38.x86_64.rpm 1.4 MB/s | 110 kB 00:00 (26/137): fedora-release-38-0.6.noarch.rpm 282 kB/s | 11 kB 00:00 (27/137): fedora-release-common-38-0.6.noarch.r 558 kB/s | 21 kB 00:00 (28/137): fedora-release-identity-basic-38-0.6. 301 kB/s | 11 kB 00:00 (29/137): fedora-repos-38-0.3.noarch.rpm 267 kB/s | 10 kB 00:00 (30/137): fedora-repos-rawhide-38-0.3.noarch.rp 255 kB/s | 9.7 kB 00:00 (31/137): findutils-4.9.0-2.fc37.x86_64.rpm 6.1 MB/s | 492 kB 00:00 (32/137): file-libs-5.42-4.fc37.x86_64.rpm 5.7 MB/s | 676 kB 00:00 (33/137): filesystem-3.18-2.fc37.x86_64.rpm 7.0 MB/s | 1.1 MB 00:00 (34/137): gdbm-libs-1.23-2.fc37.x86_64.rpm 742 kB/s | 56 kB 00:00 (35/137): gawk-5.1.1-4.fc37.x86_64.rpm 6.6 MB/s | 1.0 MB 00:00 (36/137): glibc-common-2.36.9000-19.fc38.x86_64 7.4 MB/s | 309 kB 00:00 (37/137): glibc-minimal-langpack-2.36.9000-19.f 792 kB/s | 30 kB 00:00 (38/137): gmp-6.2.1-3.fc37.x86_64.rpm 7.4 MB/s | 315 kB 00:00 (39/137): glibc-2.36.9000-19.fc38.x86_64.rpm 7.6 MB/s | 2.1 MB 00:00 (40/137): glib2-2.74.1-2.fc38.x86_64.rpm 7.9 MB/s | 2.7 MB 00:00 (41/137): gpgme-1.17.1-3.fc38.x86_64.rpm 5.1 MB/s | 210 kB 00:00 (42/137): gnutls-3.7.8-9.fc38.x86_64.rpm 7.1 MB/s | 1.1 MB 00:00 (43/137): grep-3.8-1.fc38.x86_64.rpm 3.8 MB/s | 292 kB 00:00 (44/137): json-c-0.16-3.fc38.x86_64.rpm 1.0 MB/s | 41 kB 00:00 (45/137): ima-evm-utils-1.4-6.fc37.x86_64.rpm 830 kB/s | 63 kB 00:00 (46/137): keyutils-libs-1.6.1-5.fc37.x86_64.rpm 819 kB/s | 31 kB 00:00 (47/137): gnupg2-2.3.8-1.fc38.x86_64.rpm 7.5 MB/s | 2.6 MB 00:00 (48/137): libacl-2.3.1-4.fc37.x86_64.rpm 608 kB/s | 23 kB 00:00 (49/137): libassuan-2.5.5-5.fc37.x86_64.rpm 1.7 MB/s | 67 kB 00:00 (50/137): libarchive-3.6.1-3.fc38.x86_64.rpm 5.1 MB/s | 397 kB 00:00 (51/137): krb5-libs-1.20.1-3.fc38.x86_64.rpm 6.2 MB/s | 723 kB 00:00 (52/137): libattr-2.5.1-5.fc37.x86_64.rpm 473 kB/s | 18 kB 00:00 (53/137): libb2-0.98.1-7.fc37.x86_64.rpm 657 kB/s | 25 kB 00:00 (54/137): libbrotli-1.0.9-9.fc37.x86_64.rpm 7.3 MB/s | 315 kB 00:00 (55/137): libcap-2.48-5.fc37.x86_64.rpm 1.7 MB/s | 67 kB 00:00 (56/137): libblkid-2.38.1-3.fc38.x86_64.rpm 1.4 MB/s | 106 kB 00:00 (57/137): libcap-ng-0.8.3-4.fc38.x86_64.rpm 840 kB/s | 32 kB 00:00 (58/137): libcomps-0.1.18-4.fc37.x86_64.rpm 1.9 MB/s | 76 kB 00:00 (59/137): libevent-2.1.12-7.fc37.x86_64.rpm 5.8 MB/s | 261 kB 00:00 (60/137): libcurl-7.87.0-1.fc38.x86_64.rpm 3.6 MB/s | 301 kB 00:00 (61/137): libffi-3.4.4-1.fc38.x86_64.rpm 968 kB/s | 38 kB 00:00 (62/137): libfsverity-1.4-8.fc37.x86_64.rpm 498 kB/s | 19 kB 00:00 (63/137): libdnf-0.68.0-1.fc38.x86_64.rpm 5.2 MB/s | 673 kB 00:00 (64/137): libgpg-error-1.46-1.fc38.x86_64.rpm 5.1 MB/s | 227 kB 00:00 (65/137): libgcrypt-1.10.1-6.fc38.x86_64.rpm 6.2 MB/s | 511 kB 00:00 (66/137): libidn2-2.3.4-1.fc38.x86_64.rpm 2.0 MB/s | 160 kB 00:00 (67/137): libmodulemd-2.14.0-4.fc37.x86_64.rpm 5.6 MB/s | 234 kB 00:00 (68/137): libksba-1.6.3-1.fc38.x86_64.rpm 2.0 MB/s | 158 kB 00:00 (69/137): libmount-2.38.1-3.fc38.x86_64.rpm 3.4 MB/s | 135 kB 00:00 (70/137): libnghttp2-1.51.0-1.fc38.x86_64.rpm 1.9 MB/s | 75 kB 00:00 (71/137): libnsl2-2.0.0-4.fc37.x86_64.rpm 788 kB/s | 30 kB 00:00 (72/137): libpsl-0.21.2-1.fc38.x86_64.rpm 1.7 MB/s | 65 kB 00:00 (73/137): librepo-1.15.1-1.fc38.x86_64.rpm 2.4 MB/s | 96 kB 00:00 (74/137): libreport-filesystem-2.17.6-1.fc38.no 358 kB/s | 14 kB 00:00 (75/137): libselinux-3.5-0.rc1.1.fc38.x86_64.rp 2.2 MB/s | 86 kB 00:00 (76/137): libsemanage-3.5-0.rc1.1.fc38.x86_64.r 2.9 MB/s | 119 kB 00:00 (77/137): libsepol-3.5-0.rc1.1.fc38.x86_64.rpm 7.2 MB/s | 322 kB 00:00 (78/137): libsigsegv-2.14-3.fc37.x86_64.rpm 705 kB/s | 27 kB 00:00 (79/137): libsmartcols-2.38.1-3.fc38.x86_64.rpm 1.6 MB/s | 64 kB 00:00 (80/137): libssh-config-0.10.4-2.fc38.noarch.rp 232 kB/s | 8.8 kB 00:00 (81/137): libsolv-0.7.22-3.fc37.x86_64.rpm 5.1 MB/s | 405 kB 00:00 (82/137): libssh-0.10.4-2.fc38.x86_64.rpm 2.6 MB/s | 209 kB 00:00 (83/137): libtasn1-4.19.0-1.fc38.x86_64.rpm 1.8 MB/s | 75 kB 00:00 (84/137): libtirpc-1.3.3-0.fc37.x86_64.rpm 2.2 MB/s | 93 kB 00:00 (85/137): libuuid-2.38.1-3.fc38.x86_64.rpm 665 kB/s | 27 kB 00:00 (86/137): libverto-0.3.2-4.fc37.x86_64.rpm 507 kB/s | 21 kB 00:00 (87/137): libxcrypt-4.4.33-5.fc38.x86_64.rpm 1.0 MB/s | 120 kB 00:00 (88/137): libunistring-1.0-2.fc37.x86_64.rpm 2.7 MB/s | 549 kB 00:00 (89/137): libxml2-2.10.3-2.fc38.x86_64.rpm 3.5 MB/s | 705 kB 00:00 (90/137): lua-libs-5.4.4-6.fc38.x86_64.rpm 2.7 MB/s | 131 kB 00:00 (91/137): lz4-libs-1.9.3-5.fc37.x86_64.rpm 1.8 MB/s | 70 kB 00:00 (92/137): mpdecimal-2.5.1-4.fc37.x86_64.rpm 1.3 MB/s | 102 kB 00:00 (93/137): libyaml-0.2.5-8.fc37.x86_64.rpm 225 kB/s | 60 kB 00:00 (94/137): libzstd-1.5.2-3.fc37.x86_64.rpm 1.1 MB/s | 294 kB 00:00 (95/137): ncurses-base-6.3-5.20221126.fc38.noar 734 kB/s | 86 kB 00:00 (96/137): mpfr-4.1.1-2.fc38.x86_64.rpm 3.6 MB/s | 598 kB 00:00 (97/137): ncurses-libs-6.3-5.20221126.fc38.x86_ 2.1 MB/s | 329 kB 00:00 (98/137): npth-1.6-10.fc38.x86_64.rpm 630 kB/s | 24 kB 00:00 (99/137): openldap-2.6.3-1.fc38.x86_64.rpm 2.2 MB/s | 254 kB 00:00 (100/137): nettle-3.8-2.fc37.x86_64.rpm 2.1 MB/s | 414 kB 00:00 (101/137): p11-kit-trust-0.24.1-5.fc38.x86_64.r 3.4 MB/s | 137 kB 00:00 (102/137): pcre2-10.40-1.fc37.1.x86_64.rpm 3.0 MB/s | 236 kB 00:00 (103/137): p11-kit-0.24.1-5.fc38.x86_64.rpm 2.4 MB/s | 376 kB 00:00 (104/137): popt-1.19-1.fc38.x86_64.rpm 1.7 MB/s | 66 kB 00:00 (105/137): pcre2-syntax-10.40-1.fc37.1.noarch.r 1.8 MB/s | 142 kB 00:00 (106/137): publicsuffix-list-dafsa-20221208-1.f 785 kB/s | 59 kB 00:00 (107/137): openssl-libs-3.0.5-6.fc38.x86_64.rpm 4.5 MB/s | 2.1 MB 00:00 (108/137): python3-3.11.1-1.fc38.x86_64.rpm 711 kB/s | 27 kB 00:00 (109/137): python3-dateutil-2.8.2-4.fc37.noarch 4.5 MB/s | 361 kB 00:00 (110/137): python-setuptools-wheel-65.5.1-1.fc3 2.6 MB/s | 715 kB 00:00 (111/137): python3-dbus-1.3.2-1.fc38.x86_64.rpm 2.0 MB/s | 157 kB 00:00 (112/137): python-pip-wheel-22.3.1-1.fc38.noarc 4.2 MB/s | 1.4 MB 00:00 (113/137): python3-distro-1.8.0-1.fc38.noarch.r 655 kB/s | 49 kB 00:00 (114/137): python3-dnf-plugins-core-4.3.1-1.fc3 3.5 MB/s | 277 kB 00:00 (115/137): python3-dnf-4.14.0-1.fc38.noarch.rpm 3.9 MB/s | 607 kB 00:00 (116/137): python3-hawkey-0.68.0-1.fc38.x86_64. 1.4 MB/s | 108 kB 00:00 (117/137): python3-gpg-1.17.1-3.fc38.x86_64.rpm 2.5 MB/s | 296 kB 00:00 (118/137): python3-libcomps-0.1.18-4.fc37.x86_6 636 kB/s | 48 kB 00:00 (119/137): python3-rpm-4.18.0-8.fc38.x86_64.rpm 2.4 MB/s | 94 kB 00:00 (120/137): python3-six-1.16.0-8.fc37.noarch.rpm 1.1 MB/s | 42 kB 00:00 (121/137): python3-libdnf-0.68.0-1.fc38.x86_64. 4.2 MB/s | 818 kB 00:00 (122/137): readline-8.2-2.fc38.x86_64.rpm 2.7 MB/s | 211 kB 00:00 (123/137): rpm-build-libs-4.18.0-8.fc38.x86_64. 2.4 MB/s | 94 kB 00:00 (124/137): rpm-4.18.0-8.fc38.x86_64.rpm 4.7 MB/s | 572 kB 00:00 (125/137): rpm-libs-4.18.0-8.fc38.x86_64.rpm 3.9 MB/s | 309 kB 00:00 (126/137): rpm-sign-libs-4.18.0-8.fc38.x86_64.r 701 kB/s | 27 kB 00:00 (127/137): sed-4.8-11.fc37.x86_64.rpm 3.9 MB/s | 306 kB 00:00 (128/137): rpm-sequoia-1.2.0-1.fc38.x86_64.rpm 4.3 MB/s | 829 kB 00:00 (129/137): setup-2.14.3-1.fc38.noarch.rpm 1.9 MB/s | 152 kB 00:00 (130/137): sqlite-libs-3.40.0-1.fc38.x86_64.rpm 4.3 MB/s | 661 kB 00:00 (131/137): shadow-utils-4.13-3.fc38.x86_64.rpm 4.6 MB/s | 1.3 MB 00:00 (132/137): systemd-libs-252.4-598.fc38.x86_64.r 5.1 MB/s | 632 kB 00:00 (133/137): xz-libs-5.2.9-1.fc38.x86_64.rpm 2.3 MB/s | 93 kB 00:00 (134/137): zchunk-libs-1.2.3-1.fc38.x86_64.rpm 672 kB/s | 51 kB 00:00 (135/137): tpm2-tss-4.0.0-0.1.rc2.fc38.x86_64.r 4.5 MB/s | 710 kB 00:00 (136/137): zlib-1.2.13-1.fc38.x86_64.rpm 2.4 MB/s | 94 kB 00:00 (137/137): python3-libs-3.11.1-1.fc38.x86_64.rp 4.0 MB/s | 9.6 MB 00:02 -------------------------------------------------------------------------------- Total 8.6 MB/s | 57 MB 00:06 fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0xEB10B464: Userid : "Fedora (38) " Fingerprint: 6A51 BBAB BA3D 5467 B617 1221 809A 8D7C EB10 B464 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary (0xEB10B464) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x5323552A: Userid : "Fedora (37) " Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-2.fc37.x86_64 1/1 Preparing : 1/1 Installing : libgcc-13.0.0-0.4.fc38.x86_64 1/137 Running scriptlet: libgcc-13.0.0-0.4.fc38.x86_64 1/137 Installing : crypto-policies-20221215-1.gita4c31a3.fc38.noarc 2/137 Running scriptlet: crypto-policies-20221215-1.gita4c31a3.fc38.noarc 2/137 Installing : tzdata-2022g-1.fc38.noarch 3/137 Installing : fedora-release-identity-basic-38-0.6.noarch 4/137 Installing : python-setuptools-wheel-65.5.1-1.fc38.noarch 5/137 Installing : publicsuffix-list-dafsa-20221208-1.fc38.noarch 6/137 Installing : pcre2-syntax-10.40-1.fc37.1.noarch 7/137 Installing : ncurses-base-6.3-5.20221126.fc38.noarch 8/137 Installing : libssh-config-0.10.4-2.fc38.noarch 9/137 Installing : libreport-filesystem-2.17.6-1.fc38.noarch 10/137 Installing : dnf-data-4.14.0-1.fc38.noarch 11/137 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : fedora-gpg-keys-38-0.3.noarch 12/137 Installing : fedora-release-38-0.6.noarch 13/137 Installing : fedora-release-common-38-0.6.noarch 14/137 Installing : fedora-repos-rawhide-38-0.3.noarch 15/137 Installing : fedora-repos-38-0.3.noarch 16/137 Installing : setup-2.14.3-1.fc38.noarch 17/137 Running scriptlet: setup-2.14.3-1.fc38.noarch 17/137 Installing : filesystem-3.18-2.fc37.x86_64 18/137 Installing : basesystem-11-14.fc37.noarch 19/137 Installing : bash-5.2.9-3.fc38.x86_64 20/137 Running scriptlet: bash-5.2.9-3.fc38.x86_64 20/137 Installing : ncurses-libs-6.3-5.20221126.fc38.x86_64 21/137 Running scriptlet: glibc-2.36.9000-19.fc38.x86_64 22/137 Installing : glibc-2.36.9000-19.fc38.x86_64 22/137 Running scriptlet: glibc-2.36.9000-19.fc38.x86_64 22/137 Installing : glibc-common-2.36.9000-19.fc38.x86_64 23/137 Installing : glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 24/137 Installing : zlib-1.2.13-1.fc38.x86_64 25/137 Installing : bzip2-libs-1.0.8-12.fc37.x86_64 26/137 Installing : xz-libs-5.2.9-1.fc38.x86_64 27/137 Installing : libzstd-1.5.2-3.fc37.x86_64 28/137 Installing : sqlite-libs-3.40.0-1.fc38.x86_64 29/137 Installing : gmp-1:6.2.1-3.fc37.x86_64 30/137 Installing : libcap-2.48-5.fc37.x86_64 31/137 Installing : libgpg-error-1.46-1.fc38.x86_64 32/137 Installing : popt-1.19-1.fc38.x86_64 33/137 Installing : libxml2-2.10.3-2.fc38.x86_64 34/137 Installing : libstdc++-13.0.0-0.4.fc38.x86_64 35/137 Installing : libuuid-2.38.1-3.fc38.x86_64 36/137 Installing : lua-libs-5.4.4-6.fc38.x86_64 37/137 Installing : elfutils-libelf-0.188-3.fc38.x86_64 38/137 Installing : file-libs-5.42-4.fc37.x86_64 39/137 Installing : libattr-2.5.1-5.fc37.x86_64 40/137 Installing : libacl-2.3.1-4.fc37.x86_64 41/137 Installing : libffi-3.4.4-1.fc38.x86_64 42/137 Installing : p11-kit-0.24.1-5.fc38.x86_64 43/137 Installing : libunistring-1.0-2.fc37.x86_64 44/137 Installing : libidn2-2.3.4-1.fc38.x86_64 45/137 Installing : libxcrypt-4.4.33-5.fc38.x86_64 46/137 Installing : pcre2-10.40-1.fc37.1.x86_64 47/137 Installing : readline-8.2-2.fc38.x86_64 48/137 Installing : libassuan-2.5.5-5.fc37.x86_64 49/137 Installing : nettle-3.8-2.fc37.x86_64 50/137 Installing : rpm-sequoia-1.2.0-1.fc38.x86_64 51/137 Installing : libcom_err-1.46.5-3.fc38.x86_64 52/137 Installing : libgomp-13.0.0-0.4.fc38.x86_64 53/137 Installing : expat-2.5.0-1.fc38.x86_64 54/137 Installing : gdbm-libs-1:1.23-2.fc37.x86_64 55/137 Installing : json-c-0.16-3.fc38.x86_64 56/137 Installing : keyutils-libs-1.6.1-5.fc37.x86_64 57/137 Installing : libsepol-3.5-0.rc1.1.fc38.x86_64 58/137 Installing : libselinux-3.5-0.rc1.1.fc38.x86_64 59/137 Installing : sed-4.8-11.fc37.x86_64 60/137 Installing : libsmartcols-2.38.1-3.fc38.x86_64 61/137 Installing : libtasn1-4.19.0-1.fc38.x86_64 62/137 Installing : lz4-libs-1.9.3-5.fc37.x86_64 63/137 Installing : systemd-libs-252.4-598.fc38.x86_64 64/137 Installing : dbus-libs-1:1.14.4-1.fc38.x86_64 65/137 Installing : findutils-1:4.9.0-2.fc37.x86_64 66/137 Installing : cyrus-sasl-lib-2.1.28-8.fc38.x86_64 67/137 Installing : libcomps-0.1.18-4.fc37.x86_64 68/137 Installing : libb2-0.98.1-7.fc37.x86_64 69/137 Installing : grep-3.8-1.fc38.x86_64 70/137 Installing : libpsl-0.21.2-1.fc38.x86_64 71/137 Installing : libblkid-2.38.1-3.fc38.x86_64 72/137 Installing : libmount-2.38.1-3.fc38.x86_64 73/137 Installing : mpdecimal-2.5.1-4.fc37.x86_64 74/137 Installing : libgcrypt-1.10.1-6.fc38.x86_64 75/137 Installing : libksba-1.6.3-1.fc38.x86_64 76/137 Installing : mpfr-4.1.1-2.fc38.x86_64 77/137 Installing : alternatives-1.21-1.fc38.x86_64 78/137 Installing : p11-kit-trust-0.24.1-5.fc38.x86_64 79/137 Running scriptlet: p11-kit-trust-0.24.1-5.fc38.x86_64 79/137 Installing : gnutls-3.7.8-9.fc38.x86_64 80/137 Installing : glib2-2.74.1-2.fc38.x86_64 81/137 Installing : libbrotli-1.0.9-9.fc37.x86_64 82/137 Installing : libcap-ng-0.8.3-4.fc38.x86_64 83/137 Installing : audit-libs-3.0.9-2.fc38.x86_64 84/137 Installing : rpm-libs-4.18.0-8.fc38.x86_64 85/137 Installing : libsemanage-3.5-0.rc1.1.fc38.x86_64 86/137 Installing : shadow-utils-2:4.13-3.fc38.x86_64 87/137 Installing : libnghttp2-1.51.0-1.fc38.x86_64 88/137 Installing : libsigsegv-2.14-3.fc37.x86_64 89/137 Installing : gawk-5.1.1-4.fc37.x86_64 90/137 Installing : libverto-0.3.2-4.fc37.x86_64 91/137 Installing : libyaml-0.2.5-8.fc37.x86_64 92/137 Installing : libmodulemd-2.14.0-4.fc37.x86_64 93/137 Installing : npth-1.6-10.fc38.x86_64 94/137 Installing : elfutils-default-yama-scope-0.188-3.fc38.noarch 95/137 Running scriptlet: elfutils-default-yama-scope-0.188-3.fc38.noarch 95/137 Installing : elfutils-libs-0.188-3.fc38.x86_64 96/137 Installing : rpm-build-libs-4.18.0-8.fc38.x86_64 97/137 Installing : coreutils-common-9.1-8.fc38.x86_64 98/137 Installing : openssl-libs-1:3.0.5-6.fc38.x86_64 99/137 Installing : coreutils-9.1-8.fc38.x86_64 100/137 Running scriptlet: ca-certificates-2022.2.54-5.fc37.noarch 101/137 Installing : ca-certificates-2022.2.54-5.fc37.noarch 101/137 Running scriptlet: ca-certificates-2022.2.54-5.fc37.noarch 101/137 Installing : krb5-libs-1.20.1-3.fc38.x86_64 102/137 Installing : libtirpc-1.3.3-0.fc37.x86_64 103/137 Installing : libfsverity-1.4-8.fc37.x86_64 104/137 Installing : zchunk-libs-1.2.3-1.fc38.x86_64 105/137 Installing : libsolv-0.7.22-3.fc37.x86_64 106/137 Installing : libnsl2-2.0.0-4.fc37.x86_64 107/137 Installing : libssh-0.10.4-2.fc38.x86_64 108/137 Installing : python-pip-wheel-22.3.1-1.fc38.noarch 109/137 Installing : python3-3.11.1-1.fc38.x86_64 110/137 Installing : python3-libs-3.11.1-1.fc38.x86_64 111/137 Installing : python3-dbus-1.3.2-1.fc38.x86_64 112/137 Installing : python3-libcomps-0.1.18-4.fc37.x86_64 113/137 Installing : python3-distro-1.8.0-1.fc38.noarch 114/137 Installing : python3-six-1.16.0-8.fc37.noarch 115/137 Installing : python3-dateutil-1:2.8.2-4.fc37.noarch 116/137 Installing : libarchive-3.6.1-3.fc38.x86_64 117/137 Installing : libevent-2.1.12-7.fc37.x86_64 118/137 Installing : openldap-2.6.3-1.fc38.x86_64 119/137 Installing : libcurl-7.87.0-1.fc38.x86_64 120/137 Installing : gnupg2-2.3.8-1.fc38.x86_64 121/137 Installing : gpgme-1.17.1-3.fc38.x86_64 122/137 Installing : librepo-1.15.1-1.fc38.x86_64 123/137 Installing : libdnf-0.68.0-1.fc38.x86_64 124/137 Installing : python3-libdnf-0.68.0-1.fc38.x86_64 125/137 Installing : python3-hawkey-0.68.0-1.fc38.x86_64 126/137 Installing : python3-gpg-1.17.1-3.fc38.x86_64 127/137 Installing : curl-7.87.0-1.fc38.x86_64 128/137 Running scriptlet: tpm2-tss-4.0.0-0.1.rc2.fc38.x86_64 129/137 useradd: Warning: missing or non-executable shell '/usr/sbin/nologin' Installing : tpm2-tss-4.0.0-0.1.rc2.fc38.x86_64 129/137 Installing : ima-evm-utils-1.4-6.fc37.x86_64 130/137 Installing : rpm-sign-libs-4.18.0-8.fc38.x86_64 131/137 Installing : python3-rpm-4.18.0-8.fc38.x86_64 132/137 Installing : python3-dnf-4.14.0-1.fc38.noarch 133/137 Installing : python3-dnf-plugins-core-4.3.1-1.fc38.noarch 134/137 Installing : dnf-plugins-core-4.3.1-1.fc38.noarch 135/137 Installing : dnf-4.14.0-1.fc38.noarch 136/137 Running scriptlet: dnf-4.14.0-1.fc38.noarch 136/137 Running scriptlet: rpm-4.18.0-8.fc38.x86_64 137/137 Installing : rpm-4.18.0-8.fc38.x86_64 137/137 Running scriptlet: filesystem-3.18-2.fc37.x86_64 137/137 Running scriptlet: ca-certificates-2022.2.54-5.fc37.noarch 137/137 Running scriptlet: rpm-4.18.0-8.fc38.x86_64 137/137 Verifying : libcom_err-1.46.5-3.fc38.x86_64 1/137 Verifying : tzdata-2022g-1.fc38.noarch 2/137 Verifying : libgcc-13.0.0-0.4.fc38.x86_64 3/137 Verifying : libgomp-13.0.0-0.4.fc38.x86_64 4/137 Verifying : libstdc++-13.0.0-0.4.fc38.x86_64 5/137 Verifying : alternatives-1.21-1.fc38.x86_64 6/137 Verifying : audit-libs-3.0.9-2.fc38.x86_64 7/137 Verifying : basesystem-11-14.fc37.noarch 8/137 Verifying : bash-5.2.9-3.fc38.x86_64 9/137 Verifying : bzip2-libs-1.0.8-12.fc37.x86_64 10/137 Verifying : ca-certificates-2022.2.54-5.fc37.noarch 11/137 Verifying : coreutils-9.1-8.fc38.x86_64 12/137 Verifying : coreutils-common-9.1-8.fc38.x86_64 13/137 Verifying : crypto-policies-20221215-1.gita4c31a3.fc38.noarc 14/137 Verifying : curl-7.87.0-1.fc38.x86_64 15/137 Verifying : cyrus-sasl-lib-2.1.28-8.fc38.x86_64 16/137 Verifying : dbus-libs-1:1.14.4-1.fc38.x86_64 17/137 Verifying : dnf-4.14.0-1.fc38.noarch 18/137 Verifying : dnf-data-4.14.0-1.fc38.noarch 19/137 Verifying : dnf-plugins-core-4.3.1-1.fc38.noarch 20/137 Verifying : elfutils-default-yama-scope-0.188-3.fc38.noarch 21/137 Verifying : elfutils-libelf-0.188-3.fc38.x86_64 22/137 Verifying : elfutils-libs-0.188-3.fc38.x86_64 23/137 Verifying : expat-2.5.0-1.fc38.x86_64 24/137 Verifying : fedora-gpg-keys-38-0.3.noarch 25/137 Verifying : fedora-release-38-0.6.noarch 26/137 Verifying : fedora-release-common-38-0.6.noarch 27/137 Verifying : fedora-release-identity-basic-38-0.6.noarch 28/137 Verifying : fedora-repos-38-0.3.noarch 29/137 Verifying : fedora-repos-rawhide-38-0.3.noarch 30/137 Verifying : file-libs-5.42-4.fc37.x86_64 31/137 Verifying : filesystem-3.18-2.fc37.x86_64 32/137 Verifying : findutils-1:4.9.0-2.fc37.x86_64 33/137 Verifying : gawk-5.1.1-4.fc37.x86_64 34/137 Verifying : gdbm-libs-1:1.23-2.fc37.x86_64 35/137 Verifying : glib2-2.74.1-2.fc38.x86_64 36/137 Verifying : glibc-2.36.9000-19.fc38.x86_64 37/137 Verifying : glibc-common-2.36.9000-19.fc38.x86_64 38/137 Verifying : glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 39/137 Verifying : gmp-1:6.2.1-3.fc37.x86_64 40/137 Verifying : gnupg2-2.3.8-1.fc38.x86_64 41/137 Verifying : gnutls-3.7.8-9.fc38.x86_64 42/137 Verifying : gpgme-1.17.1-3.fc38.x86_64 43/137 Verifying : grep-3.8-1.fc38.x86_64 44/137 Verifying : ima-evm-utils-1.4-6.fc37.x86_64 45/137 Verifying : json-c-0.16-3.fc38.x86_64 46/137 Verifying : keyutils-libs-1.6.1-5.fc37.x86_64 47/137 Verifying : krb5-libs-1.20.1-3.fc38.x86_64 48/137 Verifying : libacl-2.3.1-4.fc37.x86_64 49/137 Verifying : libarchive-3.6.1-3.fc38.x86_64 50/137 Verifying : libassuan-2.5.5-5.fc37.x86_64 51/137 Verifying : libattr-2.5.1-5.fc37.x86_64 52/137 Verifying : libb2-0.98.1-7.fc37.x86_64 53/137 Verifying : libblkid-2.38.1-3.fc38.x86_64 54/137 Verifying : libbrotli-1.0.9-9.fc37.x86_64 55/137 Verifying : libcap-2.48-5.fc37.x86_64 56/137 Verifying : libcap-ng-0.8.3-4.fc38.x86_64 57/137 Verifying : libcomps-0.1.18-4.fc37.x86_64 58/137 Verifying : libcurl-7.87.0-1.fc38.x86_64 59/137 Verifying : libdnf-0.68.0-1.fc38.x86_64 60/137 Verifying : libevent-2.1.12-7.fc37.x86_64 61/137 Verifying : libffi-3.4.4-1.fc38.x86_64 62/137 Verifying : libfsverity-1.4-8.fc37.x86_64 63/137 Verifying : libgcrypt-1.10.1-6.fc38.x86_64 64/137 Verifying : libgpg-error-1.46-1.fc38.x86_64 65/137 Verifying : libidn2-2.3.4-1.fc38.x86_64 66/137 Verifying : libksba-1.6.3-1.fc38.x86_64 67/137 Verifying : libmodulemd-2.14.0-4.fc37.x86_64 68/137 Verifying : libmount-2.38.1-3.fc38.x86_64 69/137 Verifying : libnghttp2-1.51.0-1.fc38.x86_64 70/137 Verifying : libnsl2-2.0.0-4.fc37.x86_64 71/137 Verifying : libpsl-0.21.2-1.fc38.x86_64 72/137 Verifying : librepo-1.15.1-1.fc38.x86_64 73/137 Verifying : libreport-filesystem-2.17.6-1.fc38.noarch 74/137 Verifying : libselinux-3.5-0.rc1.1.fc38.x86_64 75/137 Verifying : libsemanage-3.5-0.rc1.1.fc38.x86_64 76/137 Verifying : libsepol-3.5-0.rc1.1.fc38.x86_64 77/137 Verifying : libsigsegv-2.14-3.fc37.x86_64 78/137 Verifying : libsmartcols-2.38.1-3.fc38.x86_64 79/137 Verifying : libsolv-0.7.22-3.fc37.x86_64 80/137 Verifying : libssh-0.10.4-2.fc38.x86_64 81/137 Verifying : libssh-config-0.10.4-2.fc38.noarch 82/137 Verifying : libtasn1-4.19.0-1.fc38.x86_64 83/137 Verifying : libtirpc-1.3.3-0.fc37.x86_64 84/137 Verifying : libunistring-1.0-2.fc37.x86_64 85/137 Verifying : libuuid-2.38.1-3.fc38.x86_64 86/137 Verifying : libverto-0.3.2-4.fc37.x86_64 87/137 Verifying : libxcrypt-4.4.33-5.fc38.x86_64 88/137 Verifying : libxml2-2.10.3-2.fc38.x86_64 89/137 Verifying : libyaml-0.2.5-8.fc37.x86_64 90/137 Verifying : libzstd-1.5.2-3.fc37.x86_64 91/137 Verifying : lua-libs-5.4.4-6.fc38.x86_64 92/137 Verifying : lz4-libs-1.9.3-5.fc37.x86_64 93/137 Verifying : mpdecimal-2.5.1-4.fc37.x86_64 94/137 Verifying : mpfr-4.1.1-2.fc38.x86_64 95/137 Verifying : ncurses-base-6.3-5.20221126.fc38.noarch 96/137 Verifying : ncurses-libs-6.3-5.20221126.fc38.x86_64 97/137 Verifying : nettle-3.8-2.fc37.x86_64 98/137 Verifying : npth-1.6-10.fc38.x86_64 99/137 Verifying : openldap-2.6.3-1.fc38.x86_64 100/137 Verifying : openssl-libs-1:3.0.5-6.fc38.x86_64 101/137 Verifying : p11-kit-0.24.1-5.fc38.x86_64 102/137 Verifying : p11-kit-trust-0.24.1-5.fc38.x86_64 103/137 Verifying : pcre2-10.40-1.fc37.1.x86_64 104/137 Verifying : pcre2-syntax-10.40-1.fc37.1.noarch 105/137 Verifying : popt-1.19-1.fc38.x86_64 106/137 Verifying : publicsuffix-list-dafsa-20221208-1.fc38.noarch 107/137 Verifying : python-pip-wheel-22.3.1-1.fc38.noarch 108/137 Verifying : python-setuptools-wheel-65.5.1-1.fc38.noarch 109/137 Verifying : python3-3.11.1-1.fc38.x86_64 110/137 Verifying : python3-dateutil-1:2.8.2-4.fc37.noarch 111/137 Verifying : python3-dbus-1.3.2-1.fc38.x86_64 112/137 Verifying : python3-distro-1.8.0-1.fc38.noarch 113/137 Verifying : python3-dnf-4.14.0-1.fc38.noarch 114/137 Verifying : python3-dnf-plugins-core-4.3.1-1.fc38.noarch 115/137 Verifying : python3-gpg-1.17.1-3.fc38.x86_64 116/137 Verifying : python3-hawkey-0.68.0-1.fc38.x86_64 117/137 Verifying : python3-libcomps-0.1.18-4.fc37.x86_64 118/137 Verifying : python3-libdnf-0.68.0-1.fc38.x86_64 119/137 Verifying : python3-libs-3.11.1-1.fc38.x86_64 120/137 Verifying : python3-rpm-4.18.0-8.fc38.x86_64 121/137 Verifying : python3-six-1.16.0-8.fc37.noarch 122/137 Verifying : readline-8.2-2.fc38.x86_64 123/137 Verifying : rpm-4.18.0-8.fc38.x86_64 124/137 Verifying : rpm-build-libs-4.18.0-8.fc38.x86_64 125/137 Verifying : rpm-libs-4.18.0-8.fc38.x86_64 126/137 Verifying : rpm-sequoia-1.2.0-1.fc38.x86_64 127/137 Verifying : rpm-sign-libs-4.18.0-8.fc38.x86_64 128/137 Verifying : sed-4.8-11.fc37.x86_64 129/137 Verifying : setup-2.14.3-1.fc38.noarch 130/137 Verifying : shadow-utils-2:4.13-3.fc38.x86_64 131/137 Verifying : sqlite-libs-3.40.0-1.fc38.x86_64 132/137 Verifying : systemd-libs-252.4-598.fc38.x86_64 133/137 Verifying : tpm2-tss-4.0.0-0.1.rc2.fc38.x86_64 134/137 Verifying : xz-libs-5.2.9-1.fc38.x86_64 135/137 Verifying : zchunk-libs-1.2.3-1.fc38.x86_64 136/137 Verifying : zlib-1.2.13-1.fc38.x86_64 137/137 Installed products updated. Installed: alternatives-1.21-1.fc38.x86_64 audit-libs-3.0.9-2.fc38.x86_64 basesystem-11-14.fc37.noarch bash-5.2.9-3.fc38.x86_64 bzip2-libs-1.0.8-12.fc37.x86_64 ca-certificates-2022.2.54-5.fc37.noarch coreutils-9.1-8.fc38.x86_64 coreutils-common-9.1-8.fc38.x86_64 crypto-policies-20221215-1.gita4c31a3.fc38.noarch curl-7.87.0-1.fc38.x86_64 cyrus-sasl-lib-2.1.28-8.fc38.x86_64 dbus-libs-1:1.14.4-1.fc38.x86_64 dnf-4.14.0-1.fc38.noarch dnf-data-4.14.0-1.fc38.noarch dnf-plugins-core-4.3.1-1.fc38.noarch elfutils-default-yama-scope-0.188-3.fc38.noarch elfutils-libelf-0.188-3.fc38.x86_64 elfutils-libs-0.188-3.fc38.x86_64 expat-2.5.0-1.fc38.x86_64 fedora-gpg-keys-38-0.3.noarch fedora-release-38-0.6.noarch fedora-release-common-38-0.6.noarch fedora-release-identity-basic-38-0.6.noarch fedora-repos-38-0.3.noarch fedora-repos-rawhide-38-0.3.noarch file-libs-5.42-4.fc37.x86_64 filesystem-3.18-2.fc37.x86_64 findutils-1:4.9.0-2.fc37.x86_64 gawk-5.1.1-4.fc37.x86_64 gdbm-libs-1:1.23-2.fc37.x86_64 glib2-2.74.1-2.fc38.x86_64 glibc-2.36.9000-19.fc38.x86_64 glibc-common-2.36.9000-19.fc38.x86_64 glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 gmp-1:6.2.1-3.fc37.x86_64 gnupg2-2.3.8-1.fc38.x86_64 gnutls-3.7.8-9.fc38.x86_64 gpgme-1.17.1-3.fc38.x86_64 grep-3.8-1.fc38.x86_64 ima-evm-utils-1.4-6.fc37.x86_64 json-c-0.16-3.fc38.x86_64 keyutils-libs-1.6.1-5.fc37.x86_64 krb5-libs-1.20.1-3.fc38.x86_64 libacl-2.3.1-4.fc37.x86_64 libarchive-3.6.1-3.fc38.x86_64 libassuan-2.5.5-5.fc37.x86_64 libattr-2.5.1-5.fc37.x86_64 libb2-0.98.1-7.fc37.x86_64 libblkid-2.38.1-3.fc38.x86_64 libbrotli-1.0.9-9.fc37.x86_64 libcap-2.48-5.fc37.x86_64 libcap-ng-0.8.3-4.fc38.x86_64 libcom_err-1.46.5-3.fc38.x86_64 libcomps-0.1.18-4.fc37.x86_64 libcurl-7.87.0-1.fc38.x86_64 libdnf-0.68.0-1.fc38.x86_64 libevent-2.1.12-7.fc37.x86_64 libffi-3.4.4-1.fc38.x86_64 libfsverity-1.4-8.fc37.x86_64 libgcc-13.0.0-0.4.fc38.x86_64 libgcrypt-1.10.1-6.fc38.x86_64 libgomp-13.0.0-0.4.fc38.x86_64 libgpg-error-1.46-1.fc38.x86_64 libidn2-2.3.4-1.fc38.x86_64 libksba-1.6.3-1.fc38.x86_64 libmodulemd-2.14.0-4.fc37.x86_64 libmount-2.38.1-3.fc38.x86_64 libnghttp2-1.51.0-1.fc38.x86_64 libnsl2-2.0.0-4.fc37.x86_64 libpsl-0.21.2-1.fc38.x86_64 librepo-1.15.1-1.fc38.x86_64 libreport-filesystem-2.17.6-1.fc38.noarch libselinux-3.5-0.rc1.1.fc38.x86_64 libsemanage-3.5-0.rc1.1.fc38.x86_64 libsepol-3.5-0.rc1.1.fc38.x86_64 libsigsegv-2.14-3.fc37.x86_64 libsmartcols-2.38.1-3.fc38.x86_64 libsolv-0.7.22-3.fc37.x86_64 libssh-0.10.4-2.fc38.x86_64 libssh-config-0.10.4-2.fc38.noarch libstdc++-13.0.0-0.4.fc38.x86_64 libtasn1-4.19.0-1.fc38.x86_64 libtirpc-1.3.3-0.fc37.x86_64 libunistring-1.0-2.fc37.x86_64 libuuid-2.38.1-3.fc38.x86_64 libverto-0.3.2-4.fc37.x86_64 libxcrypt-4.4.33-5.fc38.x86_64 libxml2-2.10.3-2.fc38.x86_64 libyaml-0.2.5-8.fc37.x86_64 libzstd-1.5.2-3.fc37.x86_64 lua-libs-5.4.4-6.fc38.x86_64 lz4-libs-1.9.3-5.fc37.x86_64 mpdecimal-2.5.1-4.fc37.x86_64 mpfr-4.1.1-2.fc38.x86_64 ncurses-base-6.3-5.20221126.fc38.noarch ncurses-libs-6.3-5.20221126.fc38.x86_64 nettle-3.8-2.fc37.x86_64 npth-1.6-10.fc38.x86_64 openldap-2.6.3-1.fc38.x86_64 openssl-libs-1:3.0.5-6.fc38.x86_64 p11-kit-0.24.1-5.fc38.x86_64 p11-kit-trust-0.24.1-5.fc38.x86_64 pcre2-10.40-1.fc37.1.x86_64 pcre2-syntax-10.40-1.fc37.1.noarch popt-1.19-1.fc38.x86_64 publicsuffix-list-dafsa-20221208-1.fc38.noarch python-pip-wheel-22.3.1-1.fc38.noarch python-setuptools-wheel-65.5.1-1.fc38.noarch python3-3.11.1-1.fc38.x86_64 python3-dateutil-1:2.8.2-4.fc37.noarch python3-dbus-1.3.2-1.fc38.x86_64 python3-distro-1.8.0-1.fc38.noarch python3-dnf-4.14.0-1.fc38.noarch python3-dnf-plugins-core-4.3.1-1.fc38.noarch python3-gpg-1.17.1-3.fc38.x86_64 python3-hawkey-0.68.0-1.fc38.x86_64 python3-libcomps-0.1.18-4.fc37.x86_64 python3-libdnf-0.68.0-1.fc38.x86_64 python3-libs-3.11.1-1.fc38.x86_64 python3-rpm-4.18.0-8.fc38.x86_64 python3-six-1.16.0-8.fc37.noarch readline-8.2-2.fc38.x86_64 rpm-4.18.0-8.fc38.x86_64 rpm-build-libs-4.18.0-8.fc38.x86_64 rpm-libs-4.18.0-8.fc38.x86_64 rpm-sequoia-1.2.0-1.fc38.x86_64 rpm-sign-libs-4.18.0-8.fc38.x86_64 sed-4.8-11.fc37.x86_64 setup-2.14.3-1.fc38.noarch shadow-utils-2:4.13-3.fc38.x86_64 sqlite-libs-3.40.0-1.fc38.x86_64 systemd-libs-252.4-598.fc38.x86_64 tpm2-tss-4.0.0-0.1.rc2.fc38.x86_64 tzdata-2022g-1.fc38.noarch xz-libs-5.2.9-1.fc38.x86_64 zchunk-libs-1.2.3-1.fc38.x86_64 zlib-1.2.13-1.fc38.x86_64 Complete! Finish(bootstrap): dnf install Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1672403225.479053/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 3.5 INFO: Mock Version: 3.5 Start: dnf install No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 12 kB/s | 3.0 kB 00:00 Copr repository 12 MB/s | 9.1 MB 00:00 Additional repo https_jakub_fedorapeople_org_fe 11 kB/s | 3.0 kB 00:00 fedora 94 kB/s | 23 kB 00:00 Dependencies resolved. ========================================================================================================================= Package Arch Version Repository Size ========================================================================================================================= Installing group/module packages: bash x86_64 5.2.9-3.fc38 fedora 1.8 M bzip2 x86_64 1.0.8-12.fc37 fedora 52 k coreutils x86_64 9.1-8.fc38 fedora 1.1 M cpio x86_64 2.13-13.fc38 fedora 276 k diffutils x86_64 3.8-3.fc37 fedora 378 k fedora-release-common noarch 38-0.6 fedora 21 k findutils x86_64 1:4.9.0-2.fc37 fedora 492 k gawk x86_64 5.1.1-4.fc37 fedora 1.0 M glibc-minimal-langpack x86_64 2.36.9000-19.fc38 fedora 30 k grep x86_64 3.8-1.fc38 fedora 292 k gzip x86_64 1.12-2.fc37 fedora 166 k info x86_64 7.0.1-1.fc38 fedora 181 k patch x86_64 2.7.6-17.fc37 fedora 124 k redhat-rpm-config noarch 236-1.fc38 fedora 81 k rpm-build x86_64 4.18.0-8.fc38 fedora 77 k sed x86_64 4.8-11.fc37 fedora 306 k shadow-utils x86_64 2:4.13-3.fc38 fedora 1.3 M tar x86_64 2:1.34-5.fc38 fedora 885 k unzip x86_64 6.0-58.fc38 fedora 182 k util-linux x86_64 2.38.1-3.fc38 fedora 2.3 M which x86_64 2.21-36.fc38 fedora 41 k xz x86_64 5.2.9-1.fc38 fedora 306 k Installing dependencies: alternatives x86_64 1.21-1.fc38 fedora 38 k ansible-srpm-macros noarch 1-8.1.fc38 fedora 8.6 k audit-libs x86_64 3.0.9-2.fc38 fedora 116 k authselect x86_64 1.4.2-1.fc38 fedora 144 k authselect-libs x86_64 1.4.2-1.fc38 fedora 250 k basesystem noarch 11-14.fc37 fedora 7.0 k binutils x86_64 2.39-6.fc38 fedora 5.5 M binutils-gold x86_64 2.39-6.fc38 fedora 777 k bzip2-libs x86_64 1.0.8-12.fc37 fedora 41 k ca-certificates noarch 2022.2.54-5.fc37 fedora 829 k coreutils-common x86_64 9.1-8.fc38 fedora 2.0 M cracklib x86_64 2.9.7-30.fc38 fedora 92 k crypto-policies noarch 20221215-1.gita4c31a3.fc38 fedora 90 k curl x86_64 7.87.0-1.fc38 fedora 344 k cyrus-sasl-lib x86_64 2.1.28-8.fc38 fedora 792 k debugedit x86_64 5.0-5.fc37 fedora 77 k dwz x86_64 0.15-1.fc38 fedora 136 k ed x86_64 1.18-2.fc37 fedora 78 k efi-srpm-macros noarch 5-6.fc37 fedora 22 k elfutils x86_64 0.188-3.fc38 fedora 529 k elfutils-debuginfod-client x86_64 0.188-3.fc38 fedora 40 k elfutils-default-yama-scope noarch 0.188-3.fc38 fedora 15 k elfutils-libelf x86_64 0.188-3.fc38 fedora 196 k elfutils-libs x86_64 0.188-3.fc38 fedora 257 k fedora-gpg-keys noarch 38-0.3 fedora 125 k fedora-release noarch 38-0.6 fedora 11 k fedora-release-identity-basic noarch 38-0.6 fedora 11 k fedora-repos noarch 38-0.3 fedora 10 k fedora-repos-rawhide noarch 38-0.3 fedora 9.7 k file x86_64 5.42-4.fc37 fedora 49 k file-libs x86_64 5.42-4.fc37 fedora 676 k filesystem x86_64 3.18-2.fc37 fedora 1.1 M fonts-srpm-macros noarch 1:2.0.5-10.fc38 fedora 26 k fpc-srpm-macros noarch 1.3-6.fc37 fedora 7.7 k gdb-minimal x86_64 12.1-10.fc38 fedora 3.7 M gdbm-libs x86_64 1:1.23-2.fc37 fedora 56 k ghc-srpm-macros noarch 1.5.0-7.fc37 fedora 7.8 k glibc x86_64 2.36.9000-19.fc38 fedora 2.1 M glibc-common x86_64 2.36.9000-19.fc38 fedora 309 k glibc-gconv-extra x86_64 2.36.9000-19.fc38 fedora 1.6 M gmp x86_64 1:6.2.1-3.fc37 fedora 315 k gnat-srpm-macros noarch 4-16.fc37 fedora 8.3 k go-srpm-macros noarch 3.2.0-1.fc38 fedora 27 k jansson x86_64 2.13.1-5.fc37 fedora 44 k kernel-srpm-macros noarch 1.0-15.fc37 fedora 9.4 k keyutils-libs x86_64 1.6.1-5.fc37 fedora 31 k krb5-libs x86_64 1.20.1-3.fc38 fedora 723 k libacl x86_64 2.3.1-4.fc37 fedora 23 k libarchive x86_64 3.6.1-3.fc38 fedora 397 k libattr x86_64 2.5.1-5.fc37 fedora 18 k libblkid x86_64 2.38.1-3.fc38 fedora 106 k libbrotli x86_64 1.0.9-9.fc37 fedora 315 k libcap x86_64 2.48-5.fc37 fedora 67 k libcap-ng x86_64 0.8.3-4.fc38 fedora 32 k libcom_err x86_64 1.46.5-3.fc38 copr_base 26 k libcurl x86_64 7.87.0-1.fc38 fedora 301 k libdb x86_64 5.3.28-54.fc38 fedora 764 k libeconf x86_64 0.4.0-4.fc37 fedora 27 k libevent x86_64 2.1.12-7.fc37 fedora 261 k libfdisk x86_64 2.38.1-3.fc38 fedora 160 k libffi x86_64 3.4.4-1.fc38 fedora 38 k libgcc x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 93 k libgomp x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 300 k libidn2 x86_64 2.3.4-1.fc38 fedora 160 k libmount x86_64 2.38.1-3.fc38 fedora 135 k libnghttp2 x86_64 1.51.0-1.fc38 fedora 75 k libnsl2 x86_64 2.0.0-4.fc37 fedora 30 k libpkgconf x86_64 1.8.0-3.fc37 fedora 36 k libpsl x86_64 0.21.2-1.fc38 fedora 65 k libpwquality x86_64 1.4.5-1.fc38 fedora 119 k libselinux x86_64 3.5-0.rc1.1.fc38 fedora 86 k libsemanage x86_64 3.5-0.rc1.1.fc38 fedora 119 k libsepol x86_64 3.5-0.rc1.1.fc38 fedora 322 k libsigsegv x86_64 2.14-3.fc37 fedora 27 k libsmartcols x86_64 2.38.1-3.fc38 fedora 64 k libssh x86_64 0.10.4-2.fc38 fedora 209 k libssh-config noarch 0.10.4-2.fc38 fedora 8.8 k libstdc++ x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 799 k libtasn1 x86_64 4.19.0-1.fc38 fedora 75 k libtirpc x86_64 1.3.3-0.fc37 fedora 93 k libunistring x86_64 1.0-2.fc37 fedora 549 k libutempter x86_64 1.2.1-7.fc37 fedora 26 k libuuid x86_64 2.38.1-3.fc38 fedora 27 k libverto x86_64 0.3.2-4.fc37 fedora 21 k libxcrypt x86_64 4.4.33-5.fc38 fedora 120 k libxml2 x86_64 2.10.3-2.fc38 fedora 705 k libzstd x86_64 1.5.2-3.fc37 fedora 294 k lua-libs x86_64 5.4.4-6.fc38 fedora 131 k lua-srpm-macros noarch 1-7.fc37 fedora 8.8 k lz4-libs x86_64 1.9.3-5.fc37 fedora 70 k mpfr x86_64 4.1.1-2.fc38 fedora 598 k ncurses-base noarch 6.3-5.20221126.fc38 fedora 86 k ncurses-libs x86_64 6.3-5.20221126.fc38 fedora 329 k nettle x86_64 3.8-2.fc37 fedora 414 k nim-srpm-macros noarch 3-7.fc37 fedora 8.4 k ocaml-srpm-macros noarch 7-2.fc37 fedora 13 k openblas-srpm-macros noarch 2-12.fc37 fedora 7.5 k openldap x86_64 2.6.3-1.fc38 fedora 254 k openssl-libs x86_64 1:3.0.5-6.fc38 fedora 2.1 M p11-kit x86_64 0.24.1-5.fc38 fedora 376 k p11-kit-trust x86_64 0.24.1-5.fc38 fedora 137 k package-notes-srpm-macros noarch 0.5-6.fc38 fedora 11 k pam x86_64 1.5.2-15.fc38 fedora 559 k pam-libs x86_64 1.5.2-15.fc38 fedora 57 k pcre2 x86_64 10.40-1.fc37.1 fedora 236 k pcre2-syntax noarch 10.40-1.fc37.1 fedora 142 k perl-srpm-macros noarch 1-47.fc38 fedora 8.2 k pkgconf x86_64 1.8.0-3.fc37 fedora 41 k pkgconf-m4 noarch 1.8.0-3.fc37 fedora 14 k pkgconf-pkg-config x86_64 1.8.0-3.fc37 fedora 10 k popt x86_64 1.19-1.fc38 fedora 66 k publicsuffix-list-dafsa noarch 20221208-1.fc38 fedora 59 k python-srpm-macros noarch 3.11-6.fc38 fedora 25 k qt5-srpm-macros noarch 5.15.7-1.fc38 fedora 7.9 k readline x86_64 8.2-2.fc38 fedora 211 k rpm x86_64 4.18.0-8.fc38 fedora 572 k rpm-build-libs x86_64 4.18.0-8.fc38 fedora 94 k rpm-libs x86_64 4.18.0-8.fc38 fedora 309 k rpm-sequoia x86_64 1.2.0-1.fc38 fedora 829 k rpmautospec-rpm-macros noarch 0.3.1-1.fc38 fedora 8.8 k rust-srpm-macros noarch 23-1.fc38 fedora 9.4 k setup noarch 2.14.3-1.fc38 fedora 152 k sqlite-libs x86_64 3.40.0-1.fc38 fedora 661 k systemd-libs x86_64 252.4-598.fc38 fedora 632 k tzdata noarch 2022g-1.fc38 copr_base 432 k util-linux-core x86_64 2.38.1-3.fc38 fedora 470 k xxhash-libs x86_64 0.8.1-3.fc37 fedora 41 k xz-libs x86_64 5.2.9-1.fc38 fedora 93 k zip x86_64 3.0-35.fc38 fedora 259 k zlib x86_64 1.2.13-1.fc38 fedora 94 k zstd x86_64 1.5.2-3.fc37 fedora 447 k Installing Groups: Buildsystem building group Transaction Summary ========================================================================================================================= Install 153 Packages Total size: 52 M Installed size: 181 M Downloading Packages: [SKIPPED] libcom_err-1.46.5-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] tzdata-2022g-1.fc38.noarch.rpm: Already downloaded [SKIPPED] libgcc-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] libgomp-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] libstdc++-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] alternatives-1.21-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] ansible-srpm-macros-1-8.1.fc38.noarch.rpm: Already downloaded [SKIPPED] audit-libs-3.0.9-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] authselect-1.4.2-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] authselect-libs-1.4.2-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] basesystem-11-14.fc37.noarch.rpm: Already downloaded [SKIPPED] bash-5.2.9-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] binutils-2.39-6.fc38.x86_64.rpm: Already downloaded [SKIPPED] binutils-gold-2.39-6.fc38.x86_64.rpm: Already downloaded [SKIPPED] bzip2-1.0.8-12.fc37.x86_64.rpm: Already downloaded [SKIPPED] bzip2-libs-1.0.8-12.fc37.x86_64.rpm: Already downloaded [SKIPPED] ca-certificates-2022.2.54-5.fc37.noarch.rpm: Already downloaded [SKIPPED] coreutils-9.1-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] coreutils-common-9.1-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] cpio-2.13-13.fc38.x86_64.rpm: Already downloaded [SKIPPED] cracklib-2.9.7-30.fc38.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-20221215-1.gita4c31a3.fc38.noarch.rpm: Already downloaded [SKIPPED] curl-7.87.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] cyrus-sasl-lib-2.1.28-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] debugedit-5.0-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] diffutils-3.8-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] dwz-0.15-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] ed-1.18-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] efi-srpm-macros-5-6.fc37.noarch.rpm: Already downloaded [SKIPPED] elfutils-0.188-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] elfutils-debuginfod-client-0.188-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] elfutils-default-yama-scope-0.188-3.fc38.noarch.rpm: Already downloaded [SKIPPED] elfutils-libelf-0.188-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] elfutils-libs-0.188-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] fedora-gpg-keys-38-0.3.noarch.rpm: Already downloaded [SKIPPED] fedora-release-38-0.6.noarch.rpm: Already downloaded [SKIPPED] fedora-release-common-38-0.6.noarch.rpm: Already downloaded [SKIPPED] fedora-release-identity-basic-38-0.6.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-38-0.3.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-rawhide-38-0.3.noarch.rpm: Already downloaded [SKIPPED] file-5.42-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] file-libs-5.42-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] filesystem-3.18-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] findutils-4.9.0-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] fonts-srpm-macros-2.0.5-10.fc38.noarch.rpm: Already downloaded [SKIPPED] fpc-srpm-macros-1.3-6.fc37.noarch.rpm: Already downloaded [SKIPPED] gawk-5.1.1-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] gdb-minimal-12.1-10.fc38.x86_64.rpm: Already downloaded [SKIPPED] gdbm-libs-1.23-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] ghc-srpm-macros-1.5.0-7.fc37.noarch.rpm: Already downloaded [SKIPPED] glibc-2.36.9000-19.fc38.x86_64.rpm: Already downloaded [SKIPPED] glibc-common-2.36.9000-19.fc38.x86_64.rpm: Already downloaded [SKIPPED] glibc-gconv-extra-2.36.9000-19.fc38.x86_64.rpm: Already downloaded [SKIPPED] glibc-minimal-langpack-2.36.9000-19.fc38.x86_64.rpm: Already downloaded [SKIPPED] gmp-6.2.1-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] gnat-srpm-macros-4-16.fc37.noarch.rpm: Already downloaded [SKIPPED] go-srpm-macros-3.2.0-1.fc38.noarch.rpm: Already downloaded [SKIPPED] grep-3.8-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] gzip-1.12-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] info-7.0.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] jansson-2.13.1-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] kernel-srpm-macros-1.0-15.fc37.noarch.rpm: Already downloaded [SKIPPED] keyutils-libs-1.6.1-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] krb5-libs-1.20.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libacl-2.3.1-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libarchive-3.6.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libattr-2.5.1-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] libblkid-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libbrotli-1.0.9-9.fc37.x86_64.rpm: Already downloaded [SKIPPED] libcap-2.48-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] libcap-ng-0.8.3-4.fc38.x86_64.rpm: Already downloaded [SKIPPED] libcurl-7.87.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libdb-5.3.28-54.fc38.x86_64.rpm: Already downloaded [SKIPPED] libeconf-0.4.0-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libevent-2.1.12-7.fc37.x86_64.rpm: Already downloaded [SKIPPED] libfdisk-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libffi-3.4.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libidn2-2.3.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libmount-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libnghttp2-1.51.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libnsl2-2.0.0-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libpkgconf-1.8.0-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] libpsl-0.21.2-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libpwquality-1.4.5-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libselinux-3.5-0.rc1.1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libsemanage-3.5-0.rc1.1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libsepol-3.5-0.rc1.1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libsigsegv-2.14-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] libsmartcols-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libssh-0.10.4-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] libssh-config-0.10.4-2.fc38.noarch.rpm: Already downloaded [SKIPPED] libtasn1-4.19.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libtirpc-1.3.3-0.fc37.x86_64.rpm: Already downloaded [SKIPPED] libunistring-1.0-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] libutempter-1.2.1-7.fc37.x86_64.rpm: Already downloaded [SKIPPED] libuuid-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] libverto-0.3.2-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-4.4.33-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] libxml2-2.10.3-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] libzstd-1.5.2-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] lua-libs-5.4.4-6.fc38.x86_64.rpm: Already downloaded [SKIPPED] lua-srpm-macros-1-7.fc37.noarch.rpm: Already downloaded [SKIPPED] lz4-libs-1.9.3-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] mpfr-4.1.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] ncurses-base-6.3-5.20221126.fc38.noarch.rpm: Already downloaded [SKIPPED] ncurses-libs-6.3-5.20221126.fc38.x86_64.rpm: Already downloaded [SKIPPED] nettle-3.8-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] nim-srpm-macros-3-7.fc37.noarch.rpm: Already downloaded [SKIPPED] ocaml-srpm-macros-7-2.fc37.noarch.rpm: Already downloaded [SKIPPED] openblas-srpm-macros-2-12.fc37.noarch.rpm: Already downloaded [SKIPPED] openldap-2.6.3-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] openssl-libs-3.0.5-6.fc38.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-0.24.1-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-trust-0.24.1-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] package-notes-srpm-macros-0.5-6.fc38.noarch.rpm: Already downloaded [SKIPPED] pam-1.5.2-15.fc38.x86_64.rpm: Already downloaded [SKIPPED] pam-libs-1.5.2-15.fc38.x86_64.rpm: Already downloaded [SKIPPED] patch-2.7.6-17.fc37.x86_64.rpm: Already downloaded [SKIPPED] pcre2-10.40-1.fc37.1.x86_64.rpm: Already downloaded [SKIPPED] pcre2-syntax-10.40-1.fc37.1.noarch.rpm: Already downloaded [SKIPPED] perl-srpm-macros-1-47.fc38.noarch.rpm: Already downloaded [SKIPPED] pkgconf-1.8.0-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] pkgconf-m4-1.8.0-3.fc37.noarch.rpm: Already downloaded [SKIPPED] pkgconf-pkg-config-1.8.0-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] popt-1.19-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] publicsuffix-list-dafsa-20221208-1.fc38.noarch.rpm: Already downloaded [SKIPPED] python-srpm-macros-3.11-6.fc38.noarch.rpm: Already downloaded [SKIPPED] qt5-srpm-macros-5.15.7-1.fc38.noarch.rpm: Already downloaded [SKIPPED] readline-8.2-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] redhat-rpm-config-236-1.fc38.noarch.rpm: Already downloaded [SKIPPED] rpm-4.18.0-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-4.18.0-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-libs-4.18.0-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] rpm-libs-4.18.0-8.fc38.x86_64.rpm: Already downloaded [SKIPPED] rpm-sequoia-1.2.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] rpmautospec-rpm-macros-0.3.1-1.fc38.noarch.rpm: Already downloaded [SKIPPED] rust-srpm-macros-23-1.fc38.noarch.rpm: Already downloaded [SKIPPED] sed-4.8-11.fc37.x86_64.rpm: Already downloaded [SKIPPED] setup-2.14.3-1.fc38.noarch.rpm: Already downloaded [SKIPPED] shadow-utils-4.13-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] sqlite-libs-3.40.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] systemd-libs-252.4-598.fc38.x86_64.rpm: Already downloaded [SKIPPED] tar-1.34-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] unzip-6.0-58.fc38.x86_64.rpm: Already downloaded [SKIPPED] util-linux-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] util-linux-core-2.38.1-3.fc38.x86_64.rpm: Already downloaded [SKIPPED] which-2.21-36.fc38.x86_64.rpm: Already downloaded [SKIPPED] xxhash-libs-0.8.1-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] xz-5.2.9-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] xz-libs-5.2.9-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] zip-3.0-35.fc38.x86_64.rpm: Already downloaded [SKIPPED] zlib-1.2.13-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] zstd-1.5.2-3.fc37.x86_64.rpm: Already downloaded fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0xEB10B464: Userid : "Fedora (38) " Fingerprint: 6A51 BBAB BA3D 5467 B617 1221 809A 8D7C EB10 B464 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary Key imported successfully fedora 1.6 MB/s | 1.6 kB 00:00 GPG key at file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-38-primary (0xEB10B464) is already installed fedora 1.6 MB/s | 1.6 kB 00:00 Importing GPG key 0x5323552A: Userid : "Fedora (37) " Fingerprint: ACB5 EE4E 831C 74BB 7C16 8D27 F55A D3FB 5323 552A From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-37-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-2.fc37.x86_64 1/1 Preparing : 1/1 Installing : libgcc-13.0.0-0.4.fc38.x86_64 1/153 Running scriptlet: libgcc-13.0.0-0.4.fc38.x86_64 1/153 Installing : crypto-policies-20221215-1.gita4c31a3.fc38.noarc 2/153 Running scriptlet: crypto-policies-20221215-1.gita4c31a3.fc38.noarc 2/153 Installing : fedora-release-identity-basic-38-0.6.noarch 3/153 Installing : rust-srpm-macros-23-1.fc38.noarch 4/153 Installing : qt5-srpm-macros-5.15.7-1.fc38.noarch 5/153 Installing : publicsuffix-list-dafsa-20221208-1.fc38.noarch 6/153 Installing : pkgconf-m4-1.8.0-3.fc37.noarch 7/153 Installing : perl-srpm-macros-1-47.fc38.noarch 8/153 Installing : pcre2-syntax-10.40-1.fc37.1.noarch 9/153 Installing : package-notes-srpm-macros-0.5-6.fc38.noarch 10/153 Installing : openblas-srpm-macros-2-12.fc37.noarch 11/153 Installing : ocaml-srpm-macros-7-2.fc37.noarch 12/153 Installing : nim-srpm-macros-3-7.fc37.noarch 13/153 Installing : ncurses-base-6.3-5.20221126.fc38.noarch 14/153 Installing : libssh-config-0.10.4-2.fc38.noarch 15/153 Installing : kernel-srpm-macros-1.0-15.fc37.noarch 16/153 Installing : gnat-srpm-macros-4-16.fc37.noarch 17/153 Installing : ghc-srpm-macros-1.5.0-7.fc37.noarch 18/153 Installing : fpc-srpm-macros-1.3-6.fc37.noarch 19/153 Installing : fedora-gpg-keys-38-0.3.noarch 20/153 Installing : fedora-release-38-0.6.noarch 21/153 Installing : fedora-release-common-38-0.6.noarch 22/153 Installing : fedora-repos-rawhide-38-0.3.noarch 23/153 Installing : fedora-repos-38-0.3.noarch 24/153 Installing : setup-2.14.3-1.fc38.noarch 25/153 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.14.3-1.fc38.noarch 25/153 Installing : filesystem-3.18-2.fc37.x86_64 26/153 Installing : basesystem-11-14.fc37.noarch 27/153 Installing : coreutils-common-9.1-8.fc38.x86_64 28/153 Installing : ansible-srpm-macros-1-8.1.fc38.noarch 29/153 Installing : tzdata-2022g-1.fc38.noarch 30/153 Installing : glibc-gconv-extra-2.36.9000-19.fc38.x86_64 31/153 Running scriptlet: glibc-gconv-extra-2.36.9000-19.fc38.x86_64 31/153 Installing : bash-5.2.9-3.fc38.x86_64 32/153 Running scriptlet: bash-5.2.9-3.fc38.x86_64 32/153 Installing : ncurses-libs-6.3-5.20221126.fc38.x86_64 33/153 Running scriptlet: glibc-2.36.9000-19.fc38.x86_64 34/153 Installing : glibc-2.36.9000-19.fc38.x86_64 34/153 Running scriptlet: glibc-2.36.9000-19.fc38.x86_64 34/153 Installing : glibc-common-2.36.9000-19.fc38.x86_64 35/153 Installing : glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 36/153 Installing : zlib-1.2.13-1.fc38.x86_64 37/153 Installing : xz-libs-5.2.9-1.fc38.x86_64 38/153 Installing : bzip2-libs-1.0.8-12.fc37.x86_64 39/153 Installing : elfutils-libelf-0.188-3.fc38.x86_64 40/153 Installing : gmp-1:6.2.1-3.fc37.x86_64 41/153 Installing : libstdc++-13.0.0-0.4.fc38.x86_64 42/153 Installing : libuuid-2.38.1-3.fc38.x86_64 43/153 Installing : libzstd-1.5.2-3.fc37.x86_64 44/153 Installing : popt-1.19-1.fc38.x86_64 45/153 Installing : libblkid-2.38.1-3.fc38.x86_64 46/153 Installing : libattr-2.5.1-5.fc37.x86_64 47/153 Installing : libacl-2.3.1-4.fc37.x86_64 48/153 Installing : libcap-2.48-5.fc37.x86_64 49/153 Installing : libxcrypt-4.4.33-5.fc38.x86_64 50/153 Installing : readline-8.2-2.fc38.x86_64 51/153 Installing : lz4-libs-1.9.3-5.fc37.x86_64 52/153 Installing : systemd-libs-252.4-598.fc38.x86_64 53/153 Installing : mpfr-4.1.1-2.fc38.x86_64 54/153 Installing : dwz-0.15-1.fc38.x86_64 55/153 Installing : unzip-6.0-58.fc38.x86_64 56/153 Installing : file-libs-5.42-4.fc37.x86_64 57/153 Installing : file-5.42-4.fc37.x86_64 58/153 Installing : sqlite-libs-3.40.0-1.fc38.x86_64 59/153 Installing : libcom_err-1.46.5-3.fc38.x86_64 60/153 Installing : alternatives-1.21-1.fc38.x86_64 61/153 Installing : libcap-ng-0.8.3-4.fc38.x86_64 62/153 Installing : audit-libs-3.0.9-2.fc38.x86_64 63/153 Installing : libeconf-0.4.0-4.fc37.x86_64 64/153 Installing : pam-libs-1.5.2-15.fc38.x86_64 65/153 Installing : libsepol-3.5-0.rc1.1.fc38.x86_64 66/153 Installing : libsmartcols-2.38.1-3.fc38.x86_64 67/153 Installing : libunistring-1.0-2.fc37.x86_64 68/153 Installing : libidn2-2.3.4-1.fc38.x86_64 69/153 Installing : lua-libs-5.4.4-6.fc38.x86_64 70/153 Installing : pcre2-10.40-1.fc37.1.x86_64 71/153 Installing : libselinux-3.5-0.rc1.1.fc38.x86_64 72/153 Installing : sed-4.8-11.fc37.x86_64 73/153 Installing : grep-3.8-1.fc38.x86_64 74/153 Installing : findutils-1:4.9.0-2.fc37.x86_64 75/153 Installing : xz-5.2.9-1.fc38.x86_64 76/153 Installing : libmount-2.38.1-3.fc38.x86_64 77/153 Installing : util-linux-core-2.38.1-3.fc38.x86_64 78/153 Installing : libsemanage-3.5-0.rc1.1.fc38.x86_64 79/153 Installing : shadow-utils-2:4.13-3.fc38.x86_64 80/153 Running scriptlet: libutempter-1.2.1-7.fc37.x86_64 81/153 Installing : libutempter-1.2.1-7.fc37.x86_64 81/153 Installing : tar-2:1.34-5.fc38.x86_64 82/153 Installing : libpsl-0.21.2-1.fc38.x86_64 83/153 Installing : zip-3.0-35.fc38.x86_64 84/153 Installing : zstd-1.5.2-3.fc37.x86_64 85/153 Installing : libfdisk-2.38.1-3.fc38.x86_64 86/153 Installing : nettle-3.8-2.fc37.x86_64 87/153 Installing : rpm-sequoia-1.2.0-1.fc38.x86_64 88/153 Installing : rpm-libs-4.18.0-8.fc38.x86_64 89/153 Installing : bzip2-1.0.8-12.fc37.x86_64 90/153 Installing : libxml2-2.10.3-2.fc38.x86_64 91/153 Installing : libgomp-13.0.0-0.4.fc38.x86_64 92/153 Installing : cpio-2.13-13.fc38.x86_64 93/153 Installing : diffutils-3.8-3.fc37.x86_64 94/153 Installing : ed-1.18-2.fc37.x86_64 95/153 Installing : patch-2.7.6-17.fc37.x86_64 96/153 Installing : gdbm-libs-1:1.23-2.fc37.x86_64 97/153 Installing : cyrus-sasl-lib-2.1.28-8.fc38.x86_64 98/153 Installing : jansson-2.13.1-5.fc37.x86_64 99/153 Installing : keyutils-libs-1.6.1-5.fc37.x86_64 100/153 Installing : libbrotli-1.0.9-9.fc37.x86_64 101/153 Installing : libdb-5.3.28-54.fc38.x86_64 102/153 Installing : libffi-3.4.4-1.fc38.x86_64 103/153 Installing : p11-kit-0.24.1-5.fc38.x86_64 104/153 Installing : libnghttp2-1.51.0-1.fc38.x86_64 105/153 Installing : libpkgconf-1.8.0-3.fc37.x86_64 106/153 Installing : pkgconf-1.8.0-3.fc37.x86_64 107/153 Installing : pkgconf-pkg-config-1.8.0-3.fc37.x86_64 108/153 Installing : libsigsegv-2.14-3.fc37.x86_64 109/153 Installing : gawk-5.1.1-4.fc37.x86_64 110/153 Installing : libtasn1-4.19.0-1.fc38.x86_64 111/153 Installing : p11-kit-trust-0.24.1-5.fc38.x86_64 112/153 Running scriptlet: p11-kit-trust-0.24.1-5.fc38.x86_64 112/153 Installing : openssl-libs-1:3.0.5-6.fc38.x86_64 113/153 Installing : coreutils-9.1-8.fc38.x86_64 114/153 Running scriptlet: ca-certificates-2022.2.54-5.fc37.noarch 115/153 Installing : ca-certificates-2022.2.54-5.fc37.noarch 115/153 Running scriptlet: ca-certificates-2022.2.54-5.fc37.noarch 115/153 Running scriptlet: authselect-libs-1.4.2-1.fc38.x86_64 116/153 Installing : authselect-libs-1.4.2-1.fc38.x86_64 116/153 Installing : gzip-1.12-2.fc37.x86_64 117/153 Installing : cracklib-2.9.7-30.fc38.x86_64 118/153 Installing : libpwquality-1.4.5-1.fc38.x86_64 119/153 Installing : authselect-1.4.2-1.fc38.x86_64 120/153 Installing : libarchive-3.6.1-3.fc38.x86_64 121/153 Installing : libevent-2.1.12-7.fc37.x86_64 122/153 Installing : openldap-2.6.3-1.fc38.x86_64 123/153 Installing : libverto-0.3.2-4.fc37.x86_64 124/153 Installing : krb5-libs-1.20.1-3.fc38.x86_64 125/153 Installing : libtirpc-1.3.3-0.fc37.x86_64 126/153 Installing : libnsl2-2.0.0-4.fc37.x86_64 127/153 Installing : pam-1.5.2-15.fc38.x86_64 128/153 Installing : libssh-0.10.4-2.fc38.x86_64 129/153 Installing : libcurl-7.87.0-1.fc38.x86_64 130/153 Installing : curl-7.87.0-1.fc38.x86_64 131/153 Running scriptlet: rpm-4.18.0-8.fc38.x86_64 132/153 Installing : rpm-4.18.0-8.fc38.x86_64 132/153 Installing : efi-srpm-macros-5-6.fc37.noarch 133/153 Installing : lua-srpm-macros-1-7.fc37.noarch 134/153 Installing : rpmautospec-rpm-macros-0.3.1-1.fc38.noarch 135/153 Installing : fonts-srpm-macros-1:2.0.5-10.fc38.noarch 136/153 Installing : go-srpm-macros-3.2.0-1.fc38.noarch 137/153 Installing : python-srpm-macros-3.11-6.fc38.noarch 138/153 Installing : redhat-rpm-config-236-1.fc38.noarch 139/153 Installing : xxhash-libs-0.8.1-3.fc37.x86_64 140/153 Installing : elfutils-default-yama-scope-0.188-3.fc38.noarch 141/153 Running scriptlet: elfutils-default-yama-scope-0.188-3.fc38.noarch 141/153 Installing : elfutils-libs-0.188-3.fc38.x86_64 142/153 Installing : elfutils-debuginfod-client-0.188-3.fc38.x86_64 143/153 Installing : binutils-gold-2.39-6.fc38.x86_64 144/153 Installing : binutils-2.39-6.fc38.x86_64 145/153 Running scriptlet: binutils-2.39-6.fc38.x86_64 145/153 Installing : elfutils-0.188-3.fc38.x86_64 146/153 Installing : gdb-minimal-12.1-10.fc38.x86_64 147/153 Installing : debugedit-5.0-5.fc37.x86_64 148/153 Installing : rpm-build-libs-4.18.0-8.fc38.x86_64 149/153 Installing : rpm-build-4.18.0-8.fc38.x86_64 150/153 Installing : util-linux-2.38.1-3.fc38.x86_64 151/153 Installing : which-2.21-36.fc38.x86_64 152/153 Installing : info-7.0.1-1.fc38.x86_64 153/153 Running scriptlet: filesystem-3.18-2.fc37.x86_64 153/153 Running scriptlet: ca-certificates-2022.2.54-5.fc37.noarch 153/153 Running scriptlet: authselect-libs-1.4.2-1.fc38.x86_64 153/153 Running scriptlet: rpm-4.18.0-8.fc38.x86_64 153/153 Running scriptlet: info-7.0.1-1.fc38.x86_64 153/153 Verifying : libcom_err-1.46.5-3.fc38.x86_64 1/153 Verifying : tzdata-2022g-1.fc38.noarch 2/153 Verifying : libgcc-13.0.0-0.4.fc38.x86_64 3/153 Verifying : libgomp-13.0.0-0.4.fc38.x86_64 4/153 Verifying : libstdc++-13.0.0-0.4.fc38.x86_64 5/153 Verifying : alternatives-1.21-1.fc38.x86_64 6/153 Verifying : ansible-srpm-macros-1-8.1.fc38.noarch 7/153 Verifying : audit-libs-3.0.9-2.fc38.x86_64 8/153 Verifying : authselect-1.4.2-1.fc38.x86_64 9/153 Verifying : authselect-libs-1.4.2-1.fc38.x86_64 10/153 Verifying : basesystem-11-14.fc37.noarch 11/153 Verifying : bash-5.2.9-3.fc38.x86_64 12/153 Verifying : binutils-2.39-6.fc38.x86_64 13/153 Verifying : binutils-gold-2.39-6.fc38.x86_64 14/153 Verifying : bzip2-1.0.8-12.fc37.x86_64 15/153 Verifying : bzip2-libs-1.0.8-12.fc37.x86_64 16/153 Verifying : ca-certificates-2022.2.54-5.fc37.noarch 17/153 Verifying : coreutils-9.1-8.fc38.x86_64 18/153 Verifying : coreutils-common-9.1-8.fc38.x86_64 19/153 Verifying : cpio-2.13-13.fc38.x86_64 20/153 Verifying : cracklib-2.9.7-30.fc38.x86_64 21/153 Verifying : crypto-policies-20221215-1.gita4c31a3.fc38.noarc 22/153 Verifying : curl-7.87.0-1.fc38.x86_64 23/153 Verifying : cyrus-sasl-lib-2.1.28-8.fc38.x86_64 24/153 Verifying : debugedit-5.0-5.fc37.x86_64 25/153 Verifying : diffutils-3.8-3.fc37.x86_64 26/153 Verifying : dwz-0.15-1.fc38.x86_64 27/153 Verifying : ed-1.18-2.fc37.x86_64 28/153 Verifying : efi-srpm-macros-5-6.fc37.noarch 29/153 Verifying : elfutils-0.188-3.fc38.x86_64 30/153 Verifying : elfutils-debuginfod-client-0.188-3.fc38.x86_64 31/153 Verifying : elfutils-default-yama-scope-0.188-3.fc38.noarch 32/153 Verifying : elfutils-libelf-0.188-3.fc38.x86_64 33/153 Verifying : elfutils-libs-0.188-3.fc38.x86_64 34/153 Verifying : fedora-gpg-keys-38-0.3.noarch 35/153 Verifying : fedora-release-38-0.6.noarch 36/153 Verifying : fedora-release-common-38-0.6.noarch 37/153 Verifying : fedora-release-identity-basic-38-0.6.noarch 38/153 Verifying : fedora-repos-38-0.3.noarch 39/153 Verifying : fedora-repos-rawhide-38-0.3.noarch 40/153 Verifying : file-5.42-4.fc37.x86_64 41/153 Verifying : file-libs-5.42-4.fc37.x86_64 42/153 Verifying : filesystem-3.18-2.fc37.x86_64 43/153 Verifying : findutils-1:4.9.0-2.fc37.x86_64 44/153 Verifying : fonts-srpm-macros-1:2.0.5-10.fc38.noarch 45/153 Verifying : fpc-srpm-macros-1.3-6.fc37.noarch 46/153 Verifying : gawk-5.1.1-4.fc37.x86_64 47/153 Verifying : gdb-minimal-12.1-10.fc38.x86_64 48/153 Verifying : gdbm-libs-1:1.23-2.fc37.x86_64 49/153 Verifying : ghc-srpm-macros-1.5.0-7.fc37.noarch 50/153 Verifying : glibc-2.36.9000-19.fc38.x86_64 51/153 Verifying : glibc-common-2.36.9000-19.fc38.x86_64 52/153 Verifying : glibc-gconv-extra-2.36.9000-19.fc38.x86_64 53/153 Verifying : glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 54/153 Verifying : gmp-1:6.2.1-3.fc37.x86_64 55/153 Verifying : gnat-srpm-macros-4-16.fc37.noarch 56/153 Verifying : go-srpm-macros-3.2.0-1.fc38.noarch 57/153 Verifying : grep-3.8-1.fc38.x86_64 58/153 Verifying : gzip-1.12-2.fc37.x86_64 59/153 Verifying : info-7.0.1-1.fc38.x86_64 60/153 Verifying : jansson-2.13.1-5.fc37.x86_64 61/153 Verifying : kernel-srpm-macros-1.0-15.fc37.noarch 62/153 Verifying : keyutils-libs-1.6.1-5.fc37.x86_64 63/153 Verifying : krb5-libs-1.20.1-3.fc38.x86_64 64/153 Verifying : libacl-2.3.1-4.fc37.x86_64 65/153 Verifying : libarchive-3.6.1-3.fc38.x86_64 66/153 Verifying : libattr-2.5.1-5.fc37.x86_64 67/153 Verifying : libblkid-2.38.1-3.fc38.x86_64 68/153 Verifying : libbrotli-1.0.9-9.fc37.x86_64 69/153 Verifying : libcap-2.48-5.fc37.x86_64 70/153 Verifying : libcap-ng-0.8.3-4.fc38.x86_64 71/153 Verifying : libcurl-7.87.0-1.fc38.x86_64 72/153 Verifying : libdb-5.3.28-54.fc38.x86_64 73/153 Verifying : libeconf-0.4.0-4.fc37.x86_64 74/153 Verifying : libevent-2.1.12-7.fc37.x86_64 75/153 Verifying : libfdisk-2.38.1-3.fc38.x86_64 76/153 Verifying : libffi-3.4.4-1.fc38.x86_64 77/153 Verifying : libidn2-2.3.4-1.fc38.x86_64 78/153 Verifying : libmount-2.38.1-3.fc38.x86_64 79/153 Verifying : libnghttp2-1.51.0-1.fc38.x86_64 80/153 Verifying : libnsl2-2.0.0-4.fc37.x86_64 81/153 Verifying : libpkgconf-1.8.0-3.fc37.x86_64 82/153 Verifying : libpsl-0.21.2-1.fc38.x86_64 83/153 Verifying : libpwquality-1.4.5-1.fc38.x86_64 84/153 Verifying : libselinux-3.5-0.rc1.1.fc38.x86_64 85/153 Verifying : libsemanage-3.5-0.rc1.1.fc38.x86_64 86/153 Verifying : libsepol-3.5-0.rc1.1.fc38.x86_64 87/153 Verifying : libsigsegv-2.14-3.fc37.x86_64 88/153 Verifying : libsmartcols-2.38.1-3.fc38.x86_64 89/153 Verifying : libssh-0.10.4-2.fc38.x86_64 90/153 Verifying : libssh-config-0.10.4-2.fc38.noarch 91/153 Verifying : libtasn1-4.19.0-1.fc38.x86_64 92/153 Verifying : libtirpc-1.3.3-0.fc37.x86_64 93/153 Verifying : libunistring-1.0-2.fc37.x86_64 94/153 Verifying : libutempter-1.2.1-7.fc37.x86_64 95/153 Verifying : libuuid-2.38.1-3.fc38.x86_64 96/153 Verifying : libverto-0.3.2-4.fc37.x86_64 97/153 Verifying : libxcrypt-4.4.33-5.fc38.x86_64 98/153 Verifying : libxml2-2.10.3-2.fc38.x86_64 99/153 Verifying : libzstd-1.5.2-3.fc37.x86_64 100/153 Verifying : lua-libs-5.4.4-6.fc38.x86_64 101/153 Verifying : lua-srpm-macros-1-7.fc37.noarch 102/153 Verifying : lz4-libs-1.9.3-5.fc37.x86_64 103/153 Verifying : mpfr-4.1.1-2.fc38.x86_64 104/153 Verifying : ncurses-base-6.3-5.20221126.fc38.noarch 105/153 Verifying : ncurses-libs-6.3-5.20221126.fc38.x86_64 106/153 Verifying : nettle-3.8-2.fc37.x86_64 107/153 Verifying : nim-srpm-macros-3-7.fc37.noarch 108/153 Verifying : ocaml-srpm-macros-7-2.fc37.noarch 109/153 Verifying : openblas-srpm-macros-2-12.fc37.noarch 110/153 Verifying : openldap-2.6.3-1.fc38.x86_64 111/153 Verifying : openssl-libs-1:3.0.5-6.fc38.x86_64 112/153 Verifying : p11-kit-0.24.1-5.fc38.x86_64 113/153 Verifying : p11-kit-trust-0.24.1-5.fc38.x86_64 114/153 Verifying : package-notes-srpm-macros-0.5-6.fc38.noarch 115/153 Verifying : pam-1.5.2-15.fc38.x86_64 116/153 Verifying : pam-libs-1.5.2-15.fc38.x86_64 117/153 Verifying : patch-2.7.6-17.fc37.x86_64 118/153 Verifying : pcre2-10.40-1.fc37.1.x86_64 119/153 Verifying : pcre2-syntax-10.40-1.fc37.1.noarch 120/153 Verifying : perl-srpm-macros-1-47.fc38.noarch 121/153 Verifying : pkgconf-1.8.0-3.fc37.x86_64 122/153 Verifying : pkgconf-m4-1.8.0-3.fc37.noarch 123/153 Verifying : pkgconf-pkg-config-1.8.0-3.fc37.x86_64 124/153 Verifying : popt-1.19-1.fc38.x86_64 125/153 Verifying : publicsuffix-list-dafsa-20221208-1.fc38.noarch 126/153 Verifying : python-srpm-macros-3.11-6.fc38.noarch 127/153 Verifying : qt5-srpm-macros-5.15.7-1.fc38.noarch 128/153 Verifying : readline-8.2-2.fc38.x86_64 129/153 Verifying : redhat-rpm-config-236-1.fc38.noarch 130/153 Verifying : rpm-4.18.0-8.fc38.x86_64 131/153 Verifying : rpm-build-4.18.0-8.fc38.x86_64 132/153 Verifying : rpm-build-libs-4.18.0-8.fc38.x86_64 133/153 Verifying : rpm-libs-4.18.0-8.fc38.x86_64 134/153 Verifying : rpm-sequoia-1.2.0-1.fc38.x86_64 135/153 Verifying : rpmautospec-rpm-macros-0.3.1-1.fc38.noarch 136/153 Verifying : rust-srpm-macros-23-1.fc38.noarch 137/153 Verifying : sed-4.8-11.fc37.x86_64 138/153 Verifying : setup-2.14.3-1.fc38.noarch 139/153 Verifying : shadow-utils-2:4.13-3.fc38.x86_64 140/153 Verifying : sqlite-libs-3.40.0-1.fc38.x86_64 141/153 Verifying : systemd-libs-252.4-598.fc38.x86_64 142/153 Verifying : tar-2:1.34-5.fc38.x86_64 143/153 Verifying : unzip-6.0-58.fc38.x86_64 144/153 Verifying : util-linux-2.38.1-3.fc38.x86_64 145/153 Verifying : util-linux-core-2.38.1-3.fc38.x86_64 146/153 Verifying : which-2.21-36.fc38.x86_64 147/153 Verifying : xxhash-libs-0.8.1-3.fc37.x86_64 148/153 Verifying : xz-5.2.9-1.fc38.x86_64 149/153 Verifying : xz-libs-5.2.9-1.fc38.x86_64 150/153 Verifying : zip-3.0-35.fc38.x86_64 151/153 Verifying : zlib-1.2.13-1.fc38.x86_64 152/153 Verifying : zstd-1.5.2-3.fc37.x86_64 153/153 Installed: alternatives-1.21-1.fc38.x86_64 ansible-srpm-macros-1-8.1.fc38.noarch audit-libs-3.0.9-2.fc38.x86_64 authselect-1.4.2-1.fc38.x86_64 authselect-libs-1.4.2-1.fc38.x86_64 basesystem-11-14.fc37.noarch bash-5.2.9-3.fc38.x86_64 binutils-2.39-6.fc38.x86_64 binutils-gold-2.39-6.fc38.x86_64 bzip2-1.0.8-12.fc37.x86_64 bzip2-libs-1.0.8-12.fc37.x86_64 ca-certificates-2022.2.54-5.fc37.noarch coreutils-9.1-8.fc38.x86_64 coreutils-common-9.1-8.fc38.x86_64 cpio-2.13-13.fc38.x86_64 cracklib-2.9.7-30.fc38.x86_64 crypto-policies-20221215-1.gita4c31a3.fc38.noarch curl-7.87.0-1.fc38.x86_64 cyrus-sasl-lib-2.1.28-8.fc38.x86_64 debugedit-5.0-5.fc37.x86_64 diffutils-3.8-3.fc37.x86_64 dwz-0.15-1.fc38.x86_64 ed-1.18-2.fc37.x86_64 efi-srpm-macros-5-6.fc37.noarch elfutils-0.188-3.fc38.x86_64 elfutils-debuginfod-client-0.188-3.fc38.x86_64 elfutils-default-yama-scope-0.188-3.fc38.noarch elfutils-libelf-0.188-3.fc38.x86_64 elfutils-libs-0.188-3.fc38.x86_64 fedora-gpg-keys-38-0.3.noarch fedora-release-38-0.6.noarch fedora-release-common-38-0.6.noarch fedora-release-identity-basic-38-0.6.noarch fedora-repos-38-0.3.noarch fedora-repos-rawhide-38-0.3.noarch file-5.42-4.fc37.x86_64 file-libs-5.42-4.fc37.x86_64 filesystem-3.18-2.fc37.x86_64 findutils-1:4.9.0-2.fc37.x86_64 fonts-srpm-macros-1:2.0.5-10.fc38.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.x86_64 gdb-minimal-12.1-10.fc38.x86_64 gdbm-libs-1:1.23-2.fc37.x86_64 ghc-srpm-macros-1.5.0-7.fc37.noarch glibc-2.36.9000-19.fc38.x86_64 glibc-common-2.36.9000-19.fc38.x86_64 glibc-gconv-extra-2.36.9000-19.fc38.x86_64 glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 gmp-1:6.2.1-3.fc37.x86_64 gnat-srpm-macros-4-16.fc37.noarch go-srpm-macros-3.2.0-1.fc38.noarch grep-3.8-1.fc38.x86_64 gzip-1.12-2.fc37.x86_64 info-7.0.1-1.fc38.x86_64 jansson-2.13.1-5.fc37.x86_64 kernel-srpm-macros-1.0-15.fc37.noarch keyutils-libs-1.6.1-5.fc37.x86_64 krb5-libs-1.20.1-3.fc38.x86_64 libacl-2.3.1-4.fc37.x86_64 libarchive-3.6.1-3.fc38.x86_64 libattr-2.5.1-5.fc37.x86_64 libblkid-2.38.1-3.fc38.x86_64 libbrotli-1.0.9-9.fc37.x86_64 libcap-2.48-5.fc37.x86_64 libcap-ng-0.8.3-4.fc38.x86_64 libcom_err-1.46.5-3.fc38.x86_64 libcurl-7.87.0-1.fc38.x86_64 libdb-5.3.28-54.fc38.x86_64 libeconf-0.4.0-4.fc37.x86_64 libevent-2.1.12-7.fc37.x86_64 libfdisk-2.38.1-3.fc38.x86_64 libffi-3.4.4-1.fc38.x86_64 libgcc-13.0.0-0.4.fc38.x86_64 libgomp-13.0.0-0.4.fc38.x86_64 libidn2-2.3.4-1.fc38.x86_64 libmount-2.38.1-3.fc38.x86_64 libnghttp2-1.51.0-1.fc38.x86_64 libnsl2-2.0.0-4.fc37.x86_64 libpkgconf-1.8.0-3.fc37.x86_64 libpsl-0.21.2-1.fc38.x86_64 libpwquality-1.4.5-1.fc38.x86_64 libselinux-3.5-0.rc1.1.fc38.x86_64 libsemanage-3.5-0.rc1.1.fc38.x86_64 libsepol-3.5-0.rc1.1.fc38.x86_64 libsigsegv-2.14-3.fc37.x86_64 libsmartcols-2.38.1-3.fc38.x86_64 libssh-0.10.4-2.fc38.x86_64 libssh-config-0.10.4-2.fc38.noarch libstdc++-13.0.0-0.4.fc38.x86_64 libtasn1-4.19.0-1.fc38.x86_64 libtirpc-1.3.3-0.fc37.x86_64 libunistring-1.0-2.fc37.x86_64 libutempter-1.2.1-7.fc37.x86_64 libuuid-2.38.1-3.fc38.x86_64 libverto-0.3.2-4.fc37.x86_64 libxcrypt-4.4.33-5.fc38.x86_64 libxml2-2.10.3-2.fc38.x86_64 libzstd-1.5.2-3.fc37.x86_64 lua-libs-5.4.4-6.fc38.x86_64 lua-srpm-macros-1-7.fc37.noarch lz4-libs-1.9.3-5.fc37.x86_64 mpfr-4.1.1-2.fc38.x86_64 ncurses-base-6.3-5.20221126.fc38.noarch ncurses-libs-6.3-5.20221126.fc38.x86_64 nettle-3.8-2.fc37.x86_64 nim-srpm-macros-3-7.fc37.noarch ocaml-srpm-macros-7-2.fc37.noarch openblas-srpm-macros-2-12.fc37.noarch openldap-2.6.3-1.fc38.x86_64 openssl-libs-1:3.0.5-6.fc38.x86_64 p11-kit-0.24.1-5.fc38.x86_64 p11-kit-trust-0.24.1-5.fc38.x86_64 package-notes-srpm-macros-0.5-6.fc38.noarch pam-1.5.2-15.fc38.x86_64 pam-libs-1.5.2-15.fc38.x86_64 patch-2.7.6-17.fc37.x86_64 pcre2-10.40-1.fc37.1.x86_64 pcre2-syntax-10.40-1.fc37.1.noarch perl-srpm-macros-1-47.fc38.noarch pkgconf-1.8.0-3.fc37.x86_64 pkgconf-m4-1.8.0-3.fc37.noarch pkgconf-pkg-config-1.8.0-3.fc37.x86_64 popt-1.19-1.fc38.x86_64 publicsuffix-list-dafsa-20221208-1.fc38.noarch python-srpm-macros-3.11-6.fc38.noarch qt5-srpm-macros-5.15.7-1.fc38.noarch readline-8.2-2.fc38.x86_64 redhat-rpm-config-236-1.fc38.noarch rpm-4.18.0-8.fc38.x86_64 rpm-build-4.18.0-8.fc38.x86_64 rpm-build-libs-4.18.0-8.fc38.x86_64 rpm-libs-4.18.0-8.fc38.x86_64 rpm-sequoia-1.2.0-1.fc38.x86_64 rpmautospec-rpm-macros-0.3.1-1.fc38.noarch rust-srpm-macros-23-1.fc38.noarch sed-4.8-11.fc37.x86_64 setup-2.14.3-1.fc38.noarch shadow-utils-2:4.13-3.fc38.x86_64 sqlite-libs-3.40.0-1.fc38.x86_64 systemd-libs-252.4-598.fc38.x86_64 tar-2:1.34-5.fc38.x86_64 tzdata-2022g-1.fc38.noarch unzip-6.0-58.fc38.x86_64 util-linux-2.38.1-3.fc38.x86_64 util-linux-core-2.38.1-3.fc38.x86_64 which-2.21-36.fc38.x86_64 xxhash-libs-0.8.1-3.fc37.x86_64 xz-5.2.9-1.fc38.x86_64 xz-libs-5.2.9-1.fc38.x86_64 zip-3.0-35.fc38.x86_64 zlib-1.2.13-1.fc38.x86_64 zstd-1.5.2-3.fc37.x86_64 Complete! Finish: dnf install Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: libselinux-3.5-0.rc1.1.fc38.x86_64 rpmautospec-rpm-macros-0.3.1-1.fc38.noarch libidn2-2.3.4-1.fc38.x86_64 pkgconf-1.8.0-3.fc37.x86_64 elfutils-libelf-0.188-3.fc38.x86_64 libcom_err-1.46.5-3.fc38.x86_64 libssh-config-0.10.4-2.fc38.noarch efi-srpm-macros-5-6.fc37.noarch ca-certificates-2022.2.54-5.fc37.noarch binutils-gold-2.39-6.fc38.x86_64 gnat-srpm-macros-4-16.fc37.noarch info-7.0.1-1.fc38.x86_64 libattr-2.5.1-5.fc37.x86_64 libpsl-0.21.2-1.fc38.x86_64 xz-5.2.9-1.fc38.x86_64 bzip2-libs-1.0.8-12.fc37.x86_64 perl-srpm-macros-1-47.fc38.noarch ansible-srpm-macros-1-8.1.fc38.noarch pam-1.5.2-15.fc38.x86_64 gmp-6.2.1-3.fc37.x86_64 lz4-libs-1.9.3-5.fc37.x86_64 popt-1.19-1.fc38.x86_64 keyutils-libs-1.6.1-5.fc37.x86_64 coreutils-common-9.1-8.fc38.x86_64 binutils-2.39-6.fc38.x86_64 ocaml-srpm-macros-7-2.fc37.noarch glibc-2.36.9000-19.fc38.x86_64 tzdata-2022g-1.fc38.noarch xz-libs-5.2.9-1.fc38.x86_64 basesystem-11-14.fc37.noarch libzstd-1.5.2-3.fc37.x86_64 redhat-rpm-config-236-1.fc38.noarch krb5-libs-1.20.1-3.fc38.x86_64 pkgconf-m4-1.8.0-3.fc37.noarch libsepol-3.5-0.rc1.1.fc38.x86_64 rpm-sequoia-1.2.0-1.fc38.x86_64 fedora-repos-rawhide-38-0.3.noarch glibc-minimal-langpack-2.36.9000-19.fc38.x86_64 lua-libs-5.4.4-6.fc38.x86_64 libmount-2.38.1-3.fc38.x86_64 findutils-4.9.0-2.fc37.x86_64 alternatives-1.21-1.fc38.x86_64 fedora-gpg-keys-38-0.3.noarch libfdisk-2.38.1-3.fc38.x86_64 which-2.21-36.fc38.x86_64 p11-kit-trust-0.24.1-5.fc38.x86_64 dwz-0.15-1.fc38.x86_64 mpfr-4.1.1-2.fc38.x86_64 libstdc++-13.0.0-0.4.fc38.x86_64 python-srpm-macros-3.11-6.fc38.noarch jansson-2.13.1-5.fc37.x86_64 pcre2-syntax-10.40-1.fc37.1.noarch libsmartcols-2.38.1-3.fc38.x86_64 bash-5.2.9-3.fc38.x86_64 package-notes-srpm-macros-0.5-6.fc38.noarch libnghttp2-1.51.0-1.fc38.x86_64 libssh-0.10.4-2.fc38.x86_64 fonts-srpm-macros-2.0.5-10.fc38.noarch fpc-srpm-macros-1.3-6.fc37.noarch gawk-5.1.1-4.fc37.x86_64 crypto-policies-20221215-1.gita4c31a3.fc38.noarch curl-7.87.0-1.fc38.x86_64 util-linux-2.38.1-3.fc38.x86_64 authselect-libs-1.4.2-1.fc38.x86_64 fedora-release-common-38-0.6.noarch ncurses-base-6.3-5.20221126.fc38.noarch libpwquality-1.4.5-1.fc38.x86_64 libcurl-7.87.0-1.fc38.x86_64 lua-srpm-macros-1-7.fc37.noarch zlib-1.2.13-1.fc38.x86_64 grep-3.8-1.fc38.x86_64 glibc-gconv-extra-2.36.9000-19.fc38.x86_64 libgomp-13.0.0-0.4.fc38.x86_64 libpkgconf-1.8.0-3.fc37.x86_64 gdb-minimal-12.1-10.fc38.x86_64 pkgconf-pkg-config-1.8.0-3.fc37.x86_64 audit-libs-3.0.9-2.fc38.x86_64 fedora-release-identity-basic-38-0.6.noarch zip-3.0-35.fc38.x86_64 rust-srpm-macros-23-1.fc38.noarch libuuid-2.38.1-3.fc38.x86_64 authselect-1.4.2-1.fc38.x86_64 openssl-libs-3.0.5-6.fc38.x86_64 pam-libs-1.5.2-15.fc38.x86_64 sqlite-libs-3.40.0-1.fc38.x86_64 zstd-1.5.2-3.fc37.x86_64 gpg-pubkey-5323552a-6112bcdc libcap-ng-0.8.3-4.fc38.x86_64 tar-1.34-5.fc38.x86_64 file-libs-5.42-4.fc37.x86_64 cracklib-2.9.7-30.fc38.x86_64 readline-8.2-2.fc38.x86_64 libeconf-0.4.0-4.fc37.x86_64 libcap-2.48-5.fc37.x86_64 file-5.42-4.fc37.x86_64 rpm-4.18.0-8.fc38.x86_64 gpg-pubkey-eb10b464-6202d9c6 cyrus-sasl-lib-2.1.28-8.fc38.x86_64 ghc-srpm-macros-1.5.0-7.fc37.noarch libverto-0.3.2-4.fc37.x86_64 libutempter-1.2.1-7.fc37.x86_64 rpm-build-4.18.0-8.fc38.x86_64 libacl-2.3.1-4.fc37.x86_64 glibc-common-2.36.9000-19.fc38.x86_64 libxml2-2.10.3-2.fc38.x86_64 libbrotli-1.0.9-9.fc37.x86_64 libsigsegv-2.14-3.fc37.x86_64 libtirpc-1.3.3-0.fc37.x86_64 p11-kit-0.24.1-5.fc38.x86_64 libtasn1-4.19.0-1.fc38.x86_64 coreutils-9.1-8.fc38.x86_64 filesystem-3.18-2.fc37.x86_64 go-srpm-macros-3.2.0-1.fc38.noarch unzip-6.0-58.fc38.x86_64 rpm-libs-4.18.0-8.fc38.x86_64 setup-2.14.3-1.fc38.noarch libblkid-2.38.1-3.fc38.x86_64 diffutils-3.8-3.fc37.x86_64 libgcc-13.0.0-0.4.fc38.x86_64 qt5-srpm-macros-5.15.7-1.fc38.noarch sed-4.8-11.fc37.x86_64 cpio-2.13-13.fc38.x86_64 rpm-build-libs-4.18.0-8.fc38.x86_64 shadow-utils-4.13-3.fc38.x86_64 elfutils-libs-0.188-3.fc38.x86_64 libsemanage-3.5-0.rc1.1.fc38.x86_64 libdb-5.3.28-54.fc38.x86_64 libxcrypt-4.4.33-5.fc38.x86_64 util-linux-core-2.38.1-3.fc38.x86_64 openblas-srpm-macros-2-12.fc37.noarch nettle-3.8-2.fc37.x86_64 libevent-2.1.12-7.fc37.x86_64 elfutils-default-yama-scope-0.188-3.fc38.noarch libnsl2-2.0.0-4.fc37.x86_64 fedora-release-38-0.6.noarch pcre2-10.40-1.fc37.1.x86_64 bzip2-1.0.8-12.fc37.x86_64 publicsuffix-list-dafsa-20221208-1.fc38.noarch elfutils-debuginfod-client-0.188-3.fc38.x86_64 fedora-repos-38-0.3.noarch libffi-3.4.4-1.fc38.x86_64 elfutils-0.188-3.fc38.x86_64 ncurses-libs-6.3-5.20221126.fc38.x86_64 patch-2.7.6-17.fc37.x86_64 gzip-1.12-2.fc37.x86_64 debugedit-5.0-5.fc37.x86_64 libunistring-1.0-2.fc37.x86_64 openldap-2.6.3-1.fc38.x86_64 ed-1.18-2.fc37.x86_64 libarchive-3.6.1-3.fc38.x86_64 gdbm-libs-1.23-2.fc37.x86_64 nim-srpm-macros-3-7.fc37.noarch kernel-srpm-macros-1.0-15.fc37.noarch xxhash-libs-0.8.1-3.fc37.x86_64 systemd-libs-252.4-598.fc38.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1670544000 Wrote: /builddir/build/SRPMS/yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm Finish: rpmbuild -bs cp: ‘var/lib/mock/fedora-rawhide-x86_64-1672403225.479053/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-x86_64-1672403225.479053/root/var/log/dnf.rpm.log /var/lib/mock/fedora-rawhide-x86_64-1672403225.479053/root/var/log/dnf.librepo.log /var/lib/mock/fedora-rawhide-x86_64-1672403225.479053/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-t50su_5m/yosys/yosys.spec) Config(child) 1 minutes 0 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running (timeout=115200): unbuffer mock --rebuild /var/lib/copr-rpmbuild/results/yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1672403225.479053 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 3.5 starting (python version = 3.11.0, NVR = mock-3.5-1.fc37)... Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm) Config(fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1672403225.479053/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-x86_64-bootstrap-1672403225.479053/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 3.5 INFO: Mock Version: 3.5 Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-x86_64-1672403225.479053/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin Mock Version: 3.5 INFO: Mock Version: 3.5 Start: dnf update No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 12 kB/s | 3.0 kB 00:00 Copr repository 6.9 MB/s | 9.1 MB 00:01 Additional repo https_jakub_fedorapeople_org_fe 10 kB/s | 3.0 kB 00:00 fedora 95 kB/s | 23 kB 00:00 Dependencies resolved. Nothing to do. Complete! Finish: dnf update Finish: chroot init Start: build phase for yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm Start: build setup for yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1670544000 Wrote: /builddir/build/SRPMS/yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 12 kB/s | 3.0 kB 00:00 Additional repo https_jakub_fedorapeople_org_fe 10 kB/s | 3.0 kB 00:00 fedora 95 kB/s | 23 kB 00:00 Package pkgconf-pkg-config-1.8.0-3.fc37.x86_64 is already installed. Dependencies resolved. ========================================================================================================================================= Package Arch Version Repository Size ========================================================================================================================================= Installing: abc x86_64 1.01-35.git20220731.fc37 fedora 18 k bison x86_64 3.8.2-3.fc37 fedora 1.0 M flex x86_64 2.6.4-11.fc37 fedora 313 k gcc-c++ x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 13 M graphviz x86_64 7.0.5-1.fc38 fedora 5.0 M iverilog x86_64 11.0-6.fc38 fedora 2.2 M libffi-devel x86_64 3.4.4-1.fc38 fedora 28 k make x86_64 1:4.3-11.fc37 fedora 542 k python3 x86_64 3.11.1-1.fc38 fedora 27 k readline-devel x86_64 8.2-2.fc38 fedora 207 k tcl-devel x86_64 1:8.6.12-3.fc38 fedora 169 k texlive-beamer noarch 9:svn58537-61.fc38 fedora 227 k texlive-collection-bibtexextra noarch 9:svn58437-61.fc38 fedora 15 k texlive-collection-fontsextra noarch 9:svn58253-61.fc38 fedora 18 k texlive-collection-latexextra noarch 9:svn59009-61.fc38 fedora 41 k texlive-collection-mathscience noarch 9:svn57759-61.fc38 fedora 16 k texlive-collection-publishers noarch 9:svn59002-61.fc38 fedora 16 k txt2man noarch 1.7.1-3.fc37 fedora 28 k Installing dependencies: ImageMagick x86_64 1:6.9.12.70-1.fc38 fedora 84 k ImageMagick-c++ x86_64 1:6.9.12.70-1.fc38 fedora 178 k ImageMagick-libs x86_64 1:6.9.12.70-1.fc38 fedora 2.3 M LibRaw x86_64 0.21.0-1.fc38 fedora 414 k R-core x86_64 4.2.2-5.fc38 fedora 62 M R-evaluate noarch 0.15-1.fc38 fedora 103 k R-glue x86_64 1.6.2-1.fc38 fedora 174 k R-highr noarch 0.9-7.fc38 fedora 58 k R-knitr noarch 1.39-1.fc38 fedora 1.3 M R-magrittr x86_64 2.0.3-1.fc38 fedora 239 k R-stringi x86_64 1.7.8-1.fc38 fedora 1.0 M R-stringr noarch 1.4.0-14.fc38 fedora 247 k R-xfun x86_64 0.33-1.fc38 fedora 471 k R-yaml x86_64 2.3.5-1.fc38 fedora 127 k abc-libs x86_64 1.01-35.git20220731.fc37 fedora 5.1 M adobe-mappings-cmap noarch 20190730-4.fc37 fedora 2.1 M adobe-mappings-cmap-deprecated noarch 20190730-4.fc37 fedora 113 k adobe-mappings-pdf noarch 20190401-2.fc37 fedora 697 k adwaita-cursor-theme noarch 43-1.fc38 fedora 633 k adwaita-icon-theme noarch 43-1.fc38 fedora 4.9 M alsa-lib x86_64 1.2.8-2.fc38 fedora 517 k annobin-docs noarch 10.99-1.0.1.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 93 k annobin-plugin-gcc x86_64 10.99-1.0.1.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 889 k at-spi2-atk x86_64 2.46.0-2.fc38 fedora 87 k at-spi2-core x86_64 2.46.0-2.fc38 fedora 371 k atk x86_64 2.46.0-2.fc38 fedora 82 k autotrace x86_64 0.31.9-2.fc38 fedora 147 k avahi-libs x86_64 0.8-19.fc38 fedora 67 k biber noarch 2.18-1.fc38 fedora 314 k bubblewrap x86_64 0.5.0-3.fc37 fedora 54 k cairo x86_64 1.17.6-2.fc37 fedora 676 k cairo-gobject x86_64 1.17.6-2.fc37 fedora 18 k cdparanoia-libs x86_64 10.2-40.fc37 fedora 54 k colord-libs x86_64 1.4.6-2.fc38 copr_base 234 k cpp x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 11 M crypto-policies-scripts noarch 20221215-1.gita4c31a3.fc38 fedora 116 k cups-libs x86_64 1:2.4.2-5.fc38 fedora 268 k dbus x86_64 1:1.14.4-1.fc38 fedora 7.8 k dbus-broker x86_64 32-1.fc37 fedora 175 k dbus-common noarch 1:1.14.4-1.fc38 fedora 15 k dbus-libs x86_64 1:1.14.4-1.fc38 fedora 156 k desktop-file-utils x86_64 0.26-7.fc37 fedora 72 k emacs-filesystem noarch 1:28.1-3.fc37 fedora 9.5 k enchant2 x86_64 2.3.3-2.fc37 fedora 65 k expat x86_64 2.5.0-1.fc38 fedora 110 k fdk-aac-free x86_64 2.0.0-9.fc37 fedora 332 k flac-libs x86_64 1.4.2-1.fc38 fedora 256 k flexiblas x86_64 3.2.1-3.fc38 fedora 32 k flexiblas-netlib x86_64 3.2.1-3.fc38 fedora 3.1 M flexiblas-openblas-openmp x86_64 3.2.1-3.fc38 fedora 17 k fontawesome-fonts noarch 1:4.7.0-14.fc37 fedora 204 k fontconfig x86_64 2.14.1-2.fc38 fedora 294 k fontforge x86_64 20220308-3.fc37 fedora 6.1 M fonts-filesystem noarch 1:2.0.5-10.fc38 fedora 8.0 k freetype x86_64 2.12.1-3.fc37 fedora 407 k fribidi x86_64 1.0.12-2.fc37 fedora 89 k gc x86_64 8.2.2-1.fc38 fedora 110 k gcc x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 34 M gcc-plugin-annobin x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 29 k gd x86_64 2.3.3-9.fc38 fedora 138 k gdk-pixbuf2 x86_64 2.42.10-1.fc38 fedora 485 k gdk-pixbuf2-modules x86_64 2.42.10-1.fc38 fedora 85 k ghostscript x86_64 9.56.1-5.fc38 fedora 43 k ghostscript-tools-fonts x86_64 9.56.1-5.fc38 fedora 12 k ghostscript-tools-printing x86_64 9.56.1-5.fc38 fedora 12 k giflib x86_64 5.2.1-14.fc37 fedora 51 k glib-networking x86_64 2.74.0-1.fc38 fedora 200 k glib2 x86_64 2.74.1-2.fc38 fedora 2.7 M glibc-devel x86_64 2.36.9000-19.fc38 fedora 47 k glibc-headers-x86 noarch 2.36.9000-19.fc38 fedora 525 k gnutls x86_64 3.7.8-9.fc38 fedora 1.1 M google-droid-sans-fonts noarch 20200215-13.fc37 fedora 2.7 M google-noto-fonts-common noarch 20201206^1.git0c78c8329-9.fc38 fedora 18 k google-noto-sans-vf-fonts noarch 20201206^1.git0c78c8329-9.fc38 fedora 729 k graphene x86_64 1.10.6-4.fc37 fedora 62 k graphite2 x86_64 1.3.14-10.fc37 fedora 95 k groff-base x86_64 1.22.4-10.fc37 fedora 1.1 M gsettings-desktop-schemas x86_64 43.0-1.fc38 fedora 732 k gsm x86_64 1.0.22-1.fc37 fedora 35 k gssdp x86_64 1.6.2-1.fc38 fedora 58 k gstreamer1 x86_64 1.20.4-1.fc38 fedora 1.4 M gstreamer1-plugins-bad-free x86_64 1.20.4-2.fc38 copr_base 2.9 M gstreamer1-plugins-base x86_64 1.20.4-1.fc38 fedora 2.2 M gtk-update-icon-cache x86_64 3.24.35-2.fc38 fedora 34 k gtk3 x86_64 3.24.35-2.fc38 fedora 5.0 M gts x86_64 0.7.6-43.20121130.fc38 fedora 241 k guile22 x86_64 2.2.7-6.fc37 fedora 6.5 M gupnp x86_64 1.6.3-1.fc38 fedora 106 k gupnp-igd x86_64 1.2.0-7.fc38 fedora 34 k harfbuzz x86_64 6.0.0-1.fc38 fedora 819 k harfbuzz-icu x86_64 6.0.0-1.fc38 fedora 16 k hicolor-icon-theme noarch 0.17-14.fc37 fedora 66 k highway x86_64 1.0.2-1.fc38 fedora 382 k hunspell x86_64 1.7.1-1.fc38 fedora 357 k hunspell-en-US noarch 0.20201207-2.fc38 fedora 181 k hunspell-filesystem x86_64 1.7.1-1.fc38 fedora 8.9 k hwdata noarch 0.365-1.fc38 fedora 1.5 M hyphen x86_64 2.8.8-18.fc37 fedora 29 k imath x86_64 3.1.6-1.fc38 fedora 98 k iso-codes noarch 4.12.0-2.fc38 fedora 3.5 M jasper-libs x86_64 3.0.6-1.fc37 fedora 162 k javascriptcoregtk4.0 x86_64 2.39.3-3.fc38 fedora 7.6 M jbig2dec-libs x86_64 0.19-7.fc37 fedora 73 k jbigkit-libs x86_64 2.1-24.fc37 fedora 53 k json-glib x86_64 1.6.6-3.fc37 fedora 162 k kernel-headers x86_64 6.1.0-1.fc38 fedora 1.5 M lame-libs x86_64 3.100-13.fc37 fedora 335 k langpacks-core-font-en noarch 3.0-27.fc38 fedora 9.9 k lasi x86_64 1.1.3-9.fc37 fedora 54 k lcms2 x86_64 2.14-1.fc38 fedora 176 k less x86_64 608-1.fc38 fedora 167 k libEMF x86_64 1.0.13-6.fc37 fedora 90 k libICE x86_64 1.0.10-9.fc37 fedora 71 k libRmath x86_64 4.2.2-5.fc38 fedora 123 k libSM x86_64 1.2.3-11.fc37 fedora 42 k libX11 x86_64 1.8.1-2.fc38 fedora 648 k libX11-common noarch 1.8.1-2.fc38 fedora 182 k libX11-xcb x86_64 1.8.1-2.fc38 fedora 12 k libXau x86_64 1.0.11-1.fc38 fedora 31 k libXaw x86_64 1.0.14-3.fc37 fedora 203 k libXcomposite x86_64 0.4.5-8.fc37 fedora 23 k libXcursor x86_64 1.2.1-2.fc37 fedora 30 k libXdamage x86_64 1.1.5-8.fc37 fedora 22 k libXext x86_64 1.3.5-1.fc38 fedora 39 k libXfixes x86_64 6.0.0-4.fc37 fedora 19 k libXft x86_64 2.3.6-1.fc38 fedora 71 k libXi x86_64 1.8-3.fc37 fedora 39 k libXinerama x86_64 1.1.5-1.fc38 fedora 14 k libXmu x86_64 1.1.4-1.fc38 fedora 76 k libXpm x86_64 3.5.13-8.fc37 fedora 58 k libXrandr x86_64 1.5.2-9.fc37 fedora 27 k libXrender x86_64 0.9.11-1.fc38 fedora 27 k libXt x86_64 1.2.1-3.fc37 fedora 180 k libXtst x86_64 1.2.4-1.fc38 fedora 20 k libXv x86_64 1.0.11-17.fc37 fedora 18 k libXxf86vm x86_64 1.1.5-1.fc38 copr_base 18 k libaom x86_64 3.5.0-2.fc38 fedora 1.8 M libatomic x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 19 k libavif x86_64 0.11.1-4.fc38 fedora 83 k libb2 x86_64 0.98.1-7.fc37 fedora 25 k libcloudproviders x86_64 0.3.1-6.fc37 fedora 44 k libdatrie x86_64 0.2.13-4.fc37 fedora 32 k libdav1d x86_64 1.0.0-2.fc37 fedora 584 k libdrm x86_64 2.4.114-1.fc38 fedora 159 k libdvdnav x86_64 6.1.1-4.fc37 fedora 55 k libdvdread x86_64 6.1.3-2.fc37 fedora 72 k libepoxy x86_64 1.5.10-2.fc37 fedora 242 k libevdev x86_64 1.13.0-1.fc37 fedora 44 k libfontenc x86_64 1.1.6-1.fc38 fedora 32 k libgcrypt x86_64 1.10.1-6.fc38 fedora 511 k libgee x86_64 0.20.6-1.fc38 fedora 279 k libgfortran x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 890 k libglvnd x86_64 1:1.6.0-1.fc38 fedora 134 k libglvnd-egl x86_64 1:1.6.0-1.fc38 fedora 36 k libglvnd-glx x86_64 1:1.6.0-1.fc38 fedora 140 k libgpg-error x86_64 1.46-1.fc38 fedora 227 k libgs x86_64 9.56.1-5.fc38 fedora 3.6 M libgudev x86_64 237-3.fc37 fedora 35 k libgusb x86_64 0.4.3-1.fc38 fedora 61 k libicu x86_64 71.1-2.fc38 fedora 10 M libijs x86_64 0.35-16.fc37 fedora 29 k libimagequant x86_64 2.17.0-3.fc37 fedora 62 k libjpeg-turbo x86_64 2.1.4-1.fc38 fedora 181 k libjxl x86_64 1:0.7.0-5.fc38 fedora 1.0 M liblqr-1 x86_64 0.4.2-20.fc37 fedora 49 k libmanette x86_64 0.2.6-5.fc37 fedora 49 k libmarkdown x86_64 2.2.7-3.fc38 fedora 46 k libmpc x86_64 1.2.1-5.fc37 fedora 61 k libnice x86_64 0.1.19-3.fc38 copr_base 197 k libogg x86_64 2:1.3.5-4.fc37 fedora 33 k libpaper x86_64 1.1.28-5.fc37 fedora 46 k libpciaccess x86_64 0.16-7.fc37 fedora 27 k libpng x86_64 2:1.6.37-13.fc37 fedora 119 k libproxy x86_64 0.4.18-4.fc38 fedora 70 k libquadmath x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 178 k libraqm x86_64 0.8.0-2.fc37 fedora 20 k librsvg2 x86_64 2.55.1-3.fc38 fedora 3.1 M libseccomp x86_64 2.5.3-3.fc37 fedora 70 k libsecret x86_64 0.20.5-2.fc37 fedora 196 k libsndfile x86_64 1.1.0-5.fc38 fedora 212 k libsoup x86_64 2.74.3-1.fc38 fedora 402 k libsoup3 x86_64 3.2.2-1.fc38 fedora 387 k libspiro x86_64 20221101-1.fc38 fedora 45 k libsrtp x86_64 2.3.0-9.fc37 fedora 57 k libstdc++-devel x86_64 13.0.0-0.4.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 2.4 M libstemmer x86_64 2.2.0-4.fc38 fedora 170 k libthai x86_64 0.1.29-3.fc37 fedora 213 k libtheora x86_64 1:1.1.1-32.fc37 fedora 167 k libtiff x86_64 4.4.0-4.fc37 fedora 197 k libtool-ltdl x86_64 2.4.7-3.0.1.fc38 https_jakub_fedorapeople_org_fedora_gcc13_arch 37 k libtracker-sparql x86_64 3.4.2-1.fc38 fedora 358 k libunwind x86_64 1.6.2-5.fc38 copr_base 68 k libusb1 x86_64 1.0.26-1.fc38 fedora 74 k libva x86_64 2.17.0-1.fc38 fedora 104 k libvisual x86_64 1:0.4.0-36.fc37 fedora 142 k libvmaf x86_64 2.3.0-4.fc38 copr_base 181 k libvorbis x86_64 1:1.3.7-6.fc37 fedora 195 k libwayland-client x86_64 1.21.0-1.fc37 fedora 33 k libwayland-cursor x86_64 1.21.0-1.fc37 fedora 19 k libwayland-egl x86_64 1.21.0-1.fc37 fedora 13 k libwayland-server x86_64 1.21.0-1.fc37 fedora 41 k libwebp x86_64 1.2.4-2.fc38 fedora 278 k libwmf-lite x86_64 0.2.12-9.fc37 fedora 73 k libwpe x86_64 1.14.0-1.fc38 fedora 29 k libxcb x86_64 1.13.1-10.fc37 fedora 231 k libxcrypt-devel x86_64 4.4.33-5.fc38 fedora 30 k libxkbcommon x86_64 1.4.1-2.fc37 fedora 140 k libxshmfence x86_64 1.3-11.fc37 fedora 12 k libxslt x86_64 1.1.37-1.fc38 fedora 184 k libzip x86_64 1.9.2-2.fc37 fedora 65 k lilv-libs x86_64 0.24.14-3.fc37 fedora 58 k m4 x86_64 1.4.19-4.fc37 fedora 303 k mailcap noarch 2.1.53-4.fc37 fedora 33 k mesa-filesystem x86_64 22.3.1-1.fc38 fedora 18 k mesa-libEGL x86_64 22.3.1-1.fc38 fedora 131 k mesa-libGL x86_64 22.3.1-1.fc38 fedora 176 k mesa-libgbm x86_64 22.3.1-1.fc38 fedora 45 k mesa-libglapi x86_64 22.3.1-1.fc38 fedora 54 k mkfontscale x86_64 1.2.2-2.fc37 fedora 32 k mpdecimal x86_64 2.5.1-4.fc37 fedora 102 k mpg123-libs x86_64 1.31.1-3.fc38 fedora 341 k ncurses x86_64 6.3-5.20221126.fc38 fedora 412 k ncurses-c++-libs x86_64 6.3-5.20221126.fc38 fedora 37 k ncurses-devel x86_64 6.3-5.20221126.fc38 fedora 549 k netpbm x86_64 11.00.00-2.fc38 fedora 185 k nspr x86_64 4.35.0-2.fc38 fedora 136 k nss x86_64 3.85.0-1.fc38 fedora 693 k nss-softokn x86_64 3.85.0-1.fc38 fedora 1.0 M nss-softokn-freebl x86_64 3.85.0-1.fc38 fedora 324 k nss-sysinit x86_64 3.85.0-1.fc38 fedora 18 k nss-util x86_64 3.85.0-1.fc38 fedora 86 k oldstandard-sfd-fonts noarch 2.0.2-32.fc37 fedora 1.6 M openblas x86_64 0.3.21-4.fc38 copr_base 35 k openblas-openmp x86_64 0.3.21-4.fc38 copr_base 5.0 M openexr-libs x86_64 3.1.5-2.fc37 fedora 1.1 M openjpeg2 x86_64 2.5.0-2.fc37 fedora 178 k opus x86_64 1.3.1-11.fc38 copr_base 206 k orc x86_64 0.4.33-1.fc38 fedora 204 k pango x86_64 1.50.12-1.fc38 fedora 342 k pcre x86_64 8.45-1.fc37.2 fedora 202 k pdfpc x86_64 4.5.0-8.fc37 fedora 204 k perl-Authen-SASL noarch 2.16-28.fc37 fedora 57 k perl-AutoLoader noarch 5.74-492.fc38 fedora 24 k perl-B x86_64 1.83-492.fc38 fedora 184 k perl-B-Hooks-EndOfScope noarch 0.26-4.fc37 fedora 44 k perl-BibTeX-Parser noarch 1.03-6.fc37 fedora 31 k perl-Bit-Vector x86_64 7.4-28.fc38 fedora 167 k perl-Business-ISBN noarch 3.007-4.fc37 fedora 33 k perl-Business-ISBN-Data noarch 20210112.006-6.fc37 fedora 36 k perl-Business-ISMN noarch 1.202-6.fc37 fedora 25 k perl-Business-ISSN noarch 1.005-3.fc37 fedora 18 k perl-Carp noarch 1.52-489.fc37 fedora 29 k perl-Carp-Clan noarch 6.08-13.fc37 fedora 24 k perl-Class-Accessor noarch 0.51-16.fc37 fedora 29 k perl-Class-Data-Inheritable noarch 0.09-4.fc37 fedora 13 k perl-Class-Inspector noarch 1.36-12.fc38 fedora 31 k perl-Class-Method-Modifiers noarch 2.13-13.fc37 fedora 50 k perl-Class-Singleton noarch 1.6-7.fc37 fedora 27 k perl-Class-Struct noarch 0.66-492.fc38 fedora 25 k perl-Clone x86_64 0.46-1.fc38 fedora 22 k perl-Compress-Raw-Bzip2 x86_64 2.201-2.fc37 fedora 34 k perl-Compress-Raw-Zlib x86_64 2.202-4.fc38 fedora 63 k perl-Convert-ASN1 noarch 0.33-4.fc37 fedora 60 k perl-Crypt-RC4 noarch 2.02-33.fc37 fedora 14 k perl-Data-Compare noarch 1.27-10.fc37 fedora 35 k perl-Data-Dump noarch 1.25-5.fc37 fedora 33 k perl-Data-Dumper x86_64 2.184-490.fc37 fedora 56 k perl-Data-OptList noarch 0.112-5.fc37 fedora 27 k perl-Data-Uniqid noarch 0.12-29.fc37 fedora 12 k perl-Date-Calc noarch 6.4-23.fc37 fedora 206 k perl-Date-ISO8601 noarch 0.005-16.fc37 fedora 20 k perl-Date-Manip noarch 6.90-1.fc38 fedora 1.1 M perl-DateTime x86_64 2:1.59-1.fc38 fedora 134 k perl-DateTime-Calendar-Julian noarch 0.107-3.fc37 fedora 24 k perl-DateTime-Format-Builder noarch 0.8300-8.fc37 fedora 95 k perl-DateTime-Format-Strptime noarch 1:1.79-6.fc37 fedora 42 k perl-DateTime-Locale noarch 1.37-1.fc38 fedora 3.4 M perl-DateTime-TimeZone noarch 2.57-1.fc38 fedora 391 k perl-DateTime-TimeZone-SystemV noarch 0.010-17.fc37 fedora 24 k perl-DateTime-TimeZone-Tzfile noarch 0.011-17.fc37 fedora 20 k perl-Devel-CallChecker x86_64 0.008-18.fc38 fedora 22 k perl-Devel-Caller x86_64 2.06-29.fc37 fedora 19 k perl-Devel-GlobalDestruction noarch 0.14-19.fc37 fedora 17 k perl-Devel-LexAlias x86_64 0.05-30.fc37 fedora 16 k perl-Devel-StackTrace noarch 1:2.04-14.fc38 fedora 30 k perl-Digest noarch 1.20-489.fc37 fedora 26 k perl-Digest-HMAC noarch 1.04-6.fc37 fedora 23 k perl-Digest-MD4 x86_64 1.9-32.fc37 fedora 36 k perl-Digest-MD5 x86_64 2.58-489.fc37 fedora 36 k perl-Digest-Perl-MD5 noarch 1.9-27.fc37 fedora 18 k perl-Digest-SHA x86_64 1:6.03-1.fc37 fedora 62 k perl-Digest-SHA1 x86_64 2.13-37.fc37 fedora 52 k perl-Dist-CheckConflicts noarch 0.11-26.fc37 fedora 23 k perl-DynaLoader x86_64 1.52-492.fc38 fedora 29 k perl-DynaLoader-Functions noarch 0.003-17.fc38 fedora 18 k perl-Email-Date-Format noarch 1.005-23.fc37 fedora 18 k perl-Encode x86_64 4:3.19-492.fc38 fedora 1.7 M perl-Encode-Locale noarch 1.05-25.fc38 fedora 18 k perl-English noarch 1.11-492.fc38 fedora 17 k perl-Errno x86_64 1.36-492.fc38 fedora 18 k perl-Eval-Closure noarch 0.14-19.fc37 fedora 24 k perl-Exception-Class noarch 1.45-6.fc37 fedora 44 k perl-Exporter noarch 5.77-489.fc37 fedora 31 k perl-ExtUtils-MM-Utils noarch 2:7.64-490.fc37 fedora 12 k perl-Fcntl x86_64 1.15-492.fc38 fedora 24 k perl-File-Basename noarch 2.85-492.fc38 fedora 20 k perl-File-Compare noarch 1.100.700-492.fc38 fedora 16 k perl-File-Copy noarch 2.39-492.fc38 fedora 23 k perl-File-Find noarch 1.40-492.fc38 fedora 28 k perl-File-Find-Rule noarch 0.34-24.fc38 fedora 32 k perl-File-Listing noarch 6.15-4.fc38 fedora 24 k perl-File-Path noarch 2.18-489.fc37 fedora 35 k perl-File-ShareDir noarch 1.118-7.fc37 fedora 30 k perl-File-Slurper noarch 0.014-1.fc38 fedora 21 k perl-File-Temp noarch 1:0.231.100-489.fc37 fedora 59 k perl-File-Which noarch 1.27-6.fc37 fedora 22 k perl-File-stat noarch 1.12-492.fc38 fedora 20 k perl-FileHandle noarch 2.03-492.fc38 fedora 19 k perl-Filter x86_64 2:1.64-1.fc38 fedora 89 k perl-FindBin noarch 1.53-492.fc38 fedora 17 k perl-GSSAPI x86_64 0.28-40.fc37 fedora 56 k perl-Getopt-Long noarch 1:2.54-1.fc38 fedora 60 k perl-Getopt-Std noarch 1.13-492.fc38 fedora 19 k perl-HTML-Parser x86_64 3.80-1.fc38 fedora 126 k perl-HTML-Tagset noarch 3.20-52.fc37 fedora 19 k perl-HTTP-Cookies noarch 6.10-8.fc38 fedora 37 k perl-HTTP-Date noarch 6.05-11.fc38 fedora 23 k perl-HTTP-Message noarch 6.44-1.fc38 fedora 104 k perl-HTTP-Negotiate noarch 6.01-34.fc38 fedora 19 k perl-HTTP-Tiny noarch 0.082-1.fc37 fedora 55 k perl-Hash-Util-FieldHash x86_64 1.26-492.fc38 fedora 41 k perl-I18N-LangTags noarch 0.45-492.fc38 fedora 57 k perl-I18N-Langinfo x86_64 0.21-492.fc38 fedora 26 k perl-IO x86_64 1.50-492.fc38 fedora 95 k perl-IO-Compress noarch 2.201-3.fc37 fedora 273 k perl-IO-HTML noarch 1.004-9.fc38 fedora 28 k perl-IO-Socket-IP noarch 0.41-490.fc37 fedora 42 k perl-IO-Socket-SSL noarch 2.078-1.fc38 fedora 224 k perl-IO-String noarch 1.08-46.fc37 fedora 17 k perl-IO-stringy noarch 2.113-10.fc37 fedora 68 k perl-IPC-Cmd noarch 2:1.04-490.fc37 fedora 40 k perl-IPC-Open3 noarch 1.22-492.fc38 fedora 26 k perl-IPC-Run3 noarch 0.048-27.fc38 fedora 39 k perl-IPC-SysV x86_64 2.09-490.fc37 fedora 42 k perl-IPC-System-Simple noarch 1.30-9.fc37 fedora 39 k perl-JSON noarch 4.10-1.fc38 fedora 97 k perl-Jcode noarch 2.07-39.fc37 fedora 38 k perl-LDAP noarch 1:0.68-8.fc37 fedora 400 k perl-LWP-MediaTypes noarch 6.04-13.fc38 fedora 33 k perl-LWP-Protocol-https noarch 6.10-8.fc38 fedora 21 k perl-LaTeX-ToUnicode noarch 0.11-7.fc37 fedora 26 k perl-Lingua-Translit noarch 0.29-2.fc37 fedora 34 k perl-List-AllUtils noarch 0.19-8.fc38 fedora 49 k perl-List-SomeUtils noarch 0.59-1.fc38 fedora 45 k perl-List-UtilsBy noarch 0.12-5.fc38 fedora 28 k perl-Locale-Maketext noarch 1.32-1.fc38 fedora 95 k perl-Locale-Maketext-Simple noarch 1:0.21-492.fc38 fedora 21 k perl-Log-Dispatch noarch 2.70-9.fc38 fedora 85 k perl-Log-Dispatch-FileRotate noarch 1.38-5.fc37 fedora 33 k perl-Log-Log4perl noarch 1.57-1.fc38 fedora 372 k perl-MIME-Base64 x86_64 3.16-489.fc37 fedora 30 k perl-MIME-Charset noarch 1.013.1-1.fc38 fedora 49 k perl-MIME-Lite noarch 3.033-5.fc37 fedora 95 k perl-MIME-Types noarch 2.24-1.fc38 fedora 70 k perl-MRO-Compat noarch 0.15-4.fc37 fedora 26 k perl-Mail-Sender noarch 1:0.903-19.fc37 fedora 51 k perl-Mail-Sendmail noarch 0.80-16.fc37 fedora 36 k perl-MailTools noarch 2.21-12.fc37 fedora 111 k perl-Math-BigInt noarch 1:1.9998.37-2.fc37 fedora 206 k perl-Math-BigRat noarch 0.2624-2.fc37 fedora 42 k perl-Math-Complex noarch 1.59-492.fc38 fedora 50 k perl-Module-CoreList noarch 1:5.20221220-1.fc38 fedora 84 k perl-Module-Implementation noarch 0.09-35.fc37 fedora 19 k perl-Module-Load noarch 1:0.36-489.fc37 fedora 17 k perl-Module-Load-Conditional noarch 0.74-489.fc37 fedora 22 k perl-Module-Metadata noarch 1.000037-489.fc37 fedora 35 k perl-Module-Runtime noarch 0.016-18.fc38 fedora 23 k perl-Mozilla-CA noarch 20221114-1.fc38 fedora 13 k perl-NTLM noarch 1.09-33.fc37 fedora 22 k perl-Net-HTTP noarch 6.22-4.fc38 fedora 39 k perl-Net-SMTP-SSL noarch 1.04-19.fc37 fedora 12 k perl-Net-SSLeay x86_64 1.92-4.fc37 fedora 362 k perl-Number-Compare noarch 0.03-34.fc38 fedora 12 k perl-OLE-Storage_Lite noarch 0.20-10.fc37 fedora 29 k perl-Opcode x86_64 1.57-492.fc38 fedora 40 k perl-POSIX x86_64 2.03-492.fc38 fedora 101 k perl-Package-Generator noarch 1.106-26.fc37 fedora 23 k perl-Package-Stash noarch 0.40-3.fc37 fedora 33 k perl-Package-Stash-XS x86_64 0.30-2.fc37 fedora 36 k perl-PadWalker x86_64 2.5-7.fc37 fedora 27 k perl-Params-Check noarch 1:0.38-489.fc37 fedora 22 k perl-Params-Classify x86_64 0.015-17.fc37 fedora 32 k perl-Params-Util x86_64 1.102-9.fc38 fedora 33 k perl-Params-Validate x86_64 1.31-2.fc38 fedora 69 k perl-Params-ValidationCompiler noarch 0.30-17.fc37 fedora 38 k perl-Parse-RecDescent noarch 1.967015-18.fc37 fedora 209 k perl-PathTools x86_64 3.84-489.fc37 fedora 89 k perl-Pod-Escapes noarch 1:1.07-489.fc37 fedora 20 k perl-Pod-Html noarch 1.33-492.fc38 fedora 34 k perl-Pod-Perldoc noarch 3.28.01-490.fc37 fedora 90 k perl-Pod-Simple noarch 1:3.43-490.fc37 fedora 225 k perl-Pod-Usage noarch 4:2.03-3.fc37 fedora 40 k perl-Ref-Util noarch 0.204-15.fc37 fedora 24 k perl-Ref-Util-XS x86_64 0.117-16.fc37 fedora 23 k perl-Regexp-Common noarch 2017060201-20.fc38 fedora 184 k perl-Role-Tiny noarch 2.002004-7.fc37 fedora 33 k perl-Safe noarch 2.43-492.fc38 fedora 28 k perl-Scalar-List-Utils x86_64 5:1.63-489.fc38 fedora 72 k perl-SelectSaver noarch 1.02-492.fc38 fedora 15 k perl-Socket x86_64 4:2.036-1.fc38 fedora 55 k perl-Sort-Key x86_64 1.33-25.fc37 fedora 47 k perl-Specio noarch 0.48-2.fc37 fedora 166 k perl-Spreadsheet-ParseExcel x86_64 0.6500-33.fc37 fedora 161 k perl-Spreadsheet-WriteExcel noarch 2.40-26.fc37 fedora 539 k perl-Storable x86_64 1:3.26-489.fc37 fedora 97 k perl-Sub-Exporter noarch 0.988-5.fc37 fedora 78 k perl-Sub-Exporter-Progressive noarch 0.001013-19.fc37 fedora 21 k perl-Sub-Identify x86_64 0.14-20.fc37 fedora 28 k perl-Sub-Install noarch 0.928-33.fc37 fedora 22 k perl-Symbol noarch 1.09-492.fc38 fedora 17 k perl-Sys-Hostname x86_64 1.24-492.fc38 fedora 20 k perl-Sys-Syslog x86_64 0.36-490.fc37 fedora 47 k perl-Term-ANSIColor noarch 5.01-490.fc37 fedora 48 k perl-Term-Cap noarch 1.17-489.fc37 fedora 22 k perl-Text-Balanced noarch 2.06-2.fc37 fedora 49 k perl-Text-BibTeX x86_64 0.88-12.fc37 fedora 271 k perl-Text-CSV noarch 2.02-1.fc38 fedora 115 k perl-Text-CSV_XS x86_64 1.48-3.fc37 fedora 136 k perl-Text-Glob noarch 0.11-19.fc38 fedora 13 k perl-Text-ParseWords noarch 3.31-489.fc37 fedora 16 k perl-Text-Roman noarch 3.5-23.fc37 fedora 23 k perl-Text-Soundex x86_64 3.05-24.fc38 fedora 30 k perl-Text-Tabs+Wrap noarch 2021.0814-489.fc37 fedora 22 k perl-Text-Unidecode noarch 1.30-19.fc37 fedora 181 k perl-Tie noarch 4.6-492.fc38 fedora 35 k perl-Tie-Cycle noarch 1.227-4.fc37 fedora 19 k perl-Tie-RefHash noarch 1.40-489.fc37 fedora 23 k perl-Time-HiRes x86_64 4:1.9770-489.fc37 fedora 57 k perl-Time-Local noarch 2:1.300-489.fc37 fedora 33 k perl-TimeDate noarch 1:2.33-9.fc37 fedora 60 k perl-Try-Tiny noarch 0.31-4.fc37 fedora 42 k perl-UNIVERSAL-isa noarch 1.20171012-15.fc37 fedora 21 k perl-URI noarch 5.17-1.fc38 fedora 123 k perl-Unicode-Collate x86_64 1.31-489.fc37 fedora 750 k perl-Unicode-LineBreak x86_64 2019.001-14.fc37 fedora 121 k perl-Unicode-Map x86_64 0.112-58.fc37 fedora 242 k perl-Unicode-Normalize x86_64 1.31-489.fc37 fedora 94 k perl-Unicode-UCD noarch 0.78-492.fc38 fedora 82 k perl-Variable-Magic x86_64 0.63-1.fc38 fedora 54 k perl-WWW-RobotRules noarch 6.02-35.fc38 fedora 20 k perl-XML-LibXML x86_64 1:2.0208-1.fc38 fedora 361 k perl-XML-LibXML-Simple noarch 1.01-10.fc37 fedora 33 k perl-XML-LibXSLT x86_64 2.002.000-3.fc37 fedora 69 k perl-XML-NamespaceSupport noarch 1.12-18.fc37 fedora 26 k perl-XML-Parser x86_64 2.46-12.fc37 fedora 237 k perl-XML-SAX noarch 1.02-11.fc37 fedora 64 k perl-XML-SAX-Base noarch 1.09-18.fc37 fedora 32 k perl-XML-Writer noarch 0.900-9.fc38 fedora 34 k perl-XML-XPath noarch 1.48-2.fc38 fedora 91 k perl-XString x86_64 0.005-7.fc37 fedora 23 k perl-autodie noarch 2.34-490.fc37 fedora 99 k perl-autouse noarch 1.11-492.fc38 fedora 17 k perl-autovivification x86_64 0.18-17.fc37 fedora 33 k perl-base noarch 2.27-492.fc38 fedora 19 k perl-constant noarch 1.33-490.fc37 fedora 23 k perl-deprecate noarch 0.04-492.fc38 fedora 18 k perl-encoding x86_64 4:3.00-492.fc38 fedora 63 k perl-if noarch 0.61.000-492.fc38 fedora 17 k perl-interpreter x86_64 4:5.36.0-492.fc38 fedora 75 k perl-lib x86_64 0.65-492.fc38 fedora 18 k perl-libnet noarch 3.14-490.fc37 fedora 132 k perl-libs x86_64 4:5.36.0-492.fc38 fedora 2.2 M perl-libwww-perl noarch 6.67-3.fc38 fedora 212 k perl-locale noarch 1.10-492.fc38 fedora 17 k perl-meta-notation noarch 5.36.0-492.fc38 fedora 13 k perl-mro x86_64 1.26-492.fc38 fedora 32 k perl-namespace-autoclean noarch 0.29-13.fc37 fedora 26 k perl-namespace-clean noarch 0.27-21.fc37 fedora 35 k perl-open noarch 1.13-492.fc38 fedora 20 k perl-overload noarch 1.35-492.fc38 fedora 49 k perl-overloading noarch 0.02-492.fc38 fedora 16 k perl-parent noarch 1:0.239-1.fc38 fedora 14 k perl-podlators noarch 1:5.00-1.fc38 fedora 127 k perl-sigtrap noarch 1.10-492.fc38 fedora 19 k perl-subs noarch 1.04-492.fc38 fedora 15 k perl-vars noarch 1.05-492.fc38 fedora 16 k perl-version x86_64 8:0.99.29-490.fc37 fedora 63 k perltidy noarch 20221112-1.fc38 fedora 673 k pixman x86_64 0.40.0-6.fc37 fedora 277 k plotutils x86_64 2.6-29.fc37 fedora 1.2 M poppler x86_64 22.08.0-4.fc38 fedora 1.2 M poppler-data noarch 0.4.11-3.fc37 fedora 2.0 M poppler-glib x86_64 22.08.0-4.fc38 fedora 176 k pstoedit x86_64 3.78-5.fc37 fedora 817 k python-pip-wheel noarch 22.3.1-1.fc38 fedora 1.4 M python-setuptools-wheel noarch 65.5.1-1.fc38 fedora 715 k python-unversioned-command noarch 3.11.1-1.fc38 fedora 10 k python3-libs x86_64 3.11.1-1.fc38 fedora 9.6 M python3-pygments noarch 2.13.0-1.fc38 fedora 2.4 M rav1e-libs x86_64 0.5.1-5.fc37 fedora 910 k ruby x86_64 3.1.3-175.fc38 copr_base 42 k ruby-libs x86_64 3.1.3-175.fc38 copr_base 3.2 M rubypick noarch 1.1.1-17.fc37 fedora 9.9 k serd x86_64 0.30.12-2.fc37 fedora 61 k shared-mime-info x86_64 2.2-2.fc37 fedora 381 k sombok x86_64 2.4.0-17.fc37 fedora 47 k sord x86_64 0.16.10-1.fc37 fedora 46 k soundtouch x86_64 2.3.1-3.fc37 fedora 94 k sratom x86_64 0.6.10-2.fc37 fedora 26 k svt-av1-libs x86_64 1.4.1-1.fc38 copr_base 2.0 M tcl x86_64 1:8.6.12-3.fc38 fedora 1.1 M teckit x86_64 2.5.9-9.fc37 fedora 433 k tex-preview noarch 13.1-2.fc37 fedora 329 k texlive-12many noarch 9:svn15878.0.3-61.fc38 fedora 17 k texlive-2up noarch 9:svn55076-61.fc38 fedora 15 k texlive-ESIEEcv noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-GS1 noarch 9:svn44822-61.fc38 fedora 21 k texlive-HA-prosper noarch 9:svn15878.4.21-61.fc38 fedora 51 k texlive-IEEEconf noarch 9:svn15878.1.4-61.fc38 fedora 17 k texlive-IEEEtran noarch 9:svn51065-61.fc38 fedora 108 k texlive-SIstyle noarch 9:svn54080-61.fc38 fedora 18 k texlive-SIunits noarch 9:svn15878.1.36-61.fc38 fedora 24 k texlive-Tabbing noarch 9:svn17022.0-61.fc38 fedora 16 k texlive-a0poster noarch 9:svn54071-61.fc38 fedora 134 k texlive-a4wide noarch 9:svn20943.0-61.fc38 fedora 16 k texlive-a5comb noarch 9:svn17020.4-61.fc38 fedora 13 k texlive-aaai-named noarch 9:svn52470-61.fc38 fedora 16 k texlive-aastex noarch 9:svn58057-61.fc38 fedora 65 k texlive-abnt noarch 9:svn55471-61.fc38 fedora 137 k texlive-abntex2 noarch 9:svn49248-61.fc38 fedora 48 k texlive-abraces noarch 9:svn58761-61.fc38 fedora 18 k texlive-abstract noarch 9:svn15878.1.2a-61.fc38 fedora 17 k texlive-academicons noarch 9:svn56119-61.fc38 fedora 52 k texlive-accanthis noarch 9:svn32089.0-61.fc38 fedora 428 k texlive-accents noarch 9:svn51497-61.fc38 fedora 244 k texlive-accessibility noarch 9:svn55777-61.fc38 fedora 532 k texlive-accsupp noarch 9:svn53052-61.fc38 fedora 337 k texlive-achemso noarch 9:svn57479-61.fc38 fedora 62 k texlive-acmart noarch 9:svn58893-61.fc38 fedora 57 k texlive-acmconf noarch 9:svn15878.1.3-61.fc38 fedora 19 k texlive-acro noarch 9:svn57447-61.fc38 fedora 61 k texlive-acronym noarch 9:svn54758-61.fc38 fedora 22 k texlive-acroterm noarch 9:svn20498.0.1-61.fc38 fedora 19 k texlive-active-conf noarch 9:svn15878.0.3a-61.fc38 fedora 19 k texlive-actuarialangle noarch 9:svn51376-61.fc38 fedora 14 k texlive-actuarialsymbol noarch 9:svn54080-61.fc38 fedora 295 k texlive-addfont noarch 9:svn58559-61.fc38 fedora 64 k texlive-addlines noarch 9:svn49326-61.fc38 fedora 16 k texlive-adfathesis noarch 9:svn26048.2.42-61.fc38 fedora 22 k texlive-adforn noarch 9:svn54512-61.fc38 fedora 52 k texlive-adfsymbols noarch 9:svn54512-61.fc38 fedora 44 k texlive-adigraph noarch 9:svn49862-61.fc38 fedora 488 k texlive-adjmulticol noarch 9:svn54157-61.fc38 fedora 20 k texlive-adjustbox noarch 9:svn56291-61.fc38 fedora 32 k texlive-adobemapping noarch 9:svn51787-61.fc38 fedora 2.9 M texlive-adrconv noarch 9:svn46817-61.fc38 fedora 18 k texlive-advdate noarch 9:svn20538.0-61.fc38 fedora 19 k texlive-ae noarch 9:svn15878.1.4-61.fc38 fedora 116 k texlive-aeguill noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-aesupp noarch 9:svn58253-61.fc38 fedora 3.0 M texlive-afparticle noarch 9:svn35900.1.3-61.fc38 fedora 21 k texlive-afthesis noarch 9:svn15878.2.7-61.fc38 fedora 25 k texlive-aguplus noarch 9:svn17156.1.6b-61.fc38 fedora 44 k texlive-aiaa noarch 9:svn15878.3.6-61.fc38 fedora 24 k texlive-aichej noarch 9:svn15878.0-61.fc38 fedora 21 k texlive-ajl noarch 9:svn34016.0-61.fc38 fedora 20 k texlive-akktex noarch 9:svn26055.0.3.2-61.fc38 fedora 39 k texlive-akletter noarch 9:svn15878.1.5i-61.fc38 fedora 25 k texlive-alegreya noarch 9:svn54512-61.fc38 fedora 24 M texlive-alertmessage noarch 9:svn38055.1.1-61.fc38 fedora 25 k texlive-alg noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-algobox noarch 9:svn52204-61.fc38 fedora 138 k texlive-algolrevived noarch 9:svn56864-61.fc38 fedora 1.0 M texlive-algorithm2e noarch 9:svn44846-61.fc38 fedora 47 k texlive-algorithmicx noarch 9:svn15878.0-61.fc38 fedora 23 k texlive-algorithms noarch 9:svn42428-61.fc38 fedora 24 k texlive-algpseudocodex noarch 9:svn56125-61.fc38 fedora 348 k texlive-algxpar noarch 9:svn56006-61.fc38 fedora 190 k texlive-aligned-overset noarch 9:svn47290-61.fc38 fedora 386 k texlive-allrunes noarch 9:svn42221-61.fc38 fedora 7.7 M texlive-almendra noarch 9:svn56035-61.fc38 fedora 388 k texlive-almfixed noarch 9:svn35065.0.92-61.fc38 fedora 287 k texlive-alnumsec noarch 9:svn15878.v0.03-61.fc38 fedora 17 k texlive-alphalph noarch 9:svn53087-61.fc38 fedora 361 k texlive-altfont noarch 9:svn15878.1.1-61.fc38 fedora 20 k texlive-ametsoc noarch 9:svn36030.4.3.2-61.fc38 fedora 31 k texlive-amsaddr noarch 9:svn29630.1.1-61.fc38 fedora 16 k texlive-amscdx noarch 9:svn51532-61.fc38 fedora 225 k texlive-amscls noarch 9:svn55378-61.fc38 fedora 1.1 M texlive-amsfonts noarch 9:svn29208.3.04-61.fc38 fedora 3.7 M texlive-amsmath noarch 9:svn56514-61.fc38 fedora 47 k texlive-amsrefs noarch 9:svn30646.2.14-61.fc38 fedora 47 k texlive-amstex noarch 9:20210325-52.fc38 fedora 426 k texlive-animate noarch 9:svn56583-61.fc38 fedora 38 k texlive-annotate noarch 9:svn52824-61.fc38 fedora 16 k texlive-anonchap noarch 9:svn17049.1.1a-61.fc38 fedora 16 k texlive-anonymous-acm noarch 9:svn55121-61.fc38 fedora 390 k texlive-anonymouspro noarch 9:svn51631-61.fc38 fedora 463 k texlive-answers noarch 9:svn35032.2.16-61.fc38 fedora 17 k texlive-antiqua noarch 9:svn24266.001.003-61.fc38 fedora 91 k texlive-antt noarch 9:svn18651.2.08-61.fc38 fedora 4.8 M texlive-anufinalexam-doc noarch 9:svn26053.0-61.fc38 fedora 18 k texlive-anyfontsize noarch 9:svn17050.0-61.fc38 fedora 17 k texlive-anysize noarch 9:svn15878.0-61.fc38 fedora 13 k texlive-aobs-tikz noarch 9:svn32662.1.0-61.fc38 fedora 19 k texlive-aomart noarch 9:svn58855-61.fc38 fedora 31 k texlive-apa noarch 9:svn54080-61.fc38 fedora 28 k texlive-apa6 noarch 9:svn53406-61.fc38 fedora 33 k texlive-apa6e noarch 9:svn23350.0.3-61.fc38 fedora 14 k texlive-apa7 noarch 9:svn58835-61.fc38 fedora 747 k texlive-apacite noarch 9:svn54080-61.fc38 fedora 59 k texlive-apalike2 noarch 9:svn54080-61.fc38 fedora 17 k texlive-appendix noarch 9:svn53718-61.fc38 fedora 18 k texlive-appendixnumberbeamer noarch 9:svn46317-61.fc38 fedora 24 k texlive-apptools noarch 9:svn28400.1.0-61.fc38 fedora 18 k texlive-apxproof noarch 9:svn56673-61.fc38 fedora 568 k texlive-arabicfront noarch 9:svn51474-61.fc38 fedora 13 k texlive-archaeologie noarch 9:svn57090-61.fc38 fedora 94 k texlive-archaic noarch 9:svn38005.0-61.fc38 fedora 416 k texlive-arcs noarch 9:svn15878.1-61.fc38 fedora 16 k texlive-arev noarch 9:svn15878.0-61.fc38 fedora 983 k texlive-arimo noarch 9:svn42880-61.fc38 fedora 2.9 M texlive-arphic noarch 9:svn15878.0-61.fc38 fedora 27 M texlive-arraycols noarch 9:svn56997-61.fc38 fedora 464 k texlive-arrayjobx noarch 9:svn18125.1.04-61.fc38 fedora 18 k texlive-arraysort noarch 9:svn31576.1.0-61.fc38 fedora 18 k texlive-arsclassica noarch 9:svn45656-61.fc38 fedora 17 k texlive-articleingud noarch 9:svn38741-61.fc38 fedora 20 k texlive-arydshln noarch 9:svn50084-61.fc38 fedora 24 k texlive-asaetr noarch 9:svn15878.1.0a-61.fc38 fedora 25 k texlive-asana-math noarch 9:svn50999-61.fc38 fedora 722 k texlive-asapsym noarch 9:svn40201-61.fc38 fedora 69 k texlive-ascelike noarch 9:svn29129.2.3-61.fc38 fedora 28 k texlive-ascii-font noarch 9:svn29989.2.0-61.fc38 fedora 63 k texlive-asciilist noarch 9:svn49060-61.fc38 fedora 19 k texlive-ascmac noarch 9:svn53411-61.fc38 fedora 187 k texlive-askinclude noarch 9:svn54725-61.fc38 fedora 595 k texlive-askmaps noarch 9:svn56730-61.fc38 fedora 18 k texlive-asmeconf noarch 9:svn57833-61.fc38 fedora 1.4 M texlive-asmejour noarch 9:svn57598-61.fc38 fedora 640 k texlive-aspectratio noarch 9:svn25243.2.0-61.fc38 fedora 98 k texlive-assignment noarch 9:svn20431.0-61.fc38 fedora 16 k texlive-assoccnt noarch 9:svn38497-61.fc38 fedora 21 k texlive-association-matrix noarch 9:svn56759-61.fc38 fedora 259 k texlive-astro noarch 9:svn15878.2.20-61.fc38 fedora 29 k texlive-asyfig noarch 9:svn17512.0.1c-61.fc38 fedora 18 k texlive-asypictureb noarch 9:svn33490.0.3-61.fc38 fedora 21 k texlive-atbegshi noarch 9:svn53051-61.fc38 fedora 409 k texlive-atenddvi noarch 9:svn56922-61.fc38 fedora 291 k texlive-atkinson noarch 9:svn57624-61.fc38 fedora 1.0 M texlive-attachfile noarch 9:svn42099-61.fc38 fedora 23 k texlive-attachfile2 noarch 9:20210325-52.fc38 fedora 447 k texlive-atveryend noarch 9:svn53108-61.fc38 fedora 386 k texlive-aucklandthesis noarch 9:svn51323-61.fc38 fedora 23 k texlive-augie noarch 9:svn18948.0-61.fc38 fedora 79 k texlive-auncial-new noarch 9:svn15878.2.0-61.fc38 fedora 213 k texlive-aurical noarch 9:svn15878.1.5-61.fc38 fedora 2.4 M texlive-aurl noarch 9:svn41853-61.fc38 fedora 26 k texlive-authoraftertitle noarch 9:svn55889-61.fc38 fedora 12 k texlive-authorarchive noarch 9:svn54512-61.fc38 fedora 1.2 M texlive-authordate noarch 9:svn52564-61.fc38 fedora 24 k texlive-authorindex noarch 9:20210325-52.fc38 fedora 86 k texlive-auto-pst-pdf noarch 9:svn56596-61.fc38 fedora 17 k texlive-autoarea noarch 9:svn15878.0.3a-61.fc38 fedora 19 k texlive-autobreak noarch 9:svn43337-61.fc38 fedora 549 k texlive-autofancyhdr noarch 9:svn54049-61.fc38 fedora 19 k texlive-autonum noarch 9:svn36084.0.3.11-61.fc38 fedora 21 k texlive-autopdf noarch 9:svn32377.1.1-61.fc38 fedora 21 k texlive-auxhook noarch 9:svn53173-61.fc38 fedora 295 k texlive-avantgar noarch 9:svn31835.0-61.fc38 fedora 323 k texlive-avremu noarch 9:svn35373.0.1-61.fc38 fedora 32 k texlive-axessibility noarch 9:svn57105-61.fc38 fedora 239 k texlive-axodraw2 x86_64 9:20210325-52.fc38 fedora 532 k texlive-b1encoding noarch 9:svn21271.1.0-61.fc38 fedora 23 k texlive-babel noarch 9:svn58999-61.fc38 fedora 444 k texlive-babel-english noarch 9:svn44495-61.fc38 fedora 21 k texlive-babelbib noarch 9:svn57349-61.fc38 fedora 55 k texlive-background noarch 9:svn42428-61.fc38 fedora 17 k texlive-backnaur noarch 9:svn54080-61.fc38 fedora 19 k texlive-bangorcsthesis noarch 9:svn48834-61.fc38 fedora 74 k texlive-bangorexam noarch 9:svn46626-61.fc38 fedora 254 k texlive-bankstatement noarch 9:svn38857-61.fc38 fedora 19 k texlive-barcodes noarch 9:svn15878.0-61.fc38 fedora 23 k texlive-bardiag noarch 9:svn22013.0.4a-61.fc38 fedora 28 k texlive-base x86_64 9:20210325-52.fc38 fedora 2.3 M texlive-bashful noarch 9:svn25597.0.93-61.fc38 fedora 23 k texlive-basicarith noarch 9:svn35460.1.1-61.fc38 fedora 20 k texlive-baskervald noarch 9:svn19490.1.016-61.fc38 fedora 472 k texlive-baskervaldx noarch 9:svn57080-61.fc38 fedora 914 k texlive-baskervillef noarch 9:svn55475-61.fc38 fedora 1.5 M texlive-bath-bst noarch 9:svn57925-61.fc38 fedora 860 k texlive-bbding noarch 9:svn17186.1.01-61.fc38 fedora 28 k texlive-bbm noarch 9:svn15878.0-61.fc38 fedora 73 k texlive-bbm-macros noarch 9:svn17224.0-61.fc38 fedora 17 k texlive-bbold noarch 9:svn17187.1.01-61.fc38 fedora 38 k texlive-bbold-type1 noarch 9:svn33143.0-61.fc38 fedora 81 k texlive-bchart noarch 9:svn43928-61.fc38 fedora 13 k texlive-beamer-FUBerlin-doc noarch 9:svn38159.0.02b-61.fc38 fedora 376 k texlive-beamer-rl noarch 9:svn58513-61.fc38 fedora 225 k texlive-beamer-verona noarch 9:svn39180-61.fc38 fedora 21 k texlive-beamer2thesis noarch 9:svn27539.2.2-61.fc38 fedora 302 k texlive-beamerappendixnote noarch 9:svn55732-61.fc38 fedora 88 k texlive-beameraudience noarch 9:svn23427.0.1-61.fc38 fedora 16 k texlive-beamerauxtheme noarch 9:svn56087-61.fc38 fedora 199 k texlive-beamercolorthemeowl noarch 9:svn40105-61.fc38 fedora 19 k texlive-beamerdarkthemes noarch 9:svn55117-61.fc38 fedora 20 k texlive-beamerposter noarch 9:svn54512-61.fc38 fedora 23 k texlive-beamersubframe noarch 9:svn23510.0.2-61.fc38 fedora 18 k texlive-beamerswitch noarch 9:svn58873-61.fc38 fedora 272 k texlive-beamertheme-cuerna noarch 9:svn42161-61.fc38 fedora 344 k texlive-beamertheme-detlevcm noarch 9:svn39048-61.fc38 fedora 20 k texlive-beamertheme-epyt noarch 9:svn41404-61.fc38 fedora 21 k texlive-beamertheme-focus noarch 9:svn56967-61.fc38 fedora 504 k texlive-beamertheme-light noarch 9:svn49867-61.fc38 fedora 121 k texlive-beamertheme-metropolis noarch 9:svn43031-61.fc38 fedora 20 k texlive-beamertheme-npbt noarch 9:svn54512-61.fc38 fedora 1.8 M texlive-beamertheme-phnompenh noarch 9:svn39100-61.fc38 fedora 12 k texlive-beamertheme-pure-minimalistic noarch 9:svn56934-61.fc38 fedora 376 k texlive-beamertheme-saintpetersburg noarch 9:svn45877-61.fc38 fedora 265 k texlive-beamertheme-trigon noarch 9:svn59004-61.fc38 fedora 565 k texlive-beamertheme-upenn-bc noarch 9:svn29937.1.0-61.fc38 fedora 17 k texlive-beamerthemejltree noarch 9:svn21977.1.1-61.fc38 fedora 18 k texlive-beamerthemelalic noarch 9:svn58777-61.fc38 fedora 233 k texlive-beamerthemenirma noarch 9:svn20765.0.1-61.fc38 fedora 17 k texlive-beamerthemenord noarch 9:svn56180-61.fc38 fedora 2.1 M texlive-bearwear noarch 9:svn54826-61.fc38 fedora 788 k texlive-beaulivre noarch 9:svn59320-61.fc38 fedora 481 k texlive-beebe noarch 9:svn58983-61.fc38 fedora 886 k texlive-begriff noarch 9:svn15878.1.6-61.fc38 fedora 18 k texlive-beilstein noarch 9:svn56193-61.fc38 fedora 679 k texlive-belleek noarch 9:svn18651.0-61.fc38 fedora 97 k texlive-bera noarch 9:svn20031.0-61.fc38 fedora 357 k texlive-berenisadf noarch 9:svn32215.1.004-61.fc38 fedora 1.5 M texlive-besjournals noarch 9:svn45662-61.fc38 fedora 20 k texlive-bestpapers noarch 9:svn38708-61.fc38 fedora 19 k texlive-beton noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-beuron noarch 9:svn46374-61.fc38 fedora 291 k texlive-bewerbung noarch 9:svn56998-61.fc38 fedora 29 k texlive-bez123 noarch 9:svn15878.1.1b-61.fc38 fedora 20 k texlive-bgteubner noarch 9:svn54080-61.fc38 fedora 46 k texlive-bguq noarch 9:svn27401.0.4-61.fc38 fedora 44 k texlive-bhcexam noarch 9:svn39041-61.fc38 fedora 19 k texlive-bib2gls noarch 9:20210325-52.fc38 fedora 6.1 M texlive-bibarts noarch 9:svn54080-61.fc38 fedora 44 k texlive-bibexport noarch 9:20210325-52.fc38 fedora 267 k texlive-bibhtml noarch 9:svn31607.2.0.2-61.fc38 fedora 28 k texlive-biblatex noarch 9:svn63878-61.fc38 fedora 305 k texlive-biblatex-abnt noarch 9:svn49179-61.fc38 fedora 37 k texlive-biblatex-ajc2020unofficial noarch 9:svn54401-61.fc38 fedora 20 k texlive-biblatex-anonymous noarch 9:svn48548-61.fc38 fedora 19 k texlive-biblatex-apa noarch 9:svn56208-61.fc38 fedora 48 k texlive-biblatex-apa6 noarch 9:svn56209-61.fc38 fedora 269 k texlive-biblatex-archaeology noarch 9:svn53281-61.fc38 fedora 4.8 M texlive-biblatex-arthistory-bonn noarch 9:svn46637-61.fc38 fedora 204 k texlive-biblatex-bath noarch 9:svn57924-61.fc38 fedora 378 k texlive-biblatex-bookinarticle noarch 9:svn40323-61.fc38 fedora 19 k texlive-biblatex-bookinother noarch 9:svn54015-61.fc38 fedora 21 k texlive-biblatex-bwl noarch 9:svn26556.0.02-61.fc38 fedora 19 k texlive-biblatex-caspervector noarch 9:svn56837-61.fc38 fedora 23 k texlive-biblatex-chem noarch 9:svn57904-61.fc38 fedora 24 k texlive-biblatex-chicago noarch 9:svn58715-61.fc38 fedora 127 k texlive-biblatex-claves noarch 9:svn43723-61.fc38 fedora 20 k texlive-biblatex-dw noarch 9:svn42649-61.fc38 fedora 32 k texlive-biblatex-enc noarch 9:svn44627-61.fc38 fedora 27 k texlive-biblatex-ext noarch 9:svn58975-61.fc38 fedora 909 k texlive-biblatex-fiwi noarch 9:svn45876-61.fc38 fedora 35 k texlive-biblatex-gb7714-2015 noarch 9:svn59101-61.fc38 fedora 1.6 M texlive-biblatex-german-legal noarch 9:svn56939-61.fc38 fedora 186 k texlive-biblatex-gost noarch 9:svn59135-61.fc38 fedora 54 k texlive-biblatex-historian noarch 9:svn19787.0.4-61.fc38 fedora 39 k texlive-biblatex-ieee noarch 9:svn58716-61.fc38 fedora 23 k texlive-biblatex-ijsra noarch 9:svn41634-61.fc38 fedora 19 k texlive-biblatex-iso690 noarch 9:svn54561-61.fc38 fedora 41 k texlive-biblatex-jura2 noarch 9:svn56133-61.fc38 fedora 382 k texlive-biblatex-juradiss noarch 9:svn56502-61.fc38 fedora 21 k texlive-biblatex-license noarch 9:svn58437-61.fc38 fedora 243 k texlive-biblatex-lni noarch 9:svn49935-61.fc38 fedora 20 k texlive-biblatex-luh-ipw noarch 9:svn32180.0.3-61.fc38 fedora 24 k texlive-biblatex-manuscripts-philology noarch 9:svn53344-61.fc38 fedora 23 k texlive-biblatex-mla noarch 9:svn42445-61.fc38 fedora 31 k texlive-biblatex-morenames noarch 9:svn43049-61.fc38 fedora 20 k texlive-biblatex-multiple-dm noarch 9:svn37081.1.0.1-61.fc38 fedora 19 k texlive-biblatex-musuos noarch 9:svn24097.1.0-61.fc38 fedora 19 k texlive-biblatex-nature noarch 9:svn57262-61.fc38 fedora 18 k texlive-biblatex-nejm noarch 9:svn49839-61.fc38 fedora 19 k texlive-biblatex-nottsclassic noarch 9:svn41596-61.fc38 fedora 21 k texlive-biblatex-opcit-booktitle noarch 9:svn48983-61.fc38 fedora 20 k texlive-biblatex-oxref noarch 9:svn57513-61.fc38 fedora 1.9 M texlive-biblatex-philosophy noarch 9:svn58925-61.fc38 fedora 32 k texlive-biblatex-phys noarch 9:svn55643-61.fc38 fedora 21 k texlive-biblatex-publist noarch 9:svn56392-61.fc38 fedora 26 k texlive-biblatex-realauthor noarch 9:svn45865-61.fc38 fedora 20 k texlive-biblatex-sbl noarch 9:svn56853-61.fc38 fedora 687 k texlive-biblatex-science noarch 9:svn48945-61.fc38 fedora 18 k texlive-biblatex-shortfields noarch 9:svn45858-61.fc38 fedora 101 k texlive-biblatex-socialscienceshuberlin noarch 9:svn47839-61.fc38 fedora 130 k texlive-biblatex-software noarch 9:svn57366-61.fc38 fedora 329 k texlive-biblatex-source-division noarch 9:svn45379-61.fc38 fedora 19 k texlive-biblatex-subseries noarch 9:svn43330-61.fc38 fedora 19 k texlive-biblatex-swiss-legal noarch 9:svn58661-61.fc38 fedora 37 k texlive-biblatex-trad noarch 9:svn58169-61.fc38 fedora 20 k texlive-biblatex-true-citepages-omit noarch 9:svn44653-61.fc38 fedora 19 k texlive-biblatex-unified noarch 9:svn55290-61.fc38 fedora 444 k texlive-biblatex-vancouver noarch 9:svn55339-61.fc38 fedora 25 k texlive-biblatex2bibitem noarch 9:svn54030-61.fc38 fedora 139 k texlive-bibletext noarch 9:svn45196-61.fc38 fedora 13 k texlive-biblist noarch 9:svn17116.0-61.fc38 fedora 24 k texlive-bibtex x86_64 9:20210325-52.fc38 fedora 451 k texlive-bibtexperllibs noarch 9:svn57137-61.fc38 fedora 11 k texlive-bibtopic noarch 9:svn15878.1.1a-61.fc38 fedora 25 k texlive-bibtopicprefix noarch 9:svn15878.1.10-61.fc38 fedora 16 k texlive-bibunits noarch 9:svn15878.2.2-61.fc38 fedora 18 k texlive-bidi noarch 9:svn55193-61.fc38 fedora 175 k texlive-bigfoot noarch 9:svn38248.2.1-61.fc38 fedora 30 k texlive-bigintcalc noarch 9:svn53172-61.fc38 fedora 466 k texlive-bigints noarch 9:svn29803.0-61.fc38 fedora 16 k texlive-binarytree noarch 9:svn41777-61.fc38 fedora 457 k texlive-binomexp noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-biochemistry-colors noarch 9:svn54512-61.fc38 fedora 94 k texlive-biocon noarch 9:svn15878.0-61.fc38 fedora 20 k texlive-biolett-bst noarch 9:svn42217-61.fc38 fedora 21 k texlive-bithesis noarch 9:svn57388-61.fc38 fedora 233 k texlive-bitpattern noarch 9:svn39073-61.fc38 fedora 19 k texlive-bitset noarch 9:svn53837-61.fc38 fedora 621 k texlive-bitter noarch 9:svn56026-61.fc38 fedora 248 k texlive-bizcard noarch 9:svn15878.1.1-61.fc38 fedora 18 k texlive-blacklettert1 noarch 9:svn15878.0-61.fc38 fedora 27 k texlive-blindtext noarch 9:svn25039.2.0-61.fc38 fedora 25 k texlive-blkarray noarch 9:svn36406.0.07-61.fc38 fedora 33 k texlive-blochsphere noarch 9:svn38388-61.fc38 fedora 21 k texlive-block noarch 9:svn17209.0-61.fc38 fedora 13 k texlive-bloques noarch 9:svn22490.1.0-61.fc38 fedora 19 k texlive-blowup noarch 9:svn46213-61.fc38 fedora 329 k texlive-blox noarch 9:svn57949-61.fc38 fedora 17 k texlive-bnumexpr noarch 9:svn49643-61.fc38 fedora 21 k texlive-bodegraph noarch 9:svn20047.1.4-61.fc38 fedora 32 k texlive-bohr noarch 9:svn54512-61.fc38 fedora 21 k texlive-boisik noarch 9:svn15878.0.5-61.fc38 fedora 274 k texlive-boites noarch 9:svn32235.1.1-61.fc38 fedora 20 k texlive-bold-extra noarch 9:svn17076.0.1-61.fc38 fedora 16 k texlive-boldtensors noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-bondgraph noarch 9:svn21670.1.0-61.fc38 fedora 19 k texlive-bondgraphs noarch 9:svn36605.1.0.1-61.fc38 fedora 20 k texlive-bookcover noarch 9:svn57326-61.fc38 fedora 22 k texlive-bookdb noarch 9:svn37536.0.2-61.fc38 fedora 24 k texlive-bookest noarch 9:svn15878.1.1-61.fc38 fedora 19 k texlive-bookhands noarch 9:svn46480-61.fc38 fedora 235 k texlive-booklet noarch 9:svn15878.0.7b-61.fc38 fedora 21 k texlive-bookman noarch 9:svn31835.0-61.fc38 fedora 369 k texlive-bookmark noarch 9:svn56885-61.fc38 fedora 480 k texlive-bookshelf noarch 9:svn55475-61.fc38 fedora 987 k texlive-booktabs noarch 9:svn53402-61.fc38 fedora 18 k texlive-boolexpr noarch 9:svn17830.3.14-61.fc38 fedora 18 k texlive-boondox noarch 9:svn54512-61.fc38 fedora 232 k texlive-bophook noarch 9:svn17062.0.02-61.fc38 fedora 16 k texlive-bosisio noarch 9:svn16989.0-61.fc38 fedora 23 k texlive-boxedminipage noarch 9:svn54827-61.fc38 fedora 346 k texlive-boxhandler noarch 9:svn28031.1.30-61.fc38 fedora 20 k texlive-bpchem noarch 9:svn45120-61.fc38 fedora 18 k texlive-br-lex noarch 9:svn44939-61.fc38 fedora 20 k texlive-bracketkey noarch 9:svn17129.1.0-61.fc38 fedora 19 k texlive-braids noarch 9:svn54080-61.fc38 fedora 24 k texlive-braille noarch 9:svn20655.0-61.fc38 fedora 17 k texlive-braket noarch 9:svn17127.0-61.fc38 fedora 14 k texlive-brandeis-dissertation noarch 9:svn54758-61.fc38 fedora 18 k texlive-brandeis-problemset noarch 9:svn50991-61.fc38 fedora 163 k texlive-brandeis-thesis noarch 9:svn54758-61.fc38 fedora 165 k texlive-breakcites noarch 9:svn21014-61.fc38 fedora 13 k texlive-breakurl noarch 9:svn29901.1.40-61.fc38 fedora 18 k texlive-breqn noarch 9:svn56422-61.fc38 fedora 43 k texlive-bropd noarch 9:svn35383.1.2-61.fc38 fedora 19 k texlive-brushscr noarch 9:svn28363.0-61.fc38 fedora 63 k texlive-bubblesort noarch 9:svn56070-61.fc38 fedora 235 k texlive-buctthesis noarch 9:svn59002-61.fc38 fedora 888 k texlive-bullcntr noarch 9:svn15878.0.04-61.fc38 fedora 20 k texlive-bussproofs noarch 9:svn54080-61.fc38 fedora 26 k texlive-bussproofs-extra noarch 9:svn51299-61.fc38 fedora 238 k texlive-bxcalc noarch 9:svn56431-61.fc38 fedora 299 k texlive-bxdpx-beamer noarch 9:svn41813-61.fc38 fedora 13 k texlive-bxdvidriver noarch 9:svn43219-61.fc38 fedora 15 k texlive-bxeepic noarch 9:svn30559.0.2-61.fc38 fedora 19 k texlive-bxenclose noarch 9:svn40213-61.fc38 fedora 14 k texlive-bxnewfont noarch 9:svn44173-61.fc38 fedora 17 k texlive-bxpapersize noarch 9:svn56491-61.fc38 fedora 17 k texlive-bxpdfver noarch 9:svn57755-61.fc38 fedora 19 k texlive-bxtexlogo noarch 9:svn47230-61.fc38 fedora 431 k texlive-byo-twemojis noarch 9:svn58917-61.fc38 fedora 394 k texlive-bytefield noarch 9:svn56821-61.fc38 fedora 20 k texlive-cabin noarch 9:svn55907-61.fc38 fedora 3.0 M texlive-cachepic noarch 9:20210325-52.fc38 fedora 173 k texlive-caladea noarch 9:svn34991.0-61.fc38 fedora 386 k texlive-calcage noarch 9:svn27725.0.90-61.fc38 fedora 19 k texlive-calctab noarch 9:svn15878.v0.6.1-61.fc38 fedora 19 k texlive-calculation noarch 9:svn35973.1.0-61.fc38 fedora 21 k texlive-calculator noarch 9:svn33041.2.0-61.fc38 fedora 26 k texlive-calligra noarch 9:svn15878.0-61.fc38 fedora 58 k texlive-calligra-type1 noarch 9:svn24302.001.000-61.fc38 fedora 70 k texlive-callouts noarch 9:svn44899-61.fc38 fedora 214 k texlive-calrsfs noarch 9:svn17125.0-61.fc38 fedora 13 k texlive-cals noarch 9:svn43003-61.fc38 fedora 22 k texlive-calxxxx-yyyy noarch 9:svn57282-61.fc38 fedora 24 k texlive-cancel noarch 9:svn32508.2.2-61.fc38 fedora 15 k texlive-canoniclayout noarch 9:svn54758-61.fc38 fedora 19 k texlive-cantarell noarch 9:svn54512-61.fc38 fedora 1.4 M texlive-capt-of noarch 9:svn29803.0-61.fc38 fedora 16 k texlive-captcont noarch 9:svn15878.2.0-61.fc38 fedora 17 k texlive-captdef noarch 9:svn17353.0-61.fc38 fedora 16 k texlive-caption noarch 9:svn56771-61.fc38 fedora 50 k texlive-carbohydrates noarch 9:svn39000-61.fc38 fedora 22 k texlive-carlisle noarch 9:svn56753-61.fc38 fedora 24 k texlive-carlito noarch 9:svn35002.0-61.fc38 fedora 2.8 M texlive-carolmin-ps noarch 9:svn15878.0-61.fc38 fedora 120 k texlive-cascade noarch 9:svn55759-61.fc38 fedora 183 k texlive-cascadia-code noarch 9:svn57478-61.fc38 fedora 1.9 M texlive-cascadilla noarch 9:svn25144.1.8.2-61.fc38 fedora 25 k texlive-cases noarch 9:svn54682-61.fc38 fedora 16 k texlive-casyl noarch 9:svn15878.2.0-61.fc38 fedora 18 k texlive-catchfile noarch 9:svn53084-61.fc38 fedora 308 k texlive-catchfilebetweentags noarch 9:svn21476.1.1-61.fc38 fedora 20 k texlive-catcodes noarch 9:svn38859-61.fc38 fedora 24 k texlive-catechis noarch 9:svn49061-61.fc38 fedora 16 k texlive-catoptions noarch 9:svn35069.0.2.7h-61.fc38 fedora 55 k texlive-causets noarch 9:svn57139-61.fc38 fedora 433 k texlive-cbcoptic noarch 9:svn16666.0.2-61.fc38 fedora 119 k texlive-cbfonts noarch 9:svn54080-61.fc38 fedora 63 M texlive-cbfonts-fd noarch 9:svn54080-61.fc38 fedora 22 k texlive-ccaption noarch 9:svn23443.3.2c-61.fc38 fedora 23 k texlive-ccfonts noarch 9:svn54686-61.fc38 fedora 17 k texlive-ccicons noarch 9:svn54512-61.fc38 fedora 35 k texlive-cclicenses noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-ccool noarch 9:svn56636-61.fc38 fedora 808 k texlive-cd noarch 9:svn34452.1.4-61.fc38 fedora 20 k texlive-cd-cover noarch 9:svn17121.1.0-61.fc38 fedora 20 k texlive-cdpbundl noarch 9:svn46613-61.fc38 fedora 35 k texlive-cell noarch 9:svn42428-61.fc38 fedora 23 k texlive-cellprops noarch 9:svn57599-61.fc38 fedora 572 k texlive-cellspace noarch 9:svn50374-61.fc38 fedora 17 k texlive-celtic noarch 9:svn39797-61.fc38 fedora 21 k texlive-censor noarch 9:svn49168-61.fc38 fedora 19 k texlive-centeredline noarch 9:svn50971-61.fc38 fedora 20 k texlive-cesenaexam noarch 9:svn44960-61.fc38 fedora 520 k texlive-cfr-initials noarch 9:svn36728.1.01-61.fc38 fedora 28 k texlive-cfr-lm noarch 9:svn36195.1.5-61.fc38 fedora 452 k texlive-changebar noarch 9:svn46919-61.fc38 fedora 21 k texlive-changelayout noarch 9:svn16094.1.0-61.fc38 fedora 18 k texlive-changelog noarch 9:svn56338-61.fc38 fedora 186 k texlive-changepage noarch 9:svn15878.1.0c-61.fc38 fedora 20 k texlive-changes noarch 9:svn58773-61.fc38 fedora 30 k texlive-chappg noarch 9:svn15878.2.1b-61.fc38 fedora 16 k texlive-chapterfolder noarch 9:svn15878.2.0.1-61.fc38 fedora 16 k texlive-charissil noarch 9:svn55920-61.fc38 fedora 2.1 M texlive-charter noarch 9:svn15878.0-61.fc38 fedora 207 k texlive-chbibref noarch 9:svn17120.1.0-61.fc38 fedora 16 k texlive-cheatsheet noarch 9:svn45069-61.fc38 fedora 408 k texlive-checkend noarch 9:svn51475-61.fc38 fedora 13 k texlive-chem-journal noarch 9:svn15878.0-61.fc38 fedora 21 k texlive-chemarrow noarch 9:svn17146.0.9-61.fc38 fedora 19 k texlive-chembst noarch 9:svn15878.0.2.5-61.fc38 fedora 22 k texlive-chemcompounds noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-chemcono noarch 9:svn17119.1.3-61.fc38 fedora 23 k texlive-chemexec noarch 9:svn21632.1.0-61.fc38 fedora 25 k texlive-chemfig noarch 9:svn58014-61.fc38 fedora 44 k texlive-chemformula noarch 9:svn57206-61.fc38 fedora 36 k texlive-chemgreek noarch 9:svn53437-61.fc38 fedora 24 k texlive-chemmacros noarch 9:svn56983-61.fc38 fedora 73 k texlive-chemnum noarch 9:svn57490-61.fc38 fedora 29 k texlive-chemplants noarch 9:svn52863-61.fc38 fedora 876 k texlive-chemschemex noarch 9:svn46723-61.fc38 fedora 23 k texlive-chemsec noarch 9:svn46972-61.fc38 fedora 265 k texlive-chemstyle noarch 9:svn31096.2.0m-61.fc38 fedora 25 k texlive-cherokee noarch 9:svn21046.0-61.fc38 fedora 17 k texlive-chessfss noarch 9:svn19440.1.2a-61.fc38 fedora 37 k texlive-chet noarch 9:svn45081-61.fc38 fedora 23 k texlive-chextras noarch 9:svn27118.1.01-61.fc38 fedora 24 k texlive-chicago noarch 9:svn15878.0-61.fc38 fedora 22 k texlive-chicago-annote noarch 9:svn15878.0-61.fc38 fedora 23 k texlive-chicagoa noarch 9:svn52567-61.fc38 fedora 20 k texlive-chifoot noarch 9:svn57312-61.fc38 fedora 19 k texlive-childdoc noarch 9:svn49543-61.fc38 fedora 272 k texlive-chivo noarch 9:svn54512-61.fc38 fedora 2.7 M texlive-chkfloat noarch 9:svn27473.0.1-61.fc38 fedora 19 k texlive-chletter noarch 9:svn20060.2.0-61.fc38 fedora 18 k texlive-chngcntr noarch 9:svn47577-61.fc38 fedora 16 k texlive-chronology noarch 9:svn37934.1.1.1-61.fc38 fedora 19 k texlive-chs-physics-report noarch 9:svn54512-61.fc38 fedora 202 k texlive-chscite noarch 9:svn28552.2.9999-61.fc38 fedora 22 k texlive-cinzel noarch 9:svn54512-61.fc38 fedora 586 k texlive-circ noarch 9:svn15878.1.1-61.fc38 fedora 47 k texlive-circledsteps noarch 9:svn53382-61.fc38 fedora 417 k texlive-circuit-macros noarch 9:svn57308-61.fc38 fedora 2.3 M texlive-circuitikz noarch 9:svn59147-61.fc38 fedora 170 k texlive-cite noarch 9:svn36428.5.5-61.fc38 fedora 32 k texlive-citeall noarch 9:svn45975-61.fc38 fedora 19 k texlive-citeref noarch 9:svn47407-61.fc38 fedora 78 k texlive-cje noarch 9:svn46721-61.fc38 fedora 660 k texlive-cjk noarch 9:svn36951.4.8.4-61.fc38 fedora 143 k texlive-cjkpunct noarch 9:svn41119-61.fc38 fedora 19 k texlive-clara noarch 9:svn54512-61.fc38 fedora 1.2 M texlive-classics noarch 9:svn53671-61.fc38 fedora 19 k texlive-classicthesis noarch 9:svn48041-61.fc38 fedora 27 k texlive-classpack noarch 9:svn55218-61.fc38 fedora 20 k texlive-cleanthesis noarch 9:svn51472-61.fc38 fedora 24 k texlive-clearsans noarch 9:svn54512-61.fc38 fedora 1.4 M texlive-clefval noarch 9:svn55985-61.fc38 fedora 16 k texlive-cleveref noarch 9:svn47525-61.fc38 fedora 43 k texlive-clipboard noarch 9:svn47747-61.fc38 fedora 18 k texlive-clock noarch 9:svn15878.0-61.fc38 fedora 15 k texlive-clrdblpg noarch 9:svn47511-61.fc38 fedora 294 k texlive-clrscode noarch 9:svn51136-61.fc38 fedora 19 k texlive-clrscode3e noarch 9:svn51137-61.fc38 fedora 20 k texlive-clrstrip noarch 9:svn53537-61.fc38 fedora 207 k texlive-cm noarch 9:svn57963-61.fc38 fedora 323 k texlive-cm-lgc noarch 9:svn28250.0.5-61.fc38 fedora 4.9 M texlive-cm-mf-extra-bold noarch 9:svn54512-61.fc38 fedora 23 k texlive-cm-super noarch 9:svn15878.0-61.fc38 fedora 62 M texlive-cm-unicode noarch 9:svn58661-61.fc38 fedora 14 M texlive-cmap noarch 9:svn57640-61.fc38 fedora 22 k texlive-cmathbb noarch 9:svn56414-61.fc38 fedora 184 k texlive-cmbright noarch 9:svn21107.8.1-61.fc38 fedora 183 k texlive-cmdstring noarch 9:svn15878.1.1-61.fc38 fedora 15 k texlive-cmdtrack noarch 9:svn28910-61.fc38 fedora 17 k texlive-cmexb noarch 9:svn54074-61.fc38 fedora 43 k texlive-cmextra noarch 9:svn57866-61.fc38 fedora 50 k texlive-cmll noarch 9:svn17964.0-61.fc38 fedora 369 k texlive-cmpica noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-cmpj noarch 9:svn58506-61.fc38 fedora 68 k texlive-cmsd noarch 9:svn18787.0-61.fc38 fedora 16 k texlive-cmsrb noarch 9:svn54706-61.fc38 fedora 3.0 M texlive-cmtiup noarch 9:svn39728-61.fc38 fedora 93 k texlive-cmupint noarch 9:svn54735-61.fc38 fedora 365 k texlive-cnltx noarch 9:svn55265-61.fc38 fedora 55 k texlive-cns noarch 9:svn45677-61.fc38 fedora 3.4 M texlive-cntformats noarch 9:svn34668.0.7-61.fc38 fedora 20 k texlive-cntperchap noarch 9:svn37572.0.3-61.fc38 fedora 21 k texlive-cochineal noarch 9:svn58717-61.fc38 fedora 2.9 M texlive-codeanatomy noarch 9:svn51627-61.fc38 fedora 269 k texlive-codedoc noarch 9:svn17630.0.3-61.fc38 fedora 25 k texlive-codepage noarch 9:svn51502-61.fc38 fedora 24 k texlive-codesection noarch 9:svn34481.0.1-61.fc38 fedora 20 k texlive-coelacanth noarch 9:svn54736-61.fc38 fedora 7.0 M texlive-coffeestains noarch 9:svn59115-61.fc38 fedora 306 k texlive-collcell noarch 9:svn56291-61.fc38 fedora 20 k texlive-collectbox noarch 9:svn56291-61.fc38 fedora 20 k texlive-collection-basic noarch 9:svn59159-61.fc38 fedora 12 k texlive-collection-fontsrecommended noarch 9:svn54074-61.fc38 fedora 12 k texlive-collection-latex noarch 9:svn57048-61.fc38 fedora 12 k texlive-collection-latexrecommended noarch 9:svn57862-61.fc38 fedora 13 k texlive-collection-pictures noarch 9:svn59115-61.fc38 fedora 16 k texlive-collref noarch 9:svn46358-61.fc38 fedora 19 k texlive-colophon noarch 9:svn47913-61.fc38 fedora 380 k texlive-color-edits noarch 9:svn56707-61.fc38 fedora 243 k texlive-colordoc noarch 9:svn18270.0-61.fc38 fedora 17 k texlive-colorinfo noarch 9:svn15878.0.3c-61.fc38 fedora 17 k texlive-coloring noarch 9:svn41042-61.fc38 fedora 19 k texlive-colorist noarch 9:svn59316-61.fc38 fedora 109 k texlive-colorprofiles noarch 9:svn49086-61.fc38 fedora 147 k texlive-colorspace noarch 9:svn50585-61.fc38 fedora 24 k texlive-colortab noarch 9:svn22155.1.0-61.fc38 fedora 23 k texlive-colortbl noarch 9:svn53545-61.fc38 fedora 18 k texlive-colorwav noarch 9:svn15878.1.0-61.fc38 fedora 22 k texlive-colorweb noarch 9:svn31490.1.3-61.fc38 fedora 21 k texlive-colourchange noarch 9:svn21741.1.22-61.fc38 fedora 25 k texlive-combelow noarch 9:svn18462.0.99f-61.fc38 fedora 18 k texlive-combine noarch 9:svn19361.0.7a-61.fc38 fedora 28 k texlive-combinedgraphics noarch 9:svn27198.0.2.2-61.fc38 fedora 20 k texlive-comfortaa noarch 9:svn54512-61.fc38 fedora 638 k texlive-comicneue noarch 9:svn54891-61.fc38 fedora 959 k texlive-comma noarch 9:svn18259.1.2-61.fc38 fedora 16 k texlive-commado noarch 9:svn38875-61.fc38 fedora 21 k texlive-commath noarch 9:svn15878.0.3-61.fc38 fedora 17 k texlive-commedit noarch 9:svn50116-61.fc38 fedora 603 k texlive-comment noarch 9:svn41927-61.fc38 fedora 20 k texlive-compactbib noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-competences noarch 9:svn47573-61.fc38 fedora 21 k texlive-complexity noarch 9:svn45322-61.fc38 fedora 20 k texlive-computational-complexity noarch 9:svn44847-61.fc38 fedora 56 k texlive-concepts noarch 9:svn29020.0.0.5_r1-61.fc38 fedora 22 k texlive-concmath noarch 9:svn17219.0-61.fc38 fedora 18 k texlive-concmath-fonts noarch 9:svn17218.0-61.fc38 fedora 49 k texlive-concprog noarch 9:svn18791.0-61.fc38 fedora 20 k texlive-concrete noarch 9:svn57963-61.fc38 fedora 32 k texlive-conditext noarch 9:svn55387-61.fc38 fedora 539 k texlive-confproc noarch 9:svn29349.0.8-61.fc38 fedora 32 k texlive-constants noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-conteq noarch 9:svn37868.0.1.1-61.fc38 fedora 20 k texlive-continue noarch 9:svn49449-61.fc38 fedora 20 k texlive-contour noarch 9:svn18950.2.14-61.fc38 fedora 18 k texlive-contracard noarch 9:svn50217-61.fc38 fedora 23 k texlive-conv-xkv noarch 9:svn43558-61.fc38 fedora 96 k texlive-convbkmk noarch 9:20210325-52.fc38 fedora 18 k texlive-cooking noarch 9:svn15878.0.9b-61.fc38 fedora 18 k texlive-cooking-units noarch 9:svn53403-61.fc38 fedora 712 k texlive-cookingsymbols noarch 9:svn35929.1.1-61.fc38 fedora 19 k texlive-cool noarch 9:svn15878.1.35-61.fc38 fedora 31 k texlive-coollist noarch 9:svn15878.1.4-61.fc38 fedora 23 k texlive-coolstr noarch 9:svn15878.2.2-61.fc38 fedora 23 k texlive-coolthms noarch 9:svn29062.1.2-61.fc38 fedora 17 k texlive-cooltooltips noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-coordsys noarch 9:svn15878.1.4-61.fc38 fedora 19 k texlive-copyedit noarch 9:svn37928.1.6-61.fc38 fedora 21 k texlive-copyrightbox noarch 9:svn24829.0.1-61.fc38 fedora 16 k texlive-cormorantgaramond noarch 9:svn54696-61.fc38 fedora 6.0 M texlive-correctmathalign noarch 9:svn44131-61.fc38 fedora 546 k texlive-coseoul noarch 9:svn23862.1.1-61.fc38 fedora 18 k texlive-countriesofeurope noarch 9:svn54512-61.fc38 fedora 237 k texlive-counttexruns noarch 9:svn27576.1.00a-61.fc38 fedora 18 k texlive-courier noarch 9:svn35058.0-61.fc38 fedora 527 k texlive-courier-scaled noarch 9:svn24940.0-61.fc38 fedora 19 k texlive-courierten noarch 9:svn55436-61.fc38 fedora 280 k texlive-courseoutline noarch 9:svn15878.1.0-61.fc38 fedora 15 k texlive-coursepaper noarch 9:svn15878.2.0-61.fc38 fedora 16 k texlive-coverpage noarch 9:svn15878.1.01-61.fc38 fedora 20 k texlive-cprotect noarch 9:svn21209.1.0e-61.fc38 fedora 20 k texlive-cquthesis noarch 9:svn55643-61.fc38 fedora 34 k texlive-crbox noarch 9:svn29803.0.1-61.fc38 fedora 16 k texlive-crimson noarch 9:svn54512-61.fc38 fedora 1.4 M texlive-crimsonpro noarch 9:svn54512-61.fc38 fedora 3.0 M texlive-crop noarch 9:svn55424-61.fc38 fedora 19 k texlive-crossreference noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-crossreftools noarch 9:svn55879-61.fc38 fedora 357 k texlive-crossrefware noarch 9:20210325-52.fc38 fedora 184 k texlive-cryptocode noarch 9:svn55920-61.fc38 fedora 39 k texlive-cryst noarch 9:svn15878.0-61.fc38 fedora 51 k texlive-csquotes noarch 9:svn57844-61.fc38 fedora 36 k texlive-css-colors noarch 9:svn54512-61.fc38 fedora 2.0 M texlive-csvmerge noarch 9:svn51857-61.fc38 fedora 232 k texlive-csvsimple noarch 9:svn51010-61.fc38 fedora 23 k texlive-ctable noarch 9:svn38672-61.fc38 fedora 18 k texlive-ctablestack noarch 9:svn38514-61.fc38 fedora 19 k texlive-ctex noarch 9:svn58583-61.fc38 fedora 204 k texlive-ctib noarch 9:svn15878.0-61.fc38 fedora 147 k texlive-cuisine noarch 9:svn34453.0.7-61.fc38 fedora 17 k texlive-cuprum noarch 9:svn49909-61.fc38 fedora 151 k texlive-currency noarch 9:svn48990-61.fc38 fedora 708 k texlive-currfile noarch 9:svn56478-61.fc38 fedora 22 k texlive-currvita noarch 9:svn15878.0-61.fc38 fedora 18 k texlive-curve noarch 9:svn20745.1.16-61.fc38 fedora 22 k texlive-curve2e noarch 9:svn57402-61.fc38 fedora 27 k texlive-curves noarch 9:svn45255-61.fc38 fedora 20 k texlive-custom-bib noarch 9:svn24729.4.33-61.fc38 fedora 106 k texlive-cutwin noarch 9:svn29803.0.1-61.fc38 fedora 20 k texlive-cv noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-cv4tw noarch 9:svn34577.0.2-61.fc38 fedora 18 k texlive-cweb-latex noarch 9:svn28878.0-61.fc38 fedora 52 k texlive-cyber noarch 9:svn46776-61.fc38 fedora 16 k texlive-cybercic noarch 9:svn37659.2.1-61.fc38 fedora 14 k texlive-cyklop noarch 9:svn18651.0.915-61.fc38 fedora 360 k texlive-dancers noarch 9:svn13293.0-61.fc38 fedora 16 k texlive-dantelogo noarch 9:svn38599-61.fc38 fedora 43 k texlive-dashbox noarch 9:svn23425.1.14-61.fc38 fedora 16 k texlive-dashrule noarch 9:svn29579.1.3-61.fc38 fedora 16 k texlive-dashundergaps noarch 9:svn58150-61.fc38 fedora 18 k texlive-dataref noarch 9:svn42883-61.fc38 fedora 24 k texlive-datatool noarch 9:svn52663-61.fc38 fedora 75 k texlive-datax noarch 9:svn57033-61.fc38 fedora 202 k texlive-dateiliste noarch 9:svn27974.0.6-61.fc38 fedora 19 k texlive-datenumber noarch 9:svn18951.0.02-61.fc38 fedora 19 k texlive-datetime noarch 9:svn36650.2.60-61.fc38 fedora 48 k texlive-datetime2 noarch 9:svn58590-61.fc38 fedora 27 k texlive-datetime2-bahasai noarch 9:svn46287-61.fc38 fedora 20 k texlive-datetime2-basque noarch 9:svn47064-61.fc38 fedora 20 k texlive-datetime2-breton noarch 9:svn52647-61.fc38 fedora 20 k texlive-datetime2-bulgarian noarch 9:svn47031-61.fc38 fedora 21 k texlive-datetime2-catalan noarch 9:svn47032-61.fc38 fedora 20 k texlive-datetime2-croatian noarch 9:svn36682.1.0-61.fc38 fedora 20 k texlive-datetime2-czech noarch 9:svn47033-61.fc38 fedora 20 k texlive-datetime2-danish noarch 9:svn47034-61.fc38 fedora 20 k texlive-datetime2-dutch noarch 9:svn47355-61.fc38 fedora 20 k texlive-datetime2-en-fulltext noarch 9:svn36705.1.0-61.fc38 fedora 20 k texlive-datetime2-english noarch 9:svn52479-61.fc38 fedora 26 k texlive-datetime2-esperanto noarch 9:svn47356-61.fc38 fedora 20 k texlive-datetime2-estonian noarch 9:svn47565-61.fc38 fedora 20 k texlive-datetime2-finnish noarch 9:svn47047-61.fc38 fedora 21 k texlive-datetime2-french noarch 9:svn56393-61.fc38 fedora 21 k texlive-datetime2-galician noarch 9:svn47631-61.fc38 fedora 20 k texlive-datetime2-german noarch 9:svn53125-61.fc38 fedora 22 k texlive-datetime2-greek noarch 9:svn47533-61.fc38 fedora 20 k texlive-datetime2-hebrew noarch 9:svn47534-61.fc38 fedora 19 k texlive-datetime2-icelandic noarch 9:svn47501-61.fc38 fedora 20 k texlive-datetime2-irish noarch 9:svn47632-61.fc38 fedora 21 k texlive-datetime2-it-fulltext noarch 9:svn54779-61.fc38 fedora 22 k texlive-datetime2-italian noarch 9:svn37146.1.3-61.fc38 fedora 21 k texlive-datetime2-latin noarch 9:svn47748-61.fc38 fedora 20 k texlive-datetime2-lsorbian noarch 9:svn47749-61.fc38 fedora 21 k texlive-datetime2-magyar noarch 9:svn48266-61.fc38 fedora 20 k texlive-datetime2-norsk noarch 9:svn48267-61.fc38 fedora 20 k texlive-datetime2-polish noarch 9:svn48456-61.fc38 fedora 20 k texlive-datetime2-portuges noarch 9:svn48457-61.fc38 fedora 20 k texlive-datetime2-romanian noarch 9:svn56394-61.fc38 fedora 21 k texlive-datetime2-russian noarch 9:svn49345-61.fc38 fedora 21 k texlive-datetime2-samin noarch 9:svn49346-61.fc38 fedora 20 k texlive-datetime2-scottish noarch 9:svn52101-61.fc38 fedora 20 k texlive-datetime2-serbian noarch 9:svn52893-61.fc38 fedora 26 k texlive-datetime2-slovak noarch 9:svn52281-61.fc38 fedora 20 k texlive-datetime2-slovene noarch 9:svn52282-61.fc38 fedora 20 k texlive-datetime2-spanish noarch 9:svn45785-61.fc38 fedora 20 k texlive-datetime2-swedish noarch 9:svn36700.1.0-61.fc38 fedora 20 k texlive-datetime2-turkish noarch 9:svn52331-61.fc38 fedora 20 k texlive-datetime2-ukrainian noarch 9:svn47552-61.fc38 fedora 21 k texlive-datetime2-usorbian noarch 9:svn52375-61.fc38 fedora 21 k texlive-datetime2-welsh noarch 9:svn52553-61.fc38 fedora 20 k texlive-dblfloatfix noarch 9:svn28983.1.0a-61.fc38 fedora 20 k texlive-dccpaper noarch 9:svn57522-61.fc38 fedora 44 k texlive-dcpic noarch 9:svn30206.5.0.0-61.fc38 fedora 31 k texlive-decimal noarch 9:svn23374.0-61.fc38 fedora 16 k texlive-decision-table noarch 9:svn57094-61.fc38 fedora 124 k texlive-decorule noarch 9:svn55230-61.fc38 fedora 19 k texlive-dehyph noarch 9:svn48599-61.fc38 fedora 66 k texlive-dejavu noarch 9:svn31771.2.34-61.fc38 fedora 11 M texlive-dejavu-otf noarch 9:svn45991-61.fc38 fedora 344 k texlive-delim noarch 9:svn23974.1.0-61.fc38 fedora 17 k texlive-delimseasy noarch 9:svn39589-61.fc38 fedora 22 k texlive-delimset noarch 9:svn49544-61.fc38 fedora 328 k texlive-delimtxt noarch 9:svn16549.0-61.fc38 fedora 17 k texlive-denisbdoc noarch 9:svn56664-61.fc38 fedora 33 k texlive-derivative noarch 9:svn55890-61.fc38 fedora 216 k texlive-dhua noarch 9:svn24035.0.11-61.fc38 fedora 22 k texlive-diabetes-logbook noarch 9:svn54810-61.fc38 fedora 295 k texlive-diagbox noarch 9:svn54080-61.fc38 fedora 21 k texlive-diagmac2 noarch 9:svn15878.2.1-61.fc38 fedora 26 k texlive-diagnose noarch 9:svn19387.0.2-61.fc38 fedora 17 k texlive-dialogl noarch 9:svn28946.0-61.fc38 fedora 37 k texlive-dice noarch 9:svn28501.0-61.fc38 fedora 18 k texlive-dichokey noarch 9:svn17192.0-61.fc38 fedora 14 k texlive-dictsym noarch 9:svn20031.0-61.fc38 fedora 48 k texlive-diffcoeff noarch 9:svn53244-61.fc38 fedora 627 k texlive-digiconfigs noarch 9:svn15878.0.5-61.fc38 fedora 17 k texlive-dijkstra noarch 9:svn55661-61.fc38 fedora 387 k texlive-dimnum noarch 9:svn58774-61.fc38 fedora 221 k texlive-din1505 noarch 9:svn19441.0-61.fc38 fedora 28 k texlive-dinbrief noarch 9:svn15878.0-61.fc38 fedora 28 k texlive-dingbat noarch 9:svn27918.1.0-61.fc38 fedora 25 k texlive-directory noarch 9:svn15878.1.20-61.fc38 fedora 28 k texlive-dirtree noarch 9:svn42428-61.fc38 fedora 17 k texlive-dirtytalk noarch 9:svn20520.1.0-61.fc38 fedora 13 k texlive-ditaa noarch 9:svn48932-61.fc38 fedora 122 k texlive-dithesis noarch 9:svn34295.0.2-61.fc38 fedora 20 k texlive-dk-bib noarch 9:svn15878.0.6-61.fc38 fedora 27 k texlive-dlfltxb noarch 9:svn17337.0-61.fc38 fedora 37 k texlive-dnaseq noarch 9:svn17194.0.01-61.fc38 fedora 16 k texlive-doc-pictex-doc noarch 9:svn24927.0-61.fc38 fedora 13 k texlive-doclicense noarch 9:svn58350-61.fc38 fedora 295 k texlive-docmfp noarch 9:svn15878.1.2d-61.fc38 fedora 16 k texlive-docmute noarch 9:svn25741.1.4-61.fc38 fedora 18 k texlive-doctools noarch 9:svn34474.0.1-61.fc38 fedora 23 k texlive-documentation noarch 9:svn34521.0.1-61.fc38 fedora 18 k texlive-docutils noarch 9:svn56594-61.fc38 fedora 21 k texlive-doi noarch 9:svn48634-61.fc38 fedora 17 k texlive-doipubmed noarch 9:svn15878.1.01-61.fc38 fedora 16 k texlive-domitian noarch 9:svn55286-61.fc38 fedora 1.6 M texlive-dot2texi noarch 9:svn26237.3.0-61.fc38 fedora 20 k texlive-dotarrow noarch 9:svn15878.0.01a-61.fc38 fedora 16 k texlive-dotlessi noarch 9:svn51476-61.fc38 fedora 13 k texlive-dotseqn noarch 9:svn17195.1.1-61.fc38 fedora 13 k texlive-dottex noarch 9:svn15878.0.6-61.fc38 fedora 18 k texlive-doublestroke noarch 9:svn15878.1.111-61.fc38 fedora 86 k texlive-doulossil noarch 9:svn56407-61.fc38 fedora 518 k texlive-dowith noarch 9:svn38860-61.fc38 fedora 24 k texlive-download noarch 9:svn52257-61.fc38 fedora 19 k texlive-dox noarch 9:svn46011-61.fc38 fedora 17 k texlive-dozenal noarch 9:svn47680-61.fc38 fedora 154 k texlive-dpcircling noarch 9:svn54994-61.fc38 fedora 44 k texlive-dpfloat noarch 9:svn17196.0-61.fc38 fedora 16 k texlive-dprogress noarch 9:svn15878.0.1-61.fc38 fedora 16 k texlive-drac noarch 9:svn15878.1-61.fc38 fedora 16 k texlive-draftcopy noarch 9:svn15878.2.16-61.fc38 fedora 19 k texlive-draftfigure noarch 9:svn44854-61.fc38 fedora 178 k texlive-draftwatermark noarch 9:svn57099-61.fc38 fedora 21 k texlive-dratex noarch 9:svn15878.0-61.fc38 fedora 60 k texlive-drawmatrix noarch 9:svn44471-61.fc38 fedora 14 k texlive-drawstack noarch 9:svn28582.0-61.fc38 fedora 19 k texlive-drm noarch 9:svn38157.4.4-61.fc38 fedora 13 M texlive-droid noarch 9:svn54512-61.fc38 fedora 3.2 M texlive-drs noarch 9:svn19232.1.1b-61.fc38 fedora 22 k texlive-dsserif noarch 9:svn54512-61.fc38 fedora 343 k texlive-dtk noarch 9:svn56696-61.fc38 fedora 37 k texlive-dtxdescribe noarch 9:svn51652-61.fc38 fedora 342 k texlive-dtxgallery-doc noarch 9:svn49504-61.fc38 fedora 358 k texlive-ducksay noarch 9:svn56800-61.fc38 fedora 508 k texlive-duckuments noarch 9:svn52271-61.fc38 fedora 973 k texlive-duerer noarch 9:svn20741.0-61.fc38 fedora 29 k texlive-duerer-latex noarch 9:svn15878.1.1-61.fc38 fedora 18 k texlive-duotenzor noarch 9:svn18728.1.00-61.fc38 fedora 22 k texlive-dutchcal noarch 9:svn54080-61.fc38 fedora 53 k texlive-dvdcoll noarch 9:svn15878.v1.1a-61.fc38 fedora 40 k texlive-dvipdfmx x86_64 9:20210325-52.fc38 fedora 3.0 M texlive-dvips x86_64 9:20210325-52.fc38 fedora 729 k texlive-dvisvgm x86_64 9:20210325-52.fc38 fedora 1.5 M texlive-dynamicnumber noarch 9:svn38726-61.fc38 fedora 14 k texlive-dynblocks noarch 9:svn35193.0.2b-61.fc38 fedora 19 k texlive-dynkin-diagrams noarch 9:svn58758-61.fc38 fedora 864 k texlive-dyntree noarch 9:svn15878.1.0-61.fc38 fedora 23 k texlive-ean noarch 9:svn20851.0-61.fc38 fedora 19 k texlive-ean13isbn noarch 9:svn57514-61.fc38 fedora 16 k texlive-easy noarch 9:svn19440.0.99-61.fc38 fedora 28 k texlive-easy-todo noarch 9:svn32677.0-61.fc38 fedora 17 k texlive-easybook noarch 9:svn59306-61.fc38 fedora 327 k texlive-easyfig noarch 9:svn56291-61.fc38 fedora 19 k texlive-easyfloats noarch 9:svn57204-61.fc38 fedora 605 k texlive-easyformat noarch 9:svn44543-61.fc38 fedora 73 k texlive-easylist noarch 9:svn32661.1.3-61.fc38 fedora 20 k texlive-easyreview noarch 9:svn38352.1.0-61.fc38 fedora 16 k texlive-ebezier noarch 9:svn15878.4-61.fc38 fedora 19 k texlive-ebgaramond noarch 9:svn54721-61.fc38 fedora 12 M texlive-ebgaramond-maths noarch 9:svn52168-61.fc38 fedora 22 k texlive-ebook noarch 9:svn29466.0-61.fc38 fedora 13 k texlive-ebproof noarch 9:svn57544-61.fc38 fedora 22 k texlive-ebsthesis noarch 9:svn15878.1.0-61.fc38 fedora 21 k texlive-ec noarch 9:svn25033.1.0-61.fc38 fedora 682 k texlive-ecc noarch 9:svn15878.0-61.fc38 fedora 54 k texlive-ecclesiastic noarch 9:svn38172.0.3-61.fc38 fedora 20 k texlive-ecgdraw noarch 9:svn41617-61.fc38 fedora 724 k texlive-eco noarch 9:svn29349.1.3-61.fc38 fedora 352 k texlive-ecobiblatex noarch 9:svn39233-61.fc38 fedora 19 k texlive-econ-bst noarch 9:svn54191-61.fc38 fedora 857 k texlive-econlipsum noarch 9:svn58390-61.fc38 fedora 648 k texlive-econometrics noarch 9:svn39396-61.fc38 fedora 20 k texlive-economic noarch 9:svn32639.0-61.fc38 fedora 56 k texlive-ecothesis noarch 9:svn48007-61.fc38 fedora 356 k texlive-ecv noarch 9:svn24928.0.3-61.fc38 fedora 16 k texlive-eczar noarch 9:svn57716-61.fc38 fedora 506 k texlive-ed noarch 9:svn25231.1.8-61.fc38 fedora 17 k texlive-edichokey noarch 9:svn56223-61.fc38 fedora 153 k texlive-edmargin noarch 9:svn27599.1.2-61.fc38 fedora 19 k texlive-eemeir noarch 9:svn15878.1.1b-61.fc38 fedora 16 k texlive-eepic noarch 9:svn15878.1.1e-61.fc38 fedora 24 k texlive-efbox noarch 9:svn33236.1.0-61.fc38 fedora 19 k texlive-egplot noarch 9:svn20617.1.02a-61.fc38 fedora 19 k texlive-ehhline noarch 9:svn54676-61.fc38 fedora 42 k texlive-eiad noarch 9:svn15878.0-61.fc38 fedora 61 k texlive-eiad-ltx noarch 9:svn15878.1.0-61.fc38 fedora 19 k texlive-einfart noarch 9:svn59321-61.fc38 fedora 385 k texlive-ejpecp noarch 9:svn56728-61.fc38 fedora 22 k texlive-ekaia noarch 9:svn49594-61.fc38 fedora 19 k texlive-ektype-tanka noarch 9:svn56070-61.fc38 fedora 2.1 M texlive-elbioimp noarch 9:svn21758.1.2-61.fc38 fedora 17 k texlive-electrum noarch 9:svn19705.1.005_b-61.fc38 fedora 829 k texlive-elegantbook noarch 9:svn59053-61.fc38 fedora 1.8 M texlive-elegantnote noarch 9:svn54758-61.fc38 fedora 1.2 M texlive-elegantpaper noarch 9:svn54758-61.fc38 fedora 870 k texlive-elements noarch 9:svn52398-61.fc38 fedora 28 k texlive-ellipse noarch 9:svn39025-61.fc38 fedora 20 k texlive-ellipsis noarch 9:svn55418-61.fc38 fedora 16 k texlive-elmath noarch 9:svn15878.v1.2-61.fc38 fedora 17 k texlive-elocalloc noarch 9:svn42712-61.fc38 fedora 16 k texlive-elpres noarch 9:svn58015-61.fc38 fedora 19 k texlive-els-cas-templates noarch 9:svn54317-61.fc38 fedora 578 k texlive-elsarticle noarch 9:svn56999-61.fc38 fedora 35 k texlive-elteikthesis noarch 9:svn55928-61.fc38 fedora 22 k texlive-eltex noarch 9:svn15878.2.0-61.fc38 fedora 28 k texlive-elvish noarch 9:svn15878.0-61.fc38 fedora 23 k texlive-elzcards noarch 9:svn51894-61.fc38 fedora 23 k texlive-emarks noarch 9:svn24504.1.0-61.fc38 fedora 19 k texlive-embedall noarch 9:svn51177-61.fc38 fedora 18 k texlive-embedfile noarch 9:svn54865-61.fc38 fedora 403 k texlive-embrac noarch 9:svn57814-61.fc38 fedora 21 k texlive-emf noarch 9:svn42023-61.fc38 fedora 329 k texlive-emisa noarch 9:svn57013-61.fc38 fedora 33 k texlive-emptypage noarch 9:svn18064.1.2-61.fc38 fedora 17 k texlive-emulateapj noarch 9:svn28469.0-61.fc38 fedora 32 k texlive-enctex noarch 9:svn34957.0-61.fc38 fedora 54 k texlive-endfloat noarch 9:svn57090-61.fc38 fedora 21 k texlive-endheads noarch 9:svn43750-61.fc38 fedora 17 k texlive-endiagram noarch 9:svn34486.0.1d-61.fc38 fedora 26 k texlive-endnotes noarch 9:svn53319-61.fc38 fedora 21 k texlive-endnotes-hy noarch 9:svn54758-61.fc38 fedora 313 k texlive-endofproofwd noarch 9:svn55643-61.fc38 fedora 20 k texlive-engpron noarch 9:svn16558.2-61.fc38 fedora 19 k texlive-engrec noarch 9:svn15878.1.1-61.fc38 fedora 16 k texlive-engtlc noarch 9:svn28571.3.2-61.fc38 fedora 21 k texlive-enotez noarch 9:svn57130-61.fc38 fedora 25 k texlive-enumitem noarch 9:svn51423-61.fc38 fedora 28 k texlive-enumitem-zref noarch 9:svn21472.1.8-61.fc38 fedora 25 k texlive-envbig noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-environ noarch 9:svn56615-61.fc38 fedora 17 k texlive-envlab noarch 9:svn15878.1.2-61.fc38 fedora 20 k texlive-epigrafica noarch 9:svn17210.1.01-61.fc38 fedora 374 k texlive-epigraph noarch 9:svn54857-61.fc38 fedora 19 k texlive-epigraph-keys noarch 9:svn54851-61.fc38 fedora 322 k texlive-epiolmec noarch 9:svn15878.0-61.fc38 fedora 121 k texlive-epsdice noarch 9:svn15878.2.1-61.fc38 fedora 20 k texlive-epsf noarch 9:svn21461.2.7.4-61.fc38 fedora 19 k texlive-epspdf noarch 9:20210325-52.fc38 fedora 284 k texlive-epspdfconversion noarch 9:svn18703.0.61-61.fc38 fedora 18 k texlive-epstopdf noarch 9:20210325-52.fc38 fedora 29 k texlive-epstopdf-pkg noarch 9:svn53546-61.fc38 fedora 365 k texlive-eq-pin2corr noarch 9:svn57815-61.fc38 fedora 644 k texlive-eqell noarch 9:svn22931.0-61.fc38 fedora 17 k texlive-eqexpl noarch 9:svn54080-61.fc38 fedora 99 k texlive-eqlist noarch 9:svn32257.2.1-61.fc38 fedora 16 k texlive-eqnalign noarch 9:svn43278-61.fc38 fedora 299 k texlive-eqname noarch 9:svn20678.0-61.fc38 fedora 12 k texlive-eqnarray noarch 9:svn20641.1.3-61.fc38 fedora 25 k texlive-eqnnumwarn noarch 9:svn45511-61.fc38 fedora 111 k texlive-eqparbox noarch 9:svn45215-61.fc38 fedora 20 k texlive-erdc noarch 9:svn15878.1.1-61.fc38 fedora 21 k texlive-erewhon noarch 9:svn58722-61.fc38 fedora 2.9 M texlive-erewhon-math noarch 9:svn58903-61.fc38 fedora 1.7 M texlive-errata noarch 9:svn42428-61.fc38 fedora 16 k texlive-erw-l3 noarch 9:svn55414-61.fc38 fedora 675 k texlive-esami noarch 9:svn47639-61.fc38 fedora 37 k texlive-esdiff noarch 9:svn21385.1.2-61.fc38 fedora 16 k texlive-esindex noarch 9:svn52342-61.fc38 fedora 81 k texlive-esint noarch 9:svn52240-61.fc38 fedora 19 k texlive-esint-type1 noarch 9:svn15878.0-61.fc38 fedora 44 k texlive-esk noarch 9:svn18115.1.0-61.fc38 fedora 20 k texlive-eso-pic noarch 9:svn56658-61.fc38 fedora 20 k texlive-esrelation noarch 9:svn37236.0-61.fc38 fedora 52 k texlive-esstix noarch 9:svn22426.1.0-61.fc38 fedora 232 k texlive-estcpmm noarch 9:svn17335.0.4-61.fc38 fedora 18 k texlive-esvect noarch 9:svn32098.1.3-61.fc38 fedora 91 k texlive-etaremune noarch 9:svn15878.v1.2-61.fc38 fedora 16 k texlive-etbb noarch 9:svn56390-61.fc38 fedora 1.5 M texlive-etex noarch 9:svn56291-61.fc38 fedora 29 k texlive-etex-pkg noarch 9:svn41784-61.fc38 fedora 17 k texlive-etexcmds noarch 9:svn53171-61.fc38 fedora 311 k texlive-etextools noarch 9:svn20694.3.1415926-61.fc38 fedora 26 k texlive-etoc noarch 9:svn55156-61.fc38 fedora 28 k texlive-etoolbox noarch 9:svn56554-61.fc38 fedora 26 k texlive-etsvthor noarch 9:svn48186-61.fc38 fedora 19 k texlive-euclideangeometry noarch 9:svn54897-61.fc38 fedora 1.0 M texlive-euenc noarch 9:svn19795.0.1h-61.fc38 fedora 23 k texlive-euflag noarch 9:svn55265-61.fc38 fedora 121 k texlive-eukdate noarch 9:svn15878.1.04-61.fc38 fedora 16 k texlive-euler noarch 9:svn42428-61.fc38 fedora 18 k texlive-eulerpx noarch 9:svn43735-61.fc38 fedora 21 k texlive-eulervm noarch 9:svn15878.4.0-61.fc38 fedora 41 k texlive-euro noarch 9:svn22191.1.1-61.fc38 fedora 17 k texlive-euro-ce noarch 9:svn25714-61.fc38 fedora 32 k texlive-europasscv noarch 9:svn56829-61.fc38 fedora 60 k texlive-europecv noarch 9:svn59162-61.fc38 fedora 66 k texlive-eurosym noarch 9:svn17265.1.4_subrfix-61.fc38 fedora 157 k texlive-euxm noarch 9:svn54074-61.fc38 fedora 39 k texlive-everyhook noarch 9:svn35675.1.2-61.fc38 fedora 19 k texlive-everypage noarch 9:svn56694-61.fc38 fedora 16 k texlive-everysel noarch 9:svn57489-61.fc38 fedora 449 k texlive-everyshi noarch 9:svn57001-61.fc38 fedora 148 k texlive-exam noarch 9:svn58023-61.fc38 fedora 67 k texlive-exam-n noarch 9:svn42755-61.fc38 fedora 26 k texlive-exam-randomizechoices noarch 9:svn49662-61.fc38 fedora 292 k texlive-examdesign noarch 9:svn15878.1.02-61.fc38 fedora 27 k texlive-example noarch 9:svn33398.0-61.fc38 fedora 21 k texlive-examplep noarch 9:svn55265-61.fc38 fedora 50 k texlive-exceltex noarch 9:20210325-52.fc38 fedora 22 k texlive-excludeonly noarch 9:svn17262.1.0-61.fc38 fedora 14 k texlive-exercise noarch 9:svn35417.1.6-61.fc38 fedora 25 k texlive-exercisebank noarch 9:svn50448-61.fc38 fedora 329 k texlive-exercisepoints noarch 9:svn49590-61.fc38 fedora 241 k texlive-exercises noarch 9:svn55188-61.fc38 fedora 18 k texlive-exesheet noarch 9:svn55916-61.fc38 fedora 196 k texlive-exframe noarch 9:svn53911-61.fc38 fedora 418 k texlive-exp-testopt noarch 9:svn15878.0.3-61.fc38 fedora 16 k texlive-expdlist noarch 9:svn15878.2.4-61.fc38 fedora 17 k texlive-expkv noarch 9:svn58853-61.fc38 fedora 445 k texlive-export noarch 9:svn27206.1.8-61.fc38 fedora 21 k texlive-exsheets noarch 9:svn52227-61.fc38 fedora 42 k texlive-exsol noarch 9:svn48977-61.fc38 fedora 21 k texlive-extarrows noarch 9:svn54400-61.fc38 fedora 21 k texlive-extpfeil noarch 9:svn16243.0.4-61.fc38 fedora 19 k texlive-extract noarch 9:svn52117-61.fc38 fedora 19 k texlive-extsizes noarch 9:svn17263.1.4a-61.fc38 fedora 28 k texlive-facsimile noarch 9:svn21328.1.0-61.fc38 fedora 19 k texlive-factura noarch 9:svn56948-61.fc38 fedora 45 k texlive-facture-belge-simple-sans-tva noarch 9:svn49004-61.fc38 fedora 288 k texlive-faktor noarch 9:svn15878.0.1b-61.fc38 fedora 16 k texlive-fancybox noarch 9:svn18304.1.4-61.fc38 fedora 23 k texlive-fancyhandout noarch 9:svn46411-61.fc38 fedora 90 k texlive-fancyhdr noarch 9:svn57672-61.fc38 fedora 20 k texlive-fancylabel noarch 9:svn46736-61.fc38 fedora 21 k texlive-fancynum noarch 9:svn15878.0.92-61.fc38 fedora 13 k texlive-fancypar noarch 9:svn58895-61.fc38 fedora 20 k texlive-fancyref noarch 9:svn15878.0.9c-61.fc38 fedora 20 k texlive-fancyslides noarch 9:svn36263.1.0-61.fc38 fedora 19 k texlive-fancytabs noarch 9:svn41549-61.fc38 fedora 19 k texlive-fancytooltips noarch 9:svn56291-61.fc38 fedora 25 k texlive-fancyvrb noarch 9:svn57488-61.fc38 fedora 27 k texlive-fandol noarch 9:svn37889.0.3-61.fc38 fedora 22 M texlive-fascicules noarch 9:svn54080-61.fc38 fedora 2.0 M texlive-fast-diagram noarch 9:svn29264.1.1-61.fc38 fedora 22 k texlive-fbb noarch 9:svn55728-61.fc38 fedora 1.4 M texlive-fbithesis noarch 9:svn21340.1.2m-61.fc38 fedora 18 k texlive-fbox noarch 9:svn55627-61.fc38 fedora 64 k texlive-fbs noarch 9:svn15878.0-61.fc38 fedora 20 k texlive-fcavtex noarch 9:svn38074.1.1-61.fc38 fedora 34 k texlive-fcltxdoc noarch 9:svn24500.1.0-61.fc38 fedora 29 k texlive-fcolumn noarch 9:svn57428-61.fc38 fedora 20 k texlive-fdsymbol noarch 9:svn26722.0.8-61.fc38 fedora 966 k texlive-fei noarch 9:svn55960-61.fc38 fedora 24 k texlive-fetamont noarch 9:svn43812-61.fc38 fedora 3.7 M texlive-fetchcls noarch 9:svn45245-61.fc38 fedora 139 k texlive-fewerfloatpages noarch 9:svn58058-61.fc38 fedora 887 k texlive-feyn noarch 9:svn55777-61.fc38 fedora 41 k texlive-feynmf noarch 9:svn17259.1.08-61.fc38 fedora 36 k texlive-ffslides noarch 9:svn38895-61.fc38 fedora 22 k texlive-fge noarch 9:svn37628.1.25-61.fc38 fedora 55 k texlive-fgruler noarch 9:svn56854-61.fc38 fedora 429 k texlive-fibeamer noarch 9:svn53146-61.fc38 fedora 445 k texlive-fifo-stack noarch 9:svn33288.1.0-61.fc38 fedora 17 k texlive-fig4latex noarch 9:20210325-52.fc38 fedora 75 k texlive-figbib noarch 9:svn19388.0-61.fc38 fedora 18 k texlive-figchild noarch 9:svn58964-61.fc38 fedora 677 k texlive-figsize noarch 9:svn18784.0.1-61.fc38 fedora 16 k texlive-filecontents noarch 9:svn52142-61.fc38 fedora 17 k texlive-filecontentsdef noarch 9:svn52208-61.fc38 fedora 146 k texlive-filedate noarch 9:svn29529.0-61.fc38 fedora 21 k texlive-filehook noarch 9:svn56479-61.fc38 fedora 23 k texlive-fileinfo noarch 9:svn28421.0.81a-61.fc38 fedora 24 k texlive-filemod noarch 9:svn56291-61.fc38 fedora 22 k texlive-fink noarch 9:svn24329.2.2.1-61.fc38 fedora 17 k texlive-finstrut noarch 9:svn21719.0.5-61.fc38 fedora 21 k texlive-fira noarch 9:svn55437-61.fc38 fedora 16 M texlive-firamath noarch 9:svn56672-61.fc38 fedora 656 k texlive-firamath-otf noarch 9:svn50732-61.fc38 fedora 140 k texlive-firstaid noarch 9:svn58440-61.fc38 fedora 219 k texlive-fitbox noarch 9:svn50088-61.fc38 fedora 19 k texlive-fithesis noarch 9:svn54483-61.fc38 fedora 683 k texlive-fix2col noarch 9:svn38770-61.fc38 fedora 17 k texlive-fixcmex noarch 9:svn51825-61.fc38 fedora 19 k texlive-fixfoot noarch 9:svn17131.0.3a-61.fc38 fedora 18 k texlive-fixltxhyph noarch 9:svn25832.0.4-61.fc38 fedora 19 k texlive-fixme noarch 9:svn49591-61.fc38 fedora 31 k texlive-fixmetodonotes noarch 9:svn30168.0.2.2-61.fc38 fedora 13 k texlive-fjodor noarch 9:svn53207-61.fc38 fedora 17 k texlive-flabels noarch 9:svn17272.1.0-61.fc38 fedora 17 k texlive-flacards noarch 9:svn19440.0.1.1b-61.fc38 fedora 18 k texlive-flagderiv noarch 9:svn15878.0.10-61.fc38 fedora 18 k texlive-flashcards noarch 9:svn19667.1.0.1-61.fc38 fedora 20 k texlive-flashmovie noarch 9:svn25768.0.4-61.fc38 fedora 37 k texlive-flipbook noarch 9:svn25584.0.2-61.fc38 fedora 17 k texlive-flippdf noarch 9:svn56782-61.fc38 fedora 16 k texlive-float noarch 9:svn15878.1.3d-61.fc38 fedora 17 k texlive-floatflt noarch 9:svn25540.1.31-61.fc38 fedora 14 k texlive-floatrow noarch 9:svn15878.0.3b-61.fc38 fedora 31 k texlive-flowchart noarch 9:svn36572.3.3-61.fc38 fedora 19 k texlive-flowfram noarch 9:svn35291.1.17-61.fc38 fedora 42 k texlive-fltpoint noarch 9:svn56594-61.fc38 fedora 15 k texlive-fmp noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-fmtcount noarch 9:svn53912-61.fc38 fedora 52 k texlive-fn2end noarch 9:svn15878.1.1-61.fc38 fedora 13 k texlive-fnbreak noarch 9:svn25003.1.30-61.fc38 fedora 16 k texlive-fncychap noarch 9:svn20710.v1.34-61.fc38 fedora 22 k texlive-fncylab noarch 9:svn52090-61.fc38 fedora 16 k texlive-fnpara noarch 9:svn25607.0-61.fc38 fedora 20 k texlive-fnpct noarch 9:svn57508-61.fc38 fedora 27 k texlive-fnspe noarch 9:svn45360-61.fc38 fedora 186 k texlive-fnumprint noarch 9:svn29173.1.1a-61.fc38 fedora 19 k texlive-foekfont noarch 9:svn15878.0-61.fc38 fedora 26 k texlive-foilhtml noarch 9:svn21855.1.2-61.fc38 fedora 18 k texlive-foliono noarch 9:svn58877-61.fc38 fedora 244 k texlive-fonetika noarch 9:svn21326.0-61.fc38 fedora 84 k texlive-fontawesome noarch 9:svn48145-61.fc38 fedora 298 k texlive-fontawesome5 noarch 9:svn54517-61.fc38 fedora 1.6 M texlive-fontaxes noarch 9:svn55920-61.fc38 fedora 21 k texlive-fontmfizz noarch 9:svn43546-61.fc38 fedora 54 k texlive-fonts-churchslavonic noarch 9:svn56350-61.fc38 fedora 1.7 M texlive-fonts-tlwg noarch 9:svn54994-61.fc38 fedora 5.0 M texlive-fontsetup noarch 9:svn59079-61.fc38 fedora 481 k texlive-fontsize noarch 9:svn58906-61.fc38 fedora 411 k texlive-fontspec noarch 9:svn56594-61.fc38 fedora 45 k texlive-fonttable noarch 9:svn44799-61.fc38 fedora 23 k texlive-footbib noarch 9:svn17115.2.0.7-61.fc38 fedora 21 k texlive-footmisc noarch 9:svn23330.5.5b-61.fc38 fedora 23 k texlive-footmisx noarch 9:svn42621-61.fc38 fedora 24 k texlive-footnotebackref noarch 9:svn27034.1.0-61.fc38 fedora 19 k texlive-footnotehyper noarch 9:svn57618-61.fc38 fedora 21 k texlive-footnoterange noarch 9:svn52910-61.fc38 fedora 20 k texlive-footnpag noarch 9:svn15878.0-61.fc38 fedora 23 k texlive-forarray noarch 9:svn15878.1.01-61.fc38 fedora 20 k texlive-foreign noarch 9:svn27819.2.7-61.fc38 fedora 19 k texlive-forest noarch 9:svn57398-61.fc38 fedora 74 k texlive-forloop noarch 9:svn15878.3.0-61.fc38 fedora 21 k texlive-formlett noarch 9:svn21480.2.3-61.fc38 fedora 27 k texlive-forms16be noarch 9:svn51305-61.fc38 fedora 1.4 M texlive-formular noarch 9:svn15878.1.0a-61.fc38 fedora 18 k texlive-forum noarch 9:svn56025-61.fc38 fedora 747 k texlive-fouridx noarch 9:svn32214.2.00-61.fc38 fedora 16 k texlive-fourier noarch 9:svn54090-61.fc38 fedora 376 k texlive-fouriernc noarch 9:svn29646.0-61.fc38 fedora 37 k texlive-fp noarch 9:svn49719-61.fc38 fedora 36 k texlive-fpl noarch 9:svn54512-61.fc38 fedora 303 k texlive-fragments noarch 9:svn15878.0-61.fc38 fedora 14 k texlive-frame noarch 9:svn18312.1.0-61.fc38 fedora 16 k texlive-framed noarch 9:svn26789.0.96-61.fc38 fedora 19 k texlive-francais-bst noarch 9:svn38922-61.fc38 fedora 26 k texlive-frankenstein noarch 9:svn15878.0-61.fc38 fedora 159 k texlive-frcursive noarch 9:svn24559.0-61.fc38 fedora 1.7 M texlive-frederika2016 noarch 9:svn42157-61.fc38 fedora 382 k texlive-frege noarch 9:svn27417.1.3-61.fc38 fedora 20 k texlive-frimurer noarch 9:svn56704-61.fc38 fedora 215 k texlive-froufrou noarch 9:svn59103-61.fc38 fedora 85 k texlive-ftc-notebook noarch 9:svn50043-61.fc38 fedora 3.5 M texlive-ftcap noarch 9:svn17275.1.4-61.fc38 fedora 18 k texlive-ftnxtra noarch 9:svn29652.0.1-61.fc38 fedora 16 k texlive-fullblck noarch 9:svn25434.1.03-61.fc38 fedora 16 k texlive-fullminipage noarch 9:svn34545.0.1.1-61.fc38 fedora 24 k texlive-fullwidth noarch 9:svn24684.0.1-61.fc38 fedora 18 k texlive-functan noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-fundus-calligra noarch 9:svn26018.1.2-61.fc38 fedora 13 k texlive-fundus-cyr noarch 9:svn26019.0-61.fc38 fedora 19 k texlive-fundus-sueterlin noarch 9:svn26030.1.2-61.fc38 fedora 19 k texlive-fvextra noarch 9:svn49947-61.fc38 fedora 822 k texlive-fwlw noarch 9:svn29803.0-61.fc38 fedora 14 k texlive-g-brief noarch 9:svn50415-61.fc38 fedora 20 k texlive-gaceta noarch 9:svn15878.1.06-61.fc38 fedora 32 k texlive-galois noarch 9:svn15878.1.5-61.fc38 fedora 17 k texlive-gammas noarch 9:svn56403-61.fc38 fedora 30 k texlive-garamond-libre noarch 9:svn55166-61.fc38 fedora 7.3 M texlive-garamond-math noarch 9:svn52820-61.fc38 fedora 707 k texlive-garuda-c90 noarch 9:svn37677.0-61.fc38 fedora 16 k texlive-gastex noarch 9:svn58505-61.fc38 fedora 33 k texlive-gatech-thesis noarch 9:svn19886.1.8-61.fc38 fedora 50 k texlive-gatherenum noarch 9:svn52209-61.fc38 fedora 407 k texlive-gauss noarch 9:svn32934.0-61.fc38 fedora 31 k texlive-gb4e noarch 9:svn19216.0-61.fc38 fedora 23 k texlive-gbt7714 noarch 9:svn57157-61.fc38 fedora 369 k texlive-gcard noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-gcite noarch 9:svn15878.1.0.1-61.fc38 fedora 19 k texlive-gender noarch 9:svn36464.1.0-61.fc38 fedora 19 k texlive-gene-logic noarch 9:svn15878.1.4-61.fc38 fedora 13 k texlive-genealogy noarch 9:svn25112.0-61.fc38 fedora 18 k texlive-genealogytree noarch 9:svn55978-61.fc38 fedora 59 k texlive-genmpage noarch 9:svn15878.0.3.1-61.fc38 fedora 17 k texlive-gentium-tug noarch 9:svn54512-61.fc38 fedora 3.9 M texlive-geometry noarch 9:svn54080-61.fc38 fedora 24 k texlive-geschichtsfrkl noarch 9:svn42121-61.fc38 fedora 20 k texlive-getfiledate noarch 9:svn16189.1.2-61.fc38 fedora 17 k texlive-getitems noarch 9:svn39365-61.fc38 fedora 19 k texlive-getmap noarch 9:20210325-52.fc38 fedora 1.9 M texlive-gettitlestring noarch 9:svn53170-61.fc38 fedora 328 k texlive-gfsartemisia noarch 9:svn19469.1.0-61.fc38 fedora 1.0 M texlive-gfsbodoni noarch 9:svn28484.1.01-61.fc38 fedora 961 k texlive-gfscomplutum noarch 9:svn19469.1.0-61.fc38 fedora 137 k texlive-gfsdidot noarch 9:svn54080-61.fc38 fedora 1.4 M texlive-gfsdidotclassic noarch 9:svn52778-61.fc38 fedora 44 k texlive-gfsneohellenic noarch 9:svn54080-61.fc38 fedora 1.0 M texlive-gfsneohellenicmath noarch 9:svn52570-61.fc38 fedora 453 k texlive-gfssolomos noarch 9:svn18651.1.0-61.fc38 fedora 117 k texlive-ghsystem noarch 9:svn53822-61.fc38 fedora 1.9 M texlive-gillcm noarch 9:svn19878.1.1-61.fc38 fedora 38 k texlive-gillius noarch 9:svn32068.0-61.fc38 fedora 1.1 M texlive-gincltex noarch 9:svn56291-61.fc38 fedora 19 k texlive-gindex noarch 9:svn52311-61.fc38 fedora 46 k texlive-ginpenc noarch 9:svn24980.1.0-61.fc38 fedora 23 k texlive-gitfile-info noarch 9:svn51928-61.fc38 fedora 573 k texlive-gitinfo noarch 9:svn34049.1.0-61.fc38 fedora 19 k texlive-gitinfo2 noarch 9:svn38913-61.fc38 fedora 21 k texlive-gitlog noarch 9:svn38932-61.fc38 fedora 20 k texlive-gitver noarch 9:svn56810-61.fc38 fedora 137 k texlive-globalvals noarch 9:svn49962-61.fc38 fedora 165 k texlive-glosmathtools noarch 9:svn55920-61.fc38 fedora 537 k texlive-gloss noarch 9:svn15878.1.5.2-61.fc38 fedora 21 k texlive-glossaries noarch 9:20210325-52.fc38 fedora 5.8 M texlive-glossaries-danish noarch 9:svn35665.1.0-61.fc38 fedora 19 k texlive-glossaries-dutch noarch 9:svn35685.1.1-61.fc38 fedora 19 k texlive-glossaries-english noarch 9:svn35665.1.0-61.fc38 fedora 19 k texlive-glossaries-estonian noarch 9:svn49928-61.fc38 fedora 41 k texlive-glossaries-extra noarch 9:svn54688-61.fc38 fedora 88 k texlive-glossaries-finnish noarch 9:svn54080-61.fc38 fedora 154 k texlive-glossaries-french noarch 9:svn42873-61.fc38 fedora 19 k texlive-glossaries-german noarch 9:svn35665.1.0-61.fc38 fedora 19 k texlive-glossaries-irish noarch 9:svn35665.1.0-61.fc38 fedora 20 k texlive-glossaries-italian noarch 9:svn35665.1.0-61.fc38 fedora 19 k texlive-glossaries-magyar noarch 9:svn35665.1.0-61.fc38 fedora 20 k texlive-glossaries-nynorsk noarch 9:svn55189-61.fc38 fedora 139 k texlive-glossaries-polish noarch 9:svn35665.1.0-61.fc38 fedora 20 k texlive-glossaries-portuges noarch 9:svn36064.1.1-61.fc38 fedora 20 k texlive-glossaries-serbian noarch 9:svn35665.1.0-61.fc38 fedora 20 k texlive-glossaries-slovene noarch 9:svn51211-61.fc38 fedora 139 k texlive-glossaries-spanish noarch 9:svn35665.1.0-61.fc38 fedora 20 k texlive-glyphlist noarch 9:20210325-52.fc38 fedora 38 k texlive-gmdoc noarch 9:svn21292.0.993-61.fc38 fedora 61 k texlive-gmdoc-enhance noarch 9:svn15878.v0.2-61.fc38 fedora 18 k texlive-gmiflink noarch 9:svn15878.v0.97-61.fc38 fedora 18 k texlive-gmp noarch 9:svn21691.1.0-61.fc38 fedora 21 k texlive-gmutils noarch 9:svn24287.v0.996-61.fc38 fedora 82 k texlive-gmverb noarch 9:svn24288.v0.98-61.fc38 fedora 31 k texlive-gnu-freefont noarch 9:svn29349.0-61.fc38 fedora 7.4 M texlive-gnuplottex noarch 9:svn54758-61.fc38 fedora 21 k texlive-gofonts noarch 9:svn54512-61.fc38 fedora 1.7 M texlive-gothic noarch 9:svn49869-61.fc38 fedora 167 k texlive-gotoh noarch 9:svn44764-61.fc38 fedora 296 k texlive-grabbox noarch 9:svn51052-61.fc38 fedora 362 k texlive-gradientframe noarch 9:svn21387.0.2-61.fc38 fedora 19 k texlive-gradstudentresume noarch 9:svn38832-61.fc38 fedora 19 k texlive-grafcet noarch 9:svn22509.1.3.5-61.fc38 fedora 18 k texlive-grant noarch 9:svn56852-61.fc38 fedora 126 k texlive-graph35 noarch 9:svn47522-61.fc38 fedora 2.1 M texlive-graphbox noarch 9:svn46360-61.fc38 fedora 19 k texlive-graphics noarch 9:svn56514-61.fc38 fedora 40 k texlive-graphics-cfg noarch 9:svn41448-61.fc38 fedora 13 k texlive-graphics-def noarch 9:svn58539-61.fc38 fedora 29 k texlive-graphicx-psmin noarch 9:svn56931-61.fc38 fedora 17 k texlive-graphicxbox noarch 9:svn32630.1.0-61.fc38 fedora 16 k texlive-graphicxpsd noarch 9:svn57341-61.fc38 fedora 431 k texlive-graphpaper noarch 9:svn58661-61.fc38 fedora 423 k texlive-graphviz noarch 9:svn31517.0.94-61.fc38 fedora 19 k texlive-grayhints noarch 9:svn49052-61.fc38 fedora 503 k texlive-greek-fontenc noarch 9:svn56851-61.fc38 fedora 49 k texlive-greek-inputenc noarch 9:svn51612-61.fc38 fedora 25 k texlive-greenpoint noarch 9:svn15878.0-61.fc38 fedora 18 k texlive-grfext noarch 9:svn53024-61.fc38 fedora 318 k texlive-grffile noarch 9:svn52756-61.fc38 fedora 361 k texlive-grfpaste noarch 9:svn17354.0.2-61.fc38 fedora 18 k texlive-grid noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-grid-system noarch 9:svn32981.0.3.0-61.fc38 fedora 17 k texlive-gridpapers noarch 9:svn58723-61.fc38 fedora 247 k texlive-gridset noarch 9:svn53762-61.fc38 fedora 17 k texlive-gridslides noarch 9:svn54512-61.fc38 fedora 582 k texlive-grotesq noarch 9:svn35859.0-61.fc38 fedora 74 k texlive-grundgesetze noarch 9:svn58997-61.fc38 fedora 20 k texlive-gsemthesis noarch 9:svn56291-61.fc38 fedora 20 k texlive-gsftopk x86_64 9:20210325-52.fc38 fedora 35 k texlive-gtl noarch 9:svn49527-61.fc38 fedora 18 k texlive-gtrlib-largetrees noarch 9:svn49062-61.fc38 fedora 322 k texlive-gu noarch 9:svn15878.0-61.fc38 fedora 21 k texlive-gudea noarch 9:svn57359-61.fc38 fedora 266 k texlive-guitlogo noarch 9:svn55741-61.fc38 fedora 18 k texlive-gzt noarch 9:svn57765-61.fc38 fedora 53 k texlive-h2020proposal noarch 9:svn38428-61.fc38 fedora 31 k texlive-hackthefootline noarch 9:svn46494-61.fc38 fedora 199 k texlive-hacm noarch 9:svn27671.0.1-61.fc38 fedora 254 k texlive-hagenberg-thesis noarch 9:svn56798-61.fc38 fedora 3.8 M texlive-halloweenmath noarch 9:svn52602-61.fc38 fedora 887 k texlive-handin noarch 9:svn48255-61.fc38 fedora 448 k texlive-handout noarch 9:svn43962-61.fc38 fedora 19 k texlive-hands noarch 9:svn13293.0-61.fc38 fedora 15 k texlive-hang noarch 9:svn43280-61.fc38 fedora 18 k texlive-hanging noarch 9:svn15878.1.2b-61.fc38 fedora 19 k texlive-har2nat noarch 9:svn54080-61.fc38 fedora 16 k texlive-hardwrap noarch 9:svn21396.0.2-61.fc38 fedora 20 k texlive-harnon-cv noarch 9:svn26543.1.0-61.fc38 fedora 14 k texlive-harpoon noarch 9:svn21327.1.0-61.fc38 fedora 13 k texlive-harvard noarch 9:svn15878.2.0.5-61.fc38 fedora 32 k texlive-harveyballs noarch 9:svn32003.1.1-61.fc38 fedora 24 k texlive-harvmac noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-hc noarch 9:svn15878.0-61.fc38 fedora 25 k texlive-he-she noarch 9:svn41359-61.fc38 fedora 20 k texlive-hecthese noarch 9:svn56181-61.fc38 fedora 882 k texlive-helmholtz-ellis-ji-notation noarch 9:svn55213-61.fc38 fedora 105 k texlive-helvetic noarch 9:svn31835.0-61.fc38 fedora 659 k texlive-hep noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-hep-paper noarch 9:svn57045-61.fc38 fedora 1.3 M texlive-hepnames noarch 9:svn35722.2.0-61.fc38 fedora 22 k texlive-hepparticles noarch 9:svn35723.2.0-61.fc38 fedora 19 k texlive-hepthesis noarch 9:svn46054-61.fc38 fedora 22 k texlive-hepunits noarch 9:svn54758-61.fc38 fedora 16 k texlive-here noarch 9:svn16135.0-61.fc38 fedora 13 k texlive-heuristica noarch 9:svn51362-61.fc38 fedora 1.2 M texlive-hf-tikz noarch 9:svn34733.0.3a-61.fc38 fedora 21 k texlive-hfbright noarch 9:svn29349.0-61.fc38 fedora 842 k texlive-hfoldsty noarch 9:svn29349.1.15-61.fc38 fedora 432 k texlive-hhtensor noarch 9:svn54080-61.fc38 fedora 16 k texlive-highlightlatex noarch 9:svn58392-61.fc38 fedora 231 k texlive-hindmadurai noarch 9:svn57360-61.fc38 fedora 1.3 M texlive-histogr noarch 9:svn15878.1.01-61.fc38 fedora 19 k texlive-historische-zeitschrift noarch 9:svn42635-61.fc38 fedora 20 k texlive-hitec noarch 9:svn15878.0.0_beta_-61.fc38 fedora 20 k texlive-hithesis noarch 9:svn53362-61.fc38 fedora 1.0 M texlive-hitreport noarch 9:svn58357-61.fc38 fedora 1.3 M texlive-hitszbeamer noarch 9:svn54381-61.fc38 fedora 1.1 M texlive-hitszthesis noarch 9:svn55643-61.fc38 fedora 1.6 M texlive-hletter noarch 9:svn30002.4.2-61.fc38 fedora 26 k texlive-hobby noarch 9:svn44474-61.fc38 fedora 27 k texlive-hobete noarch 9:svn27036.0-61.fc38 fedora 22 k texlive-hobsub noarch 9:svn52810-61.fc38 fedora 78 k texlive-hologo noarch 9:svn53048-61.fc38 fedora 563 k texlive-hopatch noarch 9:svn56106-61.fc38 fedora 313 k texlive-hpsdiss noarch 9:svn15878.1.0-61.fc38 fedora 22 k texlive-hrefhide noarch 9:svn22255.1.0f-61.fc38 fedora 20 k texlive-hu-berlin-bundle noarch 9:svn57580-61.fc38 fedora 240 k texlive-huawei noarch 9:svn59328-61.fc38 fedora 625 k texlive-hustthesis noarch 9:svn42547-61.fc38 fedora 789 k texlive-hvfloat noarch 9:svn59104-61.fc38 fedora 23 k texlive-hvindex noarch 9:svn46051-61.fc38 fedora 16 k texlive-hvqrurl noarch 9:svn52993-61.fc38 fedora 113 k texlive-hycolor noarch 9:svn53584-61.fc38 fedora 384 k texlive-hypdestopt noarch 9:svn56253-61.fc38 fedora 337 k texlive-hypdvips noarch 9:svn53197-61.fc38 fedora 41 k texlive-hyper noarch 9:svn17357.4.2d-61.fc38 fedora 39 k texlive-hyperbar noarch 9:svn48147-61.fc38 fedora 450 k texlive-hypernat noarch 9:svn17358.1.0b-61.fc38 fedora 18 k texlive-hyperref noarch 9:svn58024-61.fc38 fedora 120 k texlive-hyperxmp x86_64 9:20210325-52.fc38 fedora 1.1 M texlive-hyph-utf8 noarch 9:svn58619-61.fc38 fedora 30 k texlive-hyphen-base noarch 9:svn58630-61.fc38 fedora 34 k texlive-hyphen-polish noarch 9:svn58609-61.fc38 fedora 27 k texlive-hyphenat noarch 9:svn15878.2.3c-61.fc38 fedora 19 k texlive-hyphenex noarch 9:svn57387-61.fc38 fedora 20 k texlive-ibarra noarch 9:svn55820-61.fc38 fedora 1.4 M texlive-icite noarch 9:svn54512-61.fc38 fedora 290 k texlive-icsv noarch 9:svn15878.0.2-61.fc38 fedora 18 k texlive-identkey noarch 9:svn49018-61.fc38 fedora 24 k texlive-idxcmds noarch 9:svn54554-61.fc38 fedora 20 k texlive-idxlayout noarch 9:svn25821.0.4d-61.fc38 fedora 17 k texlive-ieeepes noarch 9:svn17359.4.0-61.fc38 fedora 24 k texlive-ietfbibs-doc noarch 9:svn41332-61.fc38 fedora 16 k texlive-iffont noarch 9:svn38823-61.fc38 fedora 18 k texlive-ifmslide noarch 9:svn20727.0.47-61.fc38 fedora 288 k texlive-ifmtarg noarch 9:svn47544-61.fc38 fedora 15 k texlive-ifnextok noarch 9:svn23379.0.3-61.fc38 fedora 28 k texlive-ifoddpage noarch 9:svn56291-61.fc38 fedora 19 k texlive-ifplatform noarch 9:svn45533-61.fc38 fedora 17 k texlive-ifsym noarch 9:svn24868.0-61.fc38 fedora 34 k texlive-iftex noarch 9:svn56594-61.fc38 fedora 21 k texlive-ifthenx noarch 9:svn25819.0.1a-61.fc38 fedora 18 k texlive-iitem noarch 9:svn29613.1.0-61.fc38 fedora 16 k texlive-ijmart noarch 9:svn30958.1.7-61.fc38 fedora 26 k texlive-ijqc noarch 9:svn15878.1.2-61.fc38 fedora 20 k texlive-ijsra noarch 9:svn44886-61.fc38 fedora 706 k texlive-imac noarch 9:svn17347.0-61.fc38 fedora 23 k texlive-image-gallery noarch 9:svn15878.v1.0j-61.fc38 fedora 17 k texlive-imakeidx noarch 9:svn42287-61.fc38 fedora 22 k texlive-imfellenglish noarch 9:svn38547-61.fc38 fedora 2.9 M texlive-import noarch 9:svn54683-61.fc38 fedora 14 k texlive-imtekda noarch 9:svn17667.1.7-61.fc38 fedora 19 k texlive-incgraph noarch 9:svn36500.1.12-61.fc38 fedora 22 k texlive-includernw noarch 9:svn47557-61.fc38 fedora 173 k texlive-inconsolata noarch 9:svn54512-61.fc38 fedora 366 k texlive-index noarch 9:svn24099.4.1beta-61.fc38 fedora 23 k texlive-indextools noarch 9:svn38931-61.fc38 fedora 22 k texlive-infwarerr noarch 9:svn53023-61.fc38 fedora 296 k texlive-initials noarch 9:svn54080-61.fc38 fedora 3.0 M texlive-inkpaper noarch 9:svn54080-61.fc38 fedora 297 k texlive-inline-images noarch 9:svn54080-61.fc38 fedora 136 k texlive-inlinebib noarch 9:svn22018.0-61.fc38 fedora 22 k texlive-inlinedef noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-inputenx noarch 9:svn52986-61.fc38 fedora 828 k texlive-inputtrc noarch 9:svn28019.0.3-61.fc38 fedora 20 k texlive-inriafonts noarch 9:svn54512-61.fc38 fedora 2.6 M texlive-intcalc noarch 9:svn53168-61.fc38 fedora 433 k texlive-inter noarch 9:svn58892-61.fc38 fedora 6.8 M texlive-interactiveworkbook noarch 9:svn15878.0-61.fc38 fedora 20 k texlive-interfaces noarch 9:svn21474.3.1-61.fc38 fedora 54 k texlive-interval noarch 9:svn50265-61.fc38 fedora 19 k texlive-intopdf noarch 9:svn58743-61.fc38 fedora 387 k texlive-inversepath noarch 9:svn15878.0.2-61.fc38 fedora 19 k texlive-invoice noarch 9:svn48359-61.fc38 fedora 25 k texlive-invoice-class noarch 9:svn49749-61.fc38 fedora 121 k texlive-invoice2 noarch 9:svn46364-61.fc38 fedora 625 k texlive-iodhbwm noarch 9:svn57773-61.fc38 fedora 3.0 M texlive-ionumbers noarch 9:svn33457.0.3.3-61.fc38 fedora 21 k texlive-iopart-num noarch 9:svn15878.2.1-61.fc38 fedora 22 k texlive-ipaex noarch 9:svn52032-61.fc38 fedora 16 M texlive-ipaex-type1 noarch 9:svn47700-61.fc38 fedora 13 M texlive-is-bst noarch 9:svn52623-61.fc38 fedora 36 k texlive-iscram noarch 9:svn45801-61.fc38 fedora 283 k texlive-iso noarch 9:svn15878.2.4-61.fc38 fedora 30 k texlive-iso10303 noarch 9:svn15878.1.5-61.fc38 fedora 73 k texlive-isodate noarch 9:svn16613.2.28-61.fc38 fedora 23 k texlive-isodoc noarch 9:svn57811-61.fc38 fedora 27 k texlive-isomath noarch 9:svn27654.0.6.1-61.fc38 fedora 19 k texlive-isonums noarch 9:svn17362.1.0-61.fc38 fedora 17 k texlive-isopt noarch 9:svn45509-61.fc38 fedora 79 k texlive-isorot noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-isotope noarch 9:svn23711.v0.3-61.fc38 fedora 16 k texlive-issuulinks noarch 9:svn25742.1.1-61.fc38 fedora 20 k texlive-istgame noarch 9:svn49848-61.fc38 fedora 763 k texlive-itnumpar noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-iwhdp noarch 9:svn37552.0.50-61.fc38 fedora 27 k texlive-iwona noarch 9:svn19611.0.995b-61.fc38 fedora 4.1 M texlive-jablantile noarch 9:svn16364.0-61.fc38 fedora 16 k texlive-jacow noarch 9:svn50870-61.fc38 fedora 23 k texlive-jamtimes noarch 9:svn20408.1.12-61.fc38 fedora 98 k texlive-japanese-otf noarch 9:svn57826-61.fc38 fedora 928 k texlive-japanese-otf-uptex noarch 9:svn56932-61.fc38 fedora 500 k texlive-jbact noarch 9:svn52717-61.fc38 fedora 21 k texlive-jkmath noarch 9:svn47109-61.fc38 fedora 143 k texlive-jknapltx noarch 9:svn19440.0-61.fc38 fedora 27 k texlive-jlabels noarch 9:svn24858.0-61.fc38 fedora 13 k texlive-jmb noarch 9:svn52718-61.fc38 fedora 22 k texlive-jmlr noarch 9:svn56395-61.fc38 fedora 42 k texlive-jneurosci noarch 9:svn17346.1.00-61.fc38 fedora 21 k texlive-jnuexam noarch 9:svn56867-61.fc38 fedora 609 k texlive-josefin noarch 9:svn57152-61.fc38 fedora 1.3 M texlive-jpsj noarch 9:svn15878.1.2.2-61.fc38 fedora 22 k texlive-jslectureplanner noarch 9:svn57095-61.fc38 fedora 31 k texlive-jumplines noarch 9:svn37553.0.2-61.fc38 fedora 21 k texlive-junicode noarch 9:svn53954-61.fc38 fedora 1.1 M texlive-jupynotex noarch 9:svn56715-61.fc38 fedora 44 k texlive-jurabib noarch 9:svn15878.0.6-61.fc38 fedora 75 k texlive-jvlisting noarch 9:svn24638.0.7-61.fc38 fedora 17 k texlive-kalendarium noarch 9:svn48744-61.fc38 fedora 376 k texlive-kantlipsum noarch 9:svn51727-61.fc38 fedora 41 k texlive-karnaugh noarch 9:svn21338.0-61.fc38 fedora 19 k texlive-karnaugh-map noarch 9:svn44131-61.fc38 fedora 248 k texlive-karnaughmap noarch 9:svn36989.2.0-61.fc38 fedora 19 k texlive-kastrup noarch 9:svn15878.0-61.fc38 fedora 13 k texlive-kblocks noarch 9:svn57617-61.fc38 fedora 350 k texlive-kdgdocs noarch 9:svn24498.1.0-61.fc38 fedora 21 k texlive-kerntest noarch 9:svn15878.1.32-61.fc38 fedora 28 k texlive-keycommand noarch 9:svn18042.3.1415-61.fc38 fedora 20 k texlive-keyfloat noarch 9:svn52160-61.fc38 fedora 1.0 M texlive-keyindex noarch 9:svn50828-61.fc38 fedora 160 k texlive-keyreader noarch 9:svn28195.0.5b-61.fc38 fedora 26 k texlive-keystroke noarch 9:svn17992.v1.6-61.fc38 fedora 24 k texlive-keyval2e noarch 9:svn23698.0.0.2-61.fc38 fedora 20 k texlive-keyvaltable noarch 9:svn54677-61.fc38 fedora 24 k texlive-kix noarch 9:svn21606.0-61.fc38 fedora 16 k texlive-kixfont noarch 9:svn18488.0-61.fc38 fedora 13 k texlive-kluwer noarch 9:svn54074-61.fc38 fedora 73 k texlive-knitting noarch 9:svn50782-61.fc38 fedora 606 k texlive-knittingpattern noarch 9:svn17205.0-61.fc38 fedora 19 k texlive-knowledge noarch 9:svn58724-61.fc38 fedora 787 k texlive-knuth-lib noarch 9:svn57963-61.fc38 fedora 53 k texlive-knuth-local noarch 9:svn57963-61.fc38 fedora 46 k texlive-koma-moderncvclassic noarch 9:svn25025.v0.5-61.fc38 fedora 22 k texlive-koma-script noarch 9:svn58585-61.fc38 fedora 6.0 M texlive-koma-script-sfs noarch 9:svn26137.1.0-61.fc38 fedora 18 k texlive-komacv noarch 9:svn57721-61.fc38 fedora 25 k texlive-komacv-rg noarch 9:svn49064-61.fc38 fedora 849 k texlive-kpathsea x86_64 9:20210325-52.fc38 fedora 1.1 M texlive-kpfonts noarch 9:svn55643-61.fc38 fedora 2.7 M texlive-kpfonts-otf noarch 9:svn59304-61.fc38 fedora 3.2 M texlive-ksfh_nat noarch 9:svn24825.1.1-61.fc38 fedora 21 k texlive-ksp-thesis noarch 9:svn39080-61.fc38 fedora 22 k texlive-ktv-texdata noarch 9:svn27369.05.34-61.fc38 fedora 19 k texlive-ku-template noarch 9:svn45935-61.fc38 fedora 234 k texlive-kurier noarch 9:svn19612.0.995b-61.fc38 fedora 4.0 M texlive-kvdefinekeys noarch 9:svn53193-61.fc38 fedora 307 k texlive-kvmap noarch 9:svn56361-61.fc38 fedora 111 k texlive-kvoptions noarch 9:svn56609-61.fc38 fedora 481 k texlive-kvsetkeys noarch 9:svn53166-61.fc38 fedora 381 k texlive-l3backend noarch 9:svn59118-61.fc38 fedora 852 k texlive-l3build noarch 9:20210325-52.fc38 fedora 746 k texlive-l3experimental noarch 9:svn57789-61.fc38 fedora 43 k texlive-l3kernel noarch 9:svn59118-61.fc38 fedora 196 k texlive-l3packages noarch 9:svn59118-61.fc38 fedora 41 k texlive-labbook noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-labels noarch 9:svn15878.13-61.fc38 fedora 19 k texlive-labels4easylist noarch 9:svn51124-61.fc38 fedora 37 k texlive-labelschanged noarch 9:svn46040-61.fc38 fedora 202 k texlive-ladder noarch 9:svn44394-61.fc38 fedora 220 k texlive-lambda-lists noarch 9:svn31402.0-61.fc38 fedora 16 k texlive-langcode noarch 9:svn27764.0.2-61.fc38 fedora 20 k texlive-langsci noarch 9:svn58820-61.fc38 fedora 108 k texlive-langsci-avm noarch 9:svn55846-61.fc38 fedora 130 k texlive-lapdf noarch 9:svn23806.1.1-61.fc38 fedora 28 k texlive-lastpackage noarch 9:svn34481.0.1-61.fc38 fedora 18 k texlive-lastpage noarch 9:svn36680.1.2m-61.fc38 fedora 21 k texlive-latex noarch 9:20210325-52.fc38 fedora 22 M texlive-latex-base-dev noarch 9:svn59080-61.fc38 fedora 26 M texlive-latex-firstaid-dev noarch 9:svn57981-61.fc38 fedora 233 k texlive-latex-fonts noarch 9:svn28888.0-61.fc38 fedora 50 k texlive-latex-make noarch 9:svn57349-61.fc38 fedora 40 k texlive-latex-uni8 noarch 9:svn49729-61.fc38 fedora 26 k texlive-latexbug noarch 9:svn58151-61.fc38 fedora 203 k texlive-latexcolors noarch 9:svn49888-61.fc38 fedora 389 k texlive-latexconfig noarch 9:svn53525-61.fc38 fedora 16 k texlive-latexdemo noarch 9:svn55265-61.fc38 fedora 20 k texlive-latexgit noarch 9:svn54811-61.fc38 fedora 447 k texlive-lato noarch 9:svn54512-61.fc38 fedora 13 M texlive-layouts noarch 9:svn42428-61.fc38 fedora 31 k texlive-lazylist noarch 9:svn17691.1.0a-61.fc38 fedora 18 k texlive-lccaps noarch 9:svn46432-61.fc38 fedora 165 k texlive-lcd noarch 9:svn16549.0.3-61.fc38 fedora 18 k texlive-lcg noarch 9:svn31474.1.3-61.fc38 fedora 17 k texlive-leading noarch 9:svn15878.0.3-61.fc38 fedora 16 k texlive-leaflet noarch 9:svn56878-61.fc38 fedora 20 k texlive-lebhart noarch 9:svn59322-61.fc38 fedora 448 k texlive-lectures noarch 9:svn53642-61.fc38 fedora 19 k texlive-lectureslides noarch 9:svn58393-61.fc38 fedora 59 k texlive-leftidx noarch 9:svn15878.0-61.fc38 fedora 15 k texlive-leftindex noarch 9:svn56182-61.fc38 fedora 91 k texlive-leipzig noarch 9:svn52450-61.fc38 fedora 23 k texlive-lengthconvert noarch 9:svn55064-61.fc38 fedora 19 k texlive-letltxmacro noarch 9:svn53022-61.fc38 fedora 300 k texlive-letterswitharrows noarch 9:svn53709-61.fc38 fedora 462 k texlive-lettre noarch 9:svn54722-61.fc38 fedora 44 k texlive-lettrine noarch 9:svn54560-61.fc38 fedora 26 k texlive-lewis noarch 9:svn15878.0.1-61.fc38 fedora 13 k texlive-lexend noarch 9:svn57564-61.fc38 fedora 374 k texlive-lfb noarch 9:svn15878.1.0-61.fc38 fedora 53 k texlive-lhelp noarch 9:svn23638.2.0-61.fc38 fedora 22 k texlive-lib x86_64 9:20210325-52.fc38 fedora 485 k texlive-libertine noarch 9:svn54583-61.fc38 fedora 14 M texlive-libertinegc noarch 9:svn44616-61.fc38 fedora 95 k texlive-libertinus noarch 9:svn55064-61.fc38 fedora 14 k texlive-libertinus-fonts noarch 9:svn57948-61.fc38 fedora 2.3 M texlive-libertinus-otf noarch 9:svn57398-61.fc38 fedora 600 k texlive-libertinus-type1 noarch 9:svn57754-61.fc38 fedora 10 M texlive-libertinust1math noarch 9:svn56861-61.fc38 fedora 1.0 M texlive-libgreek noarch 9:svn27789.1.0-61.fc38 fedora 20 k texlive-librebaskerville noarch 9:svn56018-61.fc38 fedora 644 k texlive-librebodoni noarch 9:svn39375-61.fc38 fedora 615 k texlive-librecaslon noarch 9:svn56003-61.fc38 fedora 833 k texlive-librefranklin noarch 9:svn54512-61.fc38 fedora 3.1 M texlive-libris noarch 9:svn19409.1.007-61.fc38 fedora 279 k texlive-lie-hasse noarch 9:svn53653-61.fc38 fedora 588 k texlive-limap noarch 9:svn44863-61.fc38 fedora 19 k texlive-limecv noarch 9:svn54329-61.fc38 fedora 388 k texlive-lineara noarch 9:svn15878.0-61.fc38 fedora 165 k texlive-linegoal noarch 9:svn21523.2.9-61.fc38 fedora 19 k texlive-lineno noarch 9:svn57866-61.fc38 fedora 76 k texlive-linguex noarch 9:svn30815.4.3-61.fc38 fedora 22 k texlive-linguisticspro noarch 9:svn54512-61.fc38 fedora 1.5 M texlive-linop noarch 9:svn41304-61.fc38 fedora 19 k texlive-lion-msc noarch 9:svn55415-61.fc38 fedora 1.4 M texlive-lipsum noarch 9:svn58123-61.fc38 fedora 90 k texlive-lisp-on-tex noarch 9:svn38722-61.fc38 fedora 27 k texlive-listbib noarch 9:20210325-52.fc38 fedora 357 k texlive-listing noarch 9:svn17373.1.2-61.fc38 fedora 17 k texlive-listings noarch 9:svn55265-61.fc38 fedora 167 k texlive-listingsutf8 noarch 9:svn53097-61.fc38 fedora 309 k texlive-listlbls noarch 9:svn34893.1.03-61.fc38 fedora 19 k texlive-listliketab noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-listofitems noarch 9:svn51923-61.fc38 fedora 807 k texlive-listofsymbols noarch 9:svn16134.0.2-61.fc38 fedora 17 k texlive-lkproof noarch 9:svn20021.3.1-61.fc38 fedora 18 k texlive-llncsconf noarch 9:svn55117-61.fc38 fedora 142 k texlive-lm noarch 9:svn58637-61.fc38 fedora 12 M texlive-lm-math noarch 9:svn36915.1.959-61.fc38 fedora 448 k texlive-lmake noarch 9:svn25552.1.0-61.fc38 fedora 18 k texlive-lni noarch 9:svn58061-61.fc38 fedora 388 k texlive-lobster2 noarch 9:svn56019-61.fc38 fedora 573 k texlive-locality noarch 9:svn20422.0.2-61.fc38 fedora 20 k texlive-logbox noarch 9:svn24499.1.0-61.fc38 fedora 19 k texlive-logical-markup-utils noarch 9:svn15878.0-61.fc38 fedora 25 k texlive-logicproof noarch 9:svn33254.0-61.fc38 fedora 19 k texlive-logix noarch 9:svn57457-61.fc38 fedora 3.3 M texlive-logpap noarch 9:svn15878.0.6-61.fc38 fedora 21 k texlive-logreq noarch 9:svn53003-61.fc38 fedora 21 k texlive-longdivision noarch 9:svn55117-61.fc38 fedora 166 k texlive-longfbox noarch 9:svn39028-61.fc38 fedora 34 k texlive-longfigure noarch 9:svn34302.1.0-61.fc38 fedora 22 k texlive-longnamefilelist noarch 9:svn27889.0.2-61.fc38 fedora 21 k texlive-loops noarch 9:svn30704.1.3-61.fc38 fedora 29 k texlive-lpform noarch 9:svn36918.0-61.fc38 fedora 16 k texlive-lpic noarch 9:svn20843.0.8-61.fc38 fedora 23 k texlive-lplfitch noarch 9:svn31077.0.9-61.fc38 fedora 19 k texlive-lps noarch 9:svn21322.0.7-61.fc38 fedora 17 k texlive-lroundrect noarch 9:svn39804-61.fc38 fedora 20 k texlive-lsc noarch 9:svn15878.0-61.fc38 fedora 29 k texlive-lstaddons noarch 9:svn56291-61.fc38 fedora 20 k texlive-lstbayes noarch 9:svn48160-61.fc38 fedora 20 k texlive-lstfiracode noarch 9:svn49503-61.fc38 fedora 75 k texlive-lt3graph noarch 9:svn45913-61.fc38 fedora 28 k texlive-ltablex noarch 9:svn34923.1.1-61.fc38 fedora 17 k texlive-ltabptch noarch 9:svn17533.1.74d-61.fc38 fedora 19 k texlive-ltb2bib noarch 9:svn43746-61.fc38 fedora 61 k texlive-ltxcmds noarch 9:svn56421-61.fc38 fedora 401 k texlive-ltxdockit noarch 9:svn21869.1.2d-61.fc38 fedora 22 k texlive-ltxguidex noarch 9:svn50992-61.fc38 fedora 87 k texlive-ltxkeys noarch 9:svn28332.0.0.3c-61.fc38 fedora 40 k texlive-ltxmisc noarch 9:svn21927.0-61.fc38 fedora 28 k texlive-ltxnew noarch 9:svn21586.1.3-61.fc38 fedora 20 k texlive-ltxtools noarch 9:svn24897.0.0.1a-61.fc38 fedora 70 k texlive-lua-alt-getopt noarch 9:svn56414-61.fc38 fedora 13 k texlive-lua-check-hyphen noarch 9:svn47527-61.fc38 fedora 16 k texlive-lua-physical noarch 9:svn59138-61.fc38 fedora 787 k texlive-luabibentry noarch 9:svn55777-61.fc38 fedora 20 k texlive-luabidi noarch 9:svn54512-61.fc38 fedora 20 k texlive-luacode noarch 9:svn25193.1.2a-61.fc38 fedora 20 k texlive-luacolor noarch 9:svn57829-61.fc38 fedora 339 k texlive-luahbtex x86_64 9:20210325-52.fc38 fedora 2.0 M texlive-luainputenc noarch 9:svn20491.0.973-61.fc38 fedora 17 k texlive-lualatex-math noarch 9:svn56541-61.fc38 fedora 21 k texlive-lualibs noarch 9:svn57277-61.fc38 fedora 151 k texlive-luamesh noarch 9:svn55475-61.fc38 fedora 256 k texlive-luaotfload noarch 9:20210325-52.fc38 fedora 1.2 M texlive-luasseq noarch 9:svn37877.0-61.fc38 fedora 25 k texlive-luatex x86_64 9:20210325-52.fc38 fedora 3.8 M texlive-luatexbase noarch 9:svn52663-61.fc38 fedora 17 k texlive-luatexja noarch 9:svn58542-61.fc38 fedora 240 k texlive-luatextra noarch 9:svn20747.1.0.1-61.fc38 fedora 13 k texlive-luatodonotes noarch 9:svn53825-61.fc38 fedora 43 k texlive-lwarp noarch 9:20210325-52.fc38 fedora 3.3 M texlive-lxfonts noarch 9:svn32354.2.0b-61.fc38 fedora 950 k texlive-ly1 noarch 9:svn47848-61.fc38 fedora 102 k texlive-macroswap noarch 9:svn31498.1.1-61.fc38 fedora 17 k texlive-magaz noarch 9:svn24694.0.4-61.fc38 fedora 16 k texlive-magicnum noarch 9:svn52983-61.fc38 fedora 334 k texlive-magra noarch 9:svn57373-61.fc38 fedora 243 k texlive-mailing noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-mailmerge noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-make4ht noarch 9:20210325-52.fc38 fedora 231 k texlive-makebarcode noarch 9:svn15878.1.0-61.fc38 fedora 20 k texlive-makebase noarch 9:svn41012-61.fc38 fedora 19 k texlive-makebox noarch 9:svn15878.0.1-61.fc38 fedora 15 k texlive-makecell noarch 9:svn15878.0.1e-61.fc38 fedora 19 k texlive-makecirc noarch 9:svn15878.0-61.fc38 fedora 27 k texlive-makecmds noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-makecookbook noarch 9:svn49311-61.fc38 fedora 476 k texlive-makedtx noarch 9:20210325-52.fc38 fedora 282 k texlive-makeglos noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-makeindex x86_64 9:20210325-52.fc38 fedora 435 k texlive-maker noarch 9:svn44823-61.fc38 fedora 22 k texlive-makerobust noarch 9:svn52811-61.fc38 fedora 83 k texlive-makeshape noarch 9:svn28973.2.1-61.fc38 fedora 19 k texlive-mandi noarch 9:svn49720-61.fc38 fedora 33 k texlive-manfnt noarch 9:svn54684-61.fc38 fedora 16 k texlive-manfnt-font noarch 9:svn54684-61.fc38 fedora 37 k texlive-manuscript noarch 9:svn36110.1.7-61.fc38 fedora 16 k texlive-manyind noarch 9:svn49874-61.fc38 fedora 173 k texlive-marcellus noarch 9:svn56016-61.fc38 fedora 235 k texlive-margbib noarch 9:svn15878.1.0c-61.fc38 fedora 17 k texlive-marginfit noarch 9:svn48281-61.fc38 fedora 227 k texlive-marginfix noarch 9:svn55064-61.fc38 fedora 18 k texlive-marginnote noarch 9:svn48383-61.fc38 fedora 18 k texlive-markdown noarch 9:svn56414-61.fc38 fedora 52 k texlive-marvosym noarch 9:svn29349.2.2a-61.fc38 fedora 147 k texlive-matc3 noarch 9:svn29845.1.0.1-61.fc38 fedora 19 k texlive-matc3mem noarch 9:svn35773.1.1-61.fc38 fedora 20 k texlive-mathabx noarch 9:svn15878.0-61.fc38 fedora 148 k texlive-mathabx-type1 noarch 9:svn21129.0-61.fc38 fedora 1.8 M texlive-mathalpha noarch 9:svn52305-61.fc38 fedora 22 k texlive-mathastext noarch 9:svn52840-61.fc38 fedora 34 k texlive-mathcommand noarch 9:svn53044-61.fc38 fedora 462 k texlive-mathcomp noarch 9:svn15878.0.1f-61.fc38 fedora 16 k texlive-mathdesign noarch 9:svn31639.2.31-61.fc38 fedora 2.6 M texlive-mathexam noarch 9:svn15878.1.00-61.fc38 fedora 16 k texlive-mathfam256 noarch 9:svn53519-61.fc38 fedora 102 k texlive-mathfixs noarch 9:svn49547-61.fc38 fedora 305 k texlive-mathfont noarch 9:svn53035-61.fc38 fedora 838 k texlive-mathlig noarch 9:svn54244-61.fc38 fedora 14 k texlive-mathpartir noarch 9:svn39864-61.fc38 fedora 22 k texlive-mathpazo noarch 9:svn52663-61.fc38 fedora 91 k texlive-mathpunctspace noarch 9:svn46754-61.fc38 fedora 198 k texlive-mathspec noarch 9:svn42773-61.fc38 fedora 25 k texlive-mathspic noarch 9:20210325-52.fc38 fedora 2.4 M texlive-mathtools noarch 9:svn58856-61.fc38 fedora 38 k texlive-matlab-prettifier noarch 9:svn34323.0.3-61.fc38 fedora 21 k texlive-matrix-skeleton noarch 9:svn54080-61.fc38 fedora 156 k texlive-mattens noarch 9:svn17582.1.3-61.fc38 fedora 17 k texlive-maybemath noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-mcaption noarch 9:svn15878.3.0-61.fc38 fedora 16 k texlive-mceinleger noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-mcexam noarch 9:svn46155-61.fc38 fedora 262 k texlive-mcite noarch 9:svn18173.1.6-61.fc38 fedora 18 k texlive-mciteplus noarch 9:svn31648.1.2-61.fc38 fedora 49 k texlive-mcmthesis noarch 9:svn57333-61.fc38 fedora 22 k texlive-mdframed noarch 9:svn31075.1.9b-61.fc38 fedora 37 k texlive-mdputu noarch 9:svn20298.1.2-61.fc38 fedora 33 k texlive-mdsymbol noarch 9:svn28399.0.5-61.fc38 fedora 951 k texlive-mdwtools noarch 9:svn15878.1.05.4-61.fc38 fedora 36 k texlive-media4svg noarch 9:svn57528-61.fc38 fedora 150 k texlive-media9 noarch 9:svn58025-61.fc38 fedora 1.8 M texlive-medstarbeamer noarch 9:svn38828-61.fc38 fedora 20 k texlive-meetingmins noarch 9:svn31878.1.6-61.fc38 fedora 20 k texlive-membranecomputing noarch 9:svn55918-61.fc38 fedora 287 k texlive-memexsupp noarch 9:svn15878.0.1-61.fc38 fedora 16 k texlive-memoir noarch 9:svn58666-61.fc38 fedora 94 k texlive-memory noarch 9:svn30452.1.2-61.fc38 fedora 18 k texlive-memorygraphs noarch 9:svn49631-61.fc38 fedora 204 k texlive-mensa-tex noarch 9:svn45997-61.fc38 fedora 185 k texlive-mentis noarch 9:svn15878.1.5-61.fc38 fedora 19 k texlive-menu noarch 9:svn15878.0.994-61.fc38 fedora 13 k texlive-menucard noarch 9:svn55643-61.fc38 fedora 189 k texlive-menukeys noarch 9:svn57172-61.fc38 fedora 22 k texlive-mercatormap noarch 9:svn56060-61.fc38 fedora 23 M texlive-merriweather noarch 9:svn56365-61.fc38 fedora 5.9 M texlive-metafont x86_64 9:20210325-52.fc38 fedora 264 k texlive-metalogo noarch 9:svn18611.0.12-61.fc38 fedora 17 k texlive-metalogox noarch 9:svn49774-61.fc38 fedora 437 k texlive-metanorma noarch 9:svn55010-61.fc38 fedora 102 k texlive-metastr noarch 9:svn56246-61.fc38 fedora 343 k texlive-method noarch 9:svn17485.2.0b-61.fc38 fedora 17 k texlive-metre noarch 9:svn18489.1.0-61.fc38 fedora 26 k texlive-mfirstuc noarch 9:svn45803-61.fc38 fedora 22 k texlive-mflogo noarch 9:svn42428-61.fc38 fedora 17 k texlive-mflogo-font noarch 9:svn54512-61.fc38 fedora 32 k texlive-mfnfss noarch 9:svn46036-61.fc38 fedora 18 k texlive-mftinc noarch 9:svn15878.1.0a-61.fc38 fedora 18 k texlive-mfware x86_64 9:20210325-52.fc38 fedora 100 k texlive-mgltex noarch 9:svn41676-61.fc38 fedora 1.7 M texlive-mhchem noarch 9:svn52662-61.fc38 fedora 70 k texlive-mhequ noarch 9:svn38224.1.7-61.fc38 fedora 15 k texlive-mi-solns noarch 9:svn49651-61.fc38 fedora 467 k texlive-miama noarch 9:svn54512-61.fc38 fedora 559 k texlive-microtype noarch 9:svn58394-61.fc38 fedora 72 k texlive-midpage noarch 9:svn17484.1.1a-61.fc38 fedora 15 k texlive-miller noarch 9:svn18789.1.2-61.fc38 fedora 16 k texlive-milsymb noarch 9:svn54361-61.fc38 fedora 1.5 M texlive-mindflow noarch 9:svn59346-61.fc38 fedora 208 k texlive-minibox noarch 9:svn30914.0.2a-61.fc38 fedora 16 k texlive-minidocument noarch 9:svn43752-61.fc38 fedora 201 k texlive-minifp noarch 9:svn32559.0.96-61.fc38 fedora 28 k texlive-minimalist noarch 9:svn59317-61.fc38 fedora 106 k texlive-minipage-marginpar noarch 9:svn15878.v0.2-61.fc38 fedora 17 k texlive-miniplot noarch 9:svn17483.0-61.fc38 fedora 21 k texlive-minitoc noarch 9:svn48196-61.fc38 fedora 122 k texlive-minorrevision noarch 9:svn32165.1.1-61.fc38 fedora 18 k texlive-minted noarch 9:svn44855-61.fc38 fedora 27 k texlive-mintspirit noarch 9:svn32069.0-61.fc38 fedora 1.3 M texlive-minutes noarch 9:svn42186-61.fc38 fedora 20 k texlive-mismath noarch 9:svn56949-61.fc38 fedora 535 k texlive-missaali noarch 9:svn58771-61.fc38 fedora 14 M texlive-mkpic noarch 9:20210325-52.fc38 fedora 215 k texlive-mla-paper noarch 9:svn54080-61.fc38 fedora 17 k texlive-mlacls noarch 9:svn56878-61.fc38 fedora 2.9 M texlive-mleftright noarch 9:svn53021-61.fc38 fedora 318 k texlive-mlist noarch 9:svn15878.0.6a-61.fc38 fedora 18 k texlive-mlmodern noarch 9:svn57458-61.fc38 fedora 7.5 M texlive-mluexercise noarch 9:svn56927-61.fc38 fedora 460 k texlive-mmap noarch 9:svn15878.1.03-61.fc38 fedora 50 k texlive-mnotes noarch 9:svn35521.0.8-61.fc38 fedora 19 k texlive-mnras noarch 9:svn55729-61.fc38 fedora 43 k texlive-mnsymbol noarch 9:svn18651.1.4-61.fc38 fedora 4.4 M texlive-modeles-factures-belges-assocs noarch 9:svn50010-61.fc38 fedora 273 k texlive-moderncv noarch 9:svn57496-61.fc38 fedora 61 k texlive-modernposter noarch 9:svn47269-61.fc38 fedora 394 k texlive-moderntimeline noarch 9:svn55518-61.fc38 fedora 20 k texlive-modes noarch 9:svn56303-61.fc38 fedora 306 k texlive-modiagram noarch 9:svn56886-61.fc38 fedora 26 k texlive-modref noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-modroman noarch 9:svn29803.1-61.fc38 fedora 17 k texlive-modular noarch 9:svn44142-61.fc38 fedora 161 k texlive-monofill noarch 9:svn28140.0.2-61.fc38 fedora 21 k texlive-montserrat noarch 9:svn54512-61.fc38 fedora 14 M texlive-moodle noarch 9:svn57683-61.fc38 fedora 46 k texlive-moreenum noarch 9:svn24479.1.03-61.fc38 fedora 20 k texlive-morefloats noarch 9:svn37927.1.0h-61.fc38 fedora 22 k texlive-morehype noarch 9:svn38815-61.fc38 fedora 81 k texlive-moresize noarch 9:svn17513.1.9-61.fc38 fedora 16 k texlive-moreverb noarch 9:svn22126.2.3a-61.fc38 fedora 17 k texlive-morewrites noarch 9:svn49531-61.fc38 fedora 23 k texlive-mparhack noarch 9:svn59066-61.fc38 fedora 18 k texlive-mpfonts noarch 9:svn54512-61.fc38 fedora 6.0 M texlive-mpostinl noarch 9:svn49559-61.fc38 fedora 342 k texlive-mptopdf noarch 9:20210325-52.fc38 fedora 52 k texlive-ms noarch 9:svn57473-61.fc38 fedora 18 k texlive-msc noarch 9:svn15878.1.16-61.fc38 fedora 33 k texlive-msg noarch 9:svn49578-61.fc38 fedora 23 k texlive-mslapa noarch 9:svn54080-61.fc38 fedora 20 k texlive-msu-thesis noarch 9:svn59146-61.fc38 fedora 26 k texlive-mtgreek noarch 9:svn17967.1.1+-61.fc38 fedora 16 k texlive-mucproc noarch 9:svn43445-61.fc38 fedora 347 k texlive-mugsthesis noarch 9:svn34878.0-61.fc38 fedora 20 k texlive-muling noarch 9:svn56991-61.fc38 fedora 398 k texlive-multenum noarch 9:svn21775.0-61.fc38 fedora 16 k texlive-multiaudience noarch 9:svn38035.1.03-61.fc38 fedora 19 k texlive-multibbl noarch 9:svn15878.v1.1-61.fc38 fedora 16 k texlive-multibib noarch 9:svn15878.1.4-61.fc38 fedora 32 k texlive-multibibliography noarch 9:20210325-52.fc38 fedora 466 k texlive-multicap noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-multicolrule noarch 9:svn56366-61.fc38 fedora 586 k texlive-multidef noarch 9:svn40637-61.fc38 fedora 20 k texlive-multido noarch 9:svn18302.1.42-61.fc38 fedora 18 k texlive-multienv noarch 9:svn56291-61.fc38 fedora 19 k texlive-multiexpand noarch 9:svn45943-61.fc38 fedora 19 k texlive-multilang noarch 9:svn49065-61.fc38 fedora 420 k texlive-multiobjective noarch 9:svn15878.1.0-61.fc38 fedora 16 k texlive-multirow noarch 9:svn58396-61.fc38 fedora 18 k texlive-munich noarch 9:svn15878.0-61.fc38 fedora 21 k texlive-musuos noarch 9:svn24857.1.1d-61.fc38 fedora 18 k texlive-muthesis noarch 9:svn23861.0-61.fc38 fedora 22 k texlive-mversion noarch 9:svn29370.1.0.1-61.fc38 fedora 17 k texlive-mwe noarch 9:svn56291-61.fc38 fedora 841 k texlive-mweights noarch 9:svn53520-61.fc38 fedora 16 k texlive-mycv noarch 9:svn26807.1.5.6-61.fc38 fedora 27 k texlive-mylatex noarch 9:svn56751-61.fc38 fedora 22 k texlive-mylatexformat noarch 9:svn21392.3.4-61.fc38 fedora 22 k texlive-mynsfc noarch 9:svn41996-61.fc38 fedora 21 k texlive-nag noarch 9:svn24741.0.7-61.fc38 fedora 23 k texlive-nameauth noarch 9:svn58026-61.fc38 fedora 24 k texlive-namespc noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-nar noarch 9:svn38100.3.19-61.fc38 fedora 18 k texlive-natbib noarch 9:svn20668.8.31b-61.fc38 fedora 32 k texlive-natded noarch 9:svn32693.0.1-61.fc38 fedora 20 k texlive-nath noarch 9:svn15878.0-61.fc38 fedora 38 k texlive-nature noarch 9:svn21819.1.0-61.fc38 fedora 21 k texlive-navydocs noarch 9:svn41643-61.fc38 fedora 1.0 M texlive-ncclatex noarch 9:svn15878.1.5-61.fc38 fedora 41 k texlive-ncctools noarch 9:svn51810-61.fc38 fedora 48 k texlive-ncntrsbk noarch 9:svn31835.0-61.fc38 fedora 367 k texlive-nddiss noarch 9:svn45107-61.fc38 fedora 27 k texlive-ndsu-thesis noarch 9:svn46639-61.fc38 fedora 21 k texlive-needspace noarch 9:svn29601.1.3d-61.fc38 fedora 15 k texlive-nestquot noarch 9:svn27323.0-61.fc38 fedora 14 k texlive-neuralnetwork noarch 9:svn31500.1.0-61.fc38 fedora 20 k texlive-newcommand-doc noarch 9:svn18704.2.0-61.fc38 fedora 410 k texlive-newcomputermodern noarch 9:svn59041-61.fc38 fedora 8.8 M texlive-newenviron noarch 9:svn29331.1.0-61.fc38 fedora 20 k texlive-newfile noarch 9:svn15878.1.0c-61.fc38 fedora 17 k texlive-newfloat noarch 9:svn52906-61.fc38 fedora 120 k texlive-newlfm noarch 9:svn15878.9.4-61.fc38 fedora 37 k texlive-newpx noarch 9:svn58838-61.fc38 fedora 1.8 M texlive-newspaper noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-newtx noarch 9:svn58748-61.fc38 fedora 5.7 M texlive-newtxsf noarch 9:svn56527-61.fc38 fedora 127 k texlive-newtxtt noarch 9:svn54512-61.fc38 fedora 181 k texlive-newunicodechar noarch 9:svn47382-61.fc38 fedora 19 k texlive-newvbtm noarch 9:svn23996.1.1-61.fc38 fedora 18 k texlive-newverbs noarch 9:svn58256-61.fc38 fedora 20 k texlive-nextpage noarch 9:svn15878.1.1a-61.fc38 fedora 16 k texlive-nfssext-cfr noarch 9:svn43640-61.fc38 fedora 22 k texlive-nicefilelist noarch 9:svn28527.0.7a-61.fc38 fedora 24 k texlive-niceframe noarch 9:svn36086.1.1c-61.fc38 fedora 52 k texlive-niceframe-type1 noarch 9:svn44671-61.fc38 fedora 288 k texlive-nicematrix noarch 9:svn59105-61.fc38 fedora 1.6 M texlive-nicetext noarch 9:svn38914-61.fc38 fedora 77 k texlive-nidanfloat noarch 9:svn48295-61.fc38 fedora 235 k texlive-nih noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-nihbiosketch noarch 9:svn54191-61.fc38 fedora 20 k texlive-nimbus15 noarch 9:svn58839-61.fc38 fedora 3.9 M texlive-ninecolors noarch 9:svn59330-61.fc38 fedora 52 k texlive-nkarta noarch 9:svn16437.0.2-61.fc38 fedora 42 k texlive-nl-interval noarch 9:svn58328-61.fc38 fedora 81 k texlive-nlctdoc noarch 9:svn53768-61.fc38 fedora 21 k texlive-nmbib noarch 9:svn37984.1.04-61.fc38 fedora 27 k texlive-noconflict noarch 9:svn30140.1.0-61.fc38 fedora 19 k texlive-noindentafter noarch 9:svn35709.0.2.2-61.fc38 fedora 20 k texlive-noitcrul noarch 9:svn15878.0.2-61.fc38 fedora 16 k texlive-nolbreaks noarch 9:svn26786.1.2-61.fc38 fedora 14 k texlive-nomencl noarch 9:svn57263-61.fc38 fedora 18 k texlive-nomentbl noarch 9:svn16549.0.4-61.fc38 fedora 17 k texlive-nonfloat noarch 9:svn17598.1.0-61.fc38 fedora 13 k texlive-nonumonpart noarch 9:svn22114.1-61.fc38 fedora 17 k texlive-nopageno noarch 9:svn18128.0-61.fc38 fedora 16 k texlive-norasi-c90 noarch 9:svn37675.0-61.fc38 fedora 22 k texlive-normalcolor noarch 9:svn40125-61.fc38 fedora 19 k texlive-nostarch noarch 9:svn15878.1.3-61.fc38 fedora 23 k texlive-notes noarch 9:svn42428-61.fc38 fedora 23 k texlive-notes2bib noarch 9:svn52231-61.fc38 fedora 19 k texlive-notespages noarch 9:svn41906-61.fc38 fedora 884 k texlive-notestex noarch 9:svn45396-61.fc38 fedora 545 k texlive-notex-bst noarch 9:svn42361-61.fc38 fedora 15 k texlive-noto noarch 9:svn54512-61.fc38 fedora 67 M texlive-noto-emoji noarch 9:svn53968-61.fc38 fedora 8.6 M texlive-notoccite noarch 9:svn18129.0-61.fc38 fedora 13 k texlive-notomath noarch 9:svn58726-61.fc38 fedora 648 k texlive-novel noarch 9:svn54512-61.fc38 fedora 4.7 M texlive-nowidow noarch 9:svn24066.1.0-61.fc38 fedora 18 k texlive-nox noarch 9:svn30991.1.0-61.fc38 fedora 19 k texlive-nrc noarch 9:svn29027.2.01a-61.fc38 fedora 29 k texlive-ntgclass noarch 9:svn56959-61.fc38 fedora 35 k texlive-nth noarch 9:svn54252-61.fc38 fedora 13 k texlive-ntheorem noarch 9:svn27609.1.33-61.fc38 fedora 24 k texlive-nuc noarch 9:svn22256.0.1-61.fc38 fedora 17 k texlive-nucleardata noarch 9:svn47307-61.fc38 fedora 152 k texlive-numberedblock noarch 9:svn33109.1.10-61.fc38 fedora 20 k texlive-numerica noarch 9:svn57759-61.fc38 fedora 1.8 M texlive-numericplots noarch 9:svn31729.2.0.2-61.fc38 fedora 32 k texlive-numname noarch 9:svn18130.0-61.fc38 fedora 17 k texlive-numprint noarch 9:svn27498.1.39-61.fc38 fedora 26 k texlive-numspell noarch 9:svn56912-61.fc38 fedora 246 k texlive-nwejm noarch 9:svn54392-61.fc38 fedora 3.9 M texlive-oberdiek noarch 9:20210325-52.fc38 fedora 8.6 M texlive-objectz noarch 9:svn19389.0-61.fc38 fedora 24 k texlive-obnov noarch 9:svn33355.0.11-61.fc38 fedora 89 k texlive-ocg-p noarch 9:svn28803.0.4-61.fc38 fedora 19 k texlive-ocgx noarch 9:svn54512-61.fc38 fedora 17 k texlive-ocgx2 noarch 9:svn57531-61.fc38 fedora 31 k texlive-ocherokee noarch 9:svn25689.0-61.fc38 fedora 94 k texlive-ocr-b noarch 9:svn20852.0-61.fc38 fedora 29 k texlive-ocr-b-outline noarch 9:svn20969.0-61.fc38 fedora 250 k texlive-ocr-latex noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-octavo noarch 9:svn15878.1.2-61.fc38 fedora 22 k texlive-ogham noarch 9:svn24876.0-61.fc38 fedora 13 k texlive-oinuit noarch 9:svn28668.0-61.fc38 fedora 169 k texlive-old-arrows noarch 9:svn42872-61.fc38 fedora 65 k texlive-oldlatin noarch 9:svn17932.1.00-61.fc38 fedora 80 k texlive-oldstandard noarch 9:svn57213-61.fc38 fedora 1.8 M texlive-oldstyle noarch 9:svn15878.0.2-61.fc38 fedora 16 k texlive-onlyamsmath noarch 9:svn42927-61.fc38 fedora 16 k texlive-onrannual noarch 9:svn17474.1.1-61.fc38 fedora 20 k texlive-opcit noarch 9:svn15878.1.1-61.fc38 fedora 22 k texlive-opensans noarch 9:svn54512-61.fc38 fedora 2.4 M texlive-oplotsymbl noarch 9:svn44951-61.fc38 fedora 244 k texlive-opteng noarch 9:svn27331.1.0-61.fc38 fedora 20 k texlive-optidef noarch 9:svn50941-61.fc38 fedora 23 k texlive-optional noarch 9:svn18131.2.2b-61.fc38 fedora 18 k texlive-options noarch 9:svn39030-61.fc38 fedora 28 k texlive-orcidlink noarch 9:svn58432-61.fc38 fedora 179 k texlive-orientation noarch 9:svn57390-61.fc38 fedora 13 k texlive-orkhun noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-oscola noarch 9:svn54328-61.fc38 fedora 40 k texlive-oswald noarch 9:svn57253-61.fc38 fedora 1.0 M texlive-ot-tableau noarch 9:svn44889-61.fc38 fedora 17 k texlive-oubraces noarch 9:svn21833.0-61.fc38 fedora 13 k texlive-outline noarch 9:svn18360.0-61.fc38 fedora 16 k texlive-outliner noarch 9:svn21095.0.94-61.fc38 fedora 19 k texlive-outlines noarch 9:svn25192.1.1-61.fc38 fedora 17 k texlive-outlining noarch 9:svn45601-61.fc38 fedora 153 k texlive-overlays noarch 9:svn57866-61.fc38 fedora 120 k texlive-overlock noarch 9:svn56079-61.fc38 fedora 684 k texlive-overpic noarch 9:svn53889-61.fc38 fedora 16 k texlive-pacioli noarch 9:svn24947.0-61.fc38 fedora 31 k texlive-padcount noarch 9:svn47621-61.fc38 fedora 156 k texlive-pagecolor noarch 9:svn44487-61.fc38 fedora 21 k texlive-pagecont noarch 9:svn15878.1.0-61.fc38 fedora 16 k texlive-pagenote noarch 9:svn15878.1.1a-61.fc38 fedora 19 k texlive-pagerange noarch 9:svn16915.0.5-61.fc38 fedora 19 k texlive-pagesel noarch 9:svn56105-61.fc38 fedora 341 k texlive-pageslts noarch 9:svn39164-61.fc38 fedora 27 k texlive-palatino noarch 9:svn31835.0-61.fc38 fedora 428 k texlive-paper noarch 9:svn34521.1.0l-61.fc38 fedora 25 k texlive-papercdcase noarch 9:svn15878.0-61.fc38 fedora 18 k texlive-papermas noarch 9:svn23667.1.0h-61.fc38 fedora 21 k texlive-papertex noarch 9:svn19230.1.2b-61.fc38 fedora 21 k texlive-paracol noarch 9:svn49560-61.fc38 fedora 33 k texlive-parades noarch 9:svn40042-61.fc38 fedora 19 k texlive-paralist noarch 9:svn43021-61.fc38 fedora 18 k texlive-parallel noarch 9:svn15878.0-61.fc38 fedora 18 k texlive-paratype noarch 9:svn32859.0-61.fc38 fedora 4.6 M texlive-paresse noarch 9:svn56621-61.fc38 fedora 18 k texlive-parnotes noarch 9:svn51720-61.fc38 fedora 21 k texlive-parsa noarch 9:svn54840-61.fc38 fedora 149 k texlive-parselines noarch 9:svn21475.1.4-61.fc38 fedora 19 k texlive-parskip noarch 9:svn58358-61.fc38 fedora 17 k texlive-pas-cours noarch 9:svn55859-61.fc38 fedora 17 k texlive-pas-cv noarch 9:svn32263.2.01-61.fc38 fedora 26 k texlive-pas-tableur noarch 9:svn39542-61.fc38 fedora 18 k texlive-patchcmd noarch 9:svn41379-61.fc38 fedora 14 k texlive-path noarch 9:svn22045.3.05-61.fc38 fedora 17 k texlive-pauldoc noarch 9:svn16005.0.5-61.fc38 fedora 17 k texlive-pawpict noarch 9:svn21629.1.0-61.fc38 fedora 17 k texlive-pax noarch 9:20210325-52.fc38 fedora 33 k texlive-pb-diagram noarch 9:svn15878.5.0-61.fc38 fedora 27 k texlive-pbalance noarch 9:svn59331-61.fc38 fedora 261 k texlive-pbox noarch 9:svn24807.1.2-61.fc38 fedora 19 k texlive-pbsheet noarch 9:svn24830.0.1-61.fc38 fedora 20 k texlive-pdf14 noarch 9:svn17583.0.1-61.fc38 fedora 19 k texlive-pdfcolmk noarch 9:svn52912-61.fc38 fedora 19 k texlive-pdfcomment noarch 9:svn49047-61.fc38 fedora 29 k texlive-pdfcprot noarch 9:svn18735.1.7a-61.fc38 fedora 22 k texlive-pdfescape noarch 9:svn53082-61.fc38 fedora 353 k texlive-pdflscape noarch 9:svn53047-61.fc38 fedora 308 k texlive-pdfmanagement-testphase noarch 9:svn59194-61.fc38 fedora 6.4 M texlive-pdfmarginpar noarch 9:svn23492.0.92-61.fc38 fedora 18 k texlive-pdfoverlay noarch 9:svn57923-61.fc38 fedora 551 k texlive-pdfpagediff noarch 9:svn37946.1.4-61.fc38 fedora 17 k texlive-pdfpages noarch 9:svn58212-61.fc38 fedora 32 k texlive-pdfpc noarch 9:svn57735-61.fc38 fedora 194 k texlive-pdfpc-movie noarch 9:svn48245-61.fc38 fedora 92 k texlive-pdfprivacy noarch 9:svn45985-61.fc38 fedora 269 k texlive-pdfreview noarch 9:svn50100-61.fc38 fedora 585 k texlive-pdfscreen noarch 9:svn42428-61.fc38 fedora 100 k texlive-pdfslide noarch 9:svn15878.0-61.fc38 fedora 59 k texlive-pdfsync noarch 9:svn20373.0-61.fc38 fedora 18 k texlive-pdftex x86_64 9:20210325-52.fc38 fedora 2.0 M texlive-pdftexcmds noarch 9:svn55777-61.fc38 fedora 391 k texlive-pdfwin noarch 9:svn54074-61.fc38 fedora 27 k texlive-pdfx noarch 9:svn50338-61.fc38 fedora 121 k texlive-pecha noarch 9:svn15878.0.1-61.fc38 fedora 26 k texlive-penrose noarch 9:svn57508-61.fc38 fedora 963 k texlive-perception noarch 9:svn48861-61.fc38 fedora 21 k texlive-perfectcut noarch 9:svn54080-61.fc38 fedora 22 k texlive-perltex noarch 9:20210325-52.fc38 fedora 287 k texlive-permute noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-petiteannonce noarch 9:svn25915.1.0001-61.fc38 fedora 18 k texlive-petri-nets noarch 9:20210325-52.fc38 fedora 208 k texlive-pgf noarch 9:svn57240-61.fc38 fedora 902 k texlive-pgf-blur noarch 9:svn54512-61.fc38 fedora 17 k texlive-pgf-cmykshadings noarch 9:svn52635-61.fc38 fedora 530 k texlive-pgf-pie noarch 9:svn59075-61.fc38 fedora 233 k texlive-pgf-soroban noarch 9:svn32269.1.1-61.fc38 fedora 17 k texlive-pgf-spectra noarch 9:svn58467-61.fc38 fedora 276 k texlive-pgf-umlcd noarch 9:svn55342-61.fc38 fedora 19 k texlive-pgf-umlsd noarch 9:svn55342-61.fc38 fedora 19 k texlive-pgfgantt noarch 9:svn52662-61.fc38 fedora 25 k texlive-pgfkeyx noarch 9:svn26093.0.0.1-61.fc38 fedora 24 k texlive-pgfmolbio noarch 9:svn35152.0.21-61.fc38 fedora 32 k texlive-pgfmorepages noarch 9:svn54770-61.fc38 fedora 84 k texlive-pgfopts noarch 9:svn56615-61.fc38 fedora 19 k texlive-pgfornament noarch 9:svn55326-61.fc38 fedora 731 k texlive-pgfplots noarch 9:svn59132-61.fc38 fedora 600 k texlive-phaistos noarch 9:svn18651.1.0-61.fc38 fedora 197 k texlive-phffullpagefigure noarch 9:svn41857-61.fc38 fedora 256 k texlive-phfnote noarch 9:svn41858-61.fc38 fedora 652 k texlive-phfparen noarch 9:svn41859-61.fc38 fedora 316 k texlive-phfqit noarch 9:svn45084-61.fc38 fedora 405 k texlive-phfquotetext noarch 9:svn41869-61.fc38 fedora 213 k texlive-phfsvnwatermark noarch 9:svn41870-61.fc38 fedora 218 k texlive-phfthm noarch 9:svn41871-61.fc38 fedora 388 k texlive-philex noarch 9:svn36396.1.3-61.fc38 fedora 19 k texlive-philosophersimprint noarch 9:svn56954-61.fc38 fedora 20 k texlive-phonenumbers noarch 9:svn51933-61.fc38 fedora 1.2 M texlive-phonetic noarch 9:svn56468-61.fc38 fedora 49 k texlive-photo noarch 9:svn18739.0-61.fc38 fedora 17 k texlive-physconst noarch 9:svn58727-61.fc38 fedora 227 k texlive-physics noarch 9:svn28590.1.3-61.fc38 fedora 20 k texlive-physunits noarch 9:svn58728-61.fc38 fedora 121 k texlive-picinpar noarch 9:svn57349-61.fc38 fedora 21 k texlive-pict2e noarch 9:svn56504-61.fc38 fedora 24 k texlive-pictex noarch 9:svn21943.1.1-61.fc38 fedora 58 k texlive-pictex2 noarch 9:svn15878.0-61.fc38 fedora 18 k texlive-picture noarch 9:svn54867-61.fc38 fedora 313 k texlive-piff noarch 9:svn21894.0-61.fc38 fedora 15 k texlive-pigpen noarch 9:svn15878.0.2-61.fc38 fedora 23 k texlive-pinlabel noarch 9:svn24769.1.2-61.fc38 fedora 25 k texlive-pinoutikz noarch 9:svn55966-61.fc38 fedora 93 k texlive-pittetd noarch 9:svn15878.1.618-61.fc38 fedora 24 k texlive-pixelart noarch 9:svn57508-61.fc38 fedora 162 k texlive-pkgloader noarch 9:svn47486-61.fc38 fedora 29 k texlive-pkuthss noarch 9:svn58729-61.fc38 fedora 30 k texlive-pl noarch 9:svn58661-61.fc38 fedora 2.0 M texlive-placeins noarch 9:svn19848.2.2-61.fc38 fedora 14 k texlive-plain noarch 9:svn57963-61.fc38 fedora 49 k texlive-plainpkg noarch 9:svn27765.0.4a-61.fc38 fedora 21 k texlive-plainyr noarch 9:svn52783-61.fc38 fedora 16 k texlive-plantslabels noarch 9:svn29803.1.0-61.fc38 fedora 16 k texlive-plates noarch 9:svn15878.0.1-61.fc38 fedora 21 k texlive-platex noarch 9:svn58842-61.fc38 fedora 69 k texlive-platex-tools noarch 9:svn57729-61.fc38 fedora 588 k texlive-playfair noarch 9:svn56005-61.fc38 fedora 1.8 M texlive-plex noarch 9:svn54512-61.fc38 fedora 11 M texlive-plex-otf noarch 9:svn47562-61.fc38 fedora 557 k texlive-plimsoll noarch 9:svn56605-61.fc38 fedora 232 k texlive-plweb noarch 9:svn15878.3.0-61.fc38 fedora 15 k texlive-pm-isomath noarch 9:svn59077-61.fc38 fedora 625 k texlive-pmboxdraw noarch 9:svn53046-61.fc38 fedora 603 k texlive-pmgraph noarch 9:svn15878.1.0-61.fc38 fedora 26 k texlive-pnas2009 noarch 9:svn16287.1.0-61.fc38 fedora 15 k texlive-poiretone noarch 9:svn59125-61.fc38 fedora 218 k texlive-polexpr noarch 9:svn59088-61.fc38 fedora 94 k texlive-polski noarch 9:svn44213-61.fc38 fedora 27 k texlive-poltawski noarch 9:svn20075.1.101-61.fc38 fedora 7.0 M texlive-polyglossia noarch 9:svn58869-61.fc38 fedora 212 k texlive-polynom noarch 9:svn44832-61.fc38 fedora 26 k texlive-polynomial noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-polytable noarch 9:svn55837-61.fc38 fedora 21 k texlive-postage noarch 9:svn55920-61.fc38 fedora 319 k texlive-postcards noarch 9:svn21641.0-61.fc38 fedora 17 k texlive-poster-mac noarch 9:svn18305.1.1-61.fc38 fedora 18 k texlive-powerdot noarch 9:svn58730-61.fc38 fedora 51 k texlive-powerdot-fuberlin noarch 9:svn52922-61.fc38 fedora 292 k texlive-powerdot-tuliplab noarch 9:svn47963-61.fc38 fedora 1.3 M texlive-ppr-prv noarch 9:svn15878.0.13c-61.fc38 fedora 20 k texlive-pracjourn noarch 9:svn15878.0.4n-61.fc38 fedora 21 k texlive-practicalreports noarch 9:svn52312-61.fc38 fedora 201 k texlive-prelim2e noarch 9:svn57000-61.fc38 fedora 433 k texlive-preprint noarch 9:svn30447.2011-61.fc38 fedora 19 k texlive-prerex noarch 9:svn54512-61.fc38 fedora 24 k texlive-pressrelease noarch 9:svn35147.1.0-61.fc38 fedora 22 k texlive-prettyref noarch 9:svn15878.3.0-61.fc38 fedora 13 k texlive-prftree noarch 9:svn54080-61.fc38 fedora 28 k texlive-principia noarch 9:svn58927-61.fc38 fedora 281 k texlive-printlen noarch 9:svn19847.1.1a-61.fc38 fedora 17 k texlive-proba noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-probsoln noarch 9:svn44783-61.fc38 fedora 22 k texlive-procIAGssymp noarch 9:svn51771-61.fc38 fedora 17 k texlive-prodint noarch 9:svn21893.0-61.fc38 fedora 16 k texlive-productbox noarch 9:svn20886.1.1-61.fc38 fedora 16 k texlive-program noarch 9:svn44214-61.fc38 fedora 34 k texlive-progress noarch 9:svn19519.1.10-61.fc38 fedora 19 k texlive-progressbar noarch 9:svn33822.v1.0b_4-61.fc38 fedora 17 k texlive-projlib noarch 9:svn59347-61.fc38 fedora 361 k texlive-proof-at-the-end noarch 9:svn51194-61.fc38 fedora 383 k texlive-proofread noarch 9:svn50938-61.fc38 fedora 20 k texlive-prooftrees noarch 9:svn52221-61.fc38 fedora 28 k texlive-properties noarch 9:svn15878.0.2-61.fc38 fedora 16 k texlive-proposal noarch 9:svn40538-61.fc38 fedora 34 k texlive-prosper noarch 9:svn33033.1.0h-61.fc38 fedora 132 k texlive-protex noarch 9:svn41633-61.fc38 fedora 29 k texlive-protocol noarch 9:svn25562.1.13-61.fc38 fedora 20 k texlive-prtec noarch 9:svn51919-61.fc38 fedora 374 k texlive-pseudo noarch 9:svn52582-61.fc38 fedora 611 k texlive-pseudocode noarch 9:svn54080-61.fc38 fedora 17 k texlive-psfrag noarch 9:svn15878.3.04-61.fc38 fedora 16 k texlive-psfragx noarch 9:svn26243.1.1-61.fc38 fedora 19 k texlive-pslatex noarch 9:svn57434-61.fc38 fedora 23 k texlive-psnfss noarch 9:svn54694-61.fc38 fedora 60 k texlive-pspicture noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-pst-3d noarch 9:svn17257.1.10-61.fc38 fedora 19 k texlive-pst-blur noarch 9:svn15878.2.0-61.fc38 fedora 17 k texlive-pst-coil noarch 9:svn37377.1.07-61.fc38 fedora 19 k texlive-pst-eps noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-pst-fill noarch 9:svn15878.1.01-61.fc38 fedora 19 k texlive-pst-grad noarch 9:svn15878.1.06-61.fc38 fedora 19 k texlive-pst-math noarch 9:svn49425-61.fc38 fedora 21 k texlive-pst-node noarch 9:svn54687-61.fc38 fedora 41 k texlive-pst-ovl noarch 9:svn54963-61.fc38 fedora 17 k texlive-pst-plot noarch 9:svn54080-61.fc38 fedora 38 k texlive-pst-slpe noarch 9:svn24391.1.31-61.fc38 fedora 18 k texlive-pst-text noarch 9:svn49542-61.fc38 fedora 19 k texlive-pst-tools noarch 9:svn54518-61.fc38 fedora 21 k texlive-pst-tree noarch 9:svn43272-61.fc38 fedora 21 k texlive-pstool noarch 9:svn46393-61.fc38 fedora 22 k texlive-pstricks noarch 9:svn58371-61.fc38 fedora 105 k texlive-pstricks-add noarch 9:svn53763-61.fc38 fedora 37 k texlive-pstring noarch 9:svn42857-61.fc38 fedora 139 k texlive-ptex x86_64 9:20210325-52.fc38 fedora 902 k texlive-ptex-base noarch 9:svn56487-61.fc38 fedora 23 k texlive-ptex-fonts noarch 9:svn46940-61.fc38 fedora 71 k texlive-ptolemaicastronomy noarch 9:svn50810-61.fc38 fedora 257 k texlive-ptptex noarch 9:svn19440.0.91-61.fc38 fedora 24 k texlive-punk noarch 9:svn27388.0-61.fc38 fedora 24 k texlive-punk-latex noarch 9:svn27389.1.1-61.fc38 fedora 17 k texlive-punknova noarch 9:svn24649.1.003-61.fc38 fedora 894 k texlive-puyotikz noarch 9:svn57254-61.fc38 fedora 211 k texlive-pxfonts noarch 9:svn15878.0-61.fc38 fedora 524 k texlive-pxgreeks noarch 9:svn21838.1.0-61.fc38 fedora 20 k texlive-pxpgfmark noarch 9:svn30212.0.2-61.fc38 fedora 13 k texlive-pxpic noarch 9:svn57445-61.fc38 fedora 315 k texlive-pxtxalfa noarch 9:svn54080-61.fc38 fedora 34 k texlive-pygmentex noarch 9:20210325-52.fc38 fedora 599 k texlive-python noarch 9:svn27064.0.21-61.fc38 fedora 18 k texlive-pythonhighlight noarch 9:svn43191-61.fc38 fedora 15 k texlive-pythontex noarch 9:20210325-52.fc38 fedora 1.5 M texlive-qcircuit noarch 9:svn48400-61.fc38 fedora 22 k texlive-qcm noarch 9:svn15878.2.1-61.fc38 fedora 18 k texlive-qrcode noarch 9:svn36065.1.51-61.fc38 fedora 37 k texlive-qsharp noarch 9:svn49722-61.fc38 fedora 20 k texlive-qstest noarch 9:svn15878.0-61.fc38 fedora 20 k texlive-qsymbols noarch 9:svn15878.0-61.fc38 fedora 24 k texlive-qtree noarch 9:svn15878.3.1b-61.fc38 fedora 23 k texlive-qualitype noarch 9:svn54512-61.fc38 fedora 2.5 M texlive-quantikz noarch 9:svn54911-61.fc38 fedora 466 k texlive-quantumarticle noarch 9:svn56862-61.fc38 fedora 714 k texlive-quattrocento noarch 9:svn56020-61.fc38 fedora 822 k texlive-quicktype noarch 9:svn42183-61.fc38 fedora 195 k texlive-quiz2socrative noarch 9:svn52276-61.fc38 fedora 227 k texlive-quotchap noarch 9:svn56926-61.fc38 fedora 19 k texlive-quoting noarch 9:svn32818.v0.1c-61.fc38 fedora 19 k texlive-quotmark noarch 9:svn15878.1.0-61.fc38 fedora 33 k texlive-ragged2e noarch 9:svn57638-61.fc38 fedora 664 k texlive-raleway noarch 9:svn42629-61.fc38 fedora 2.6 M texlive-ran_toks noarch 9:svn57520-61.fc38 fedora 20 k texlive-randbild noarch 9:svn15878.0.2-61.fc38 fedora 16 k texlive-random noarch 9:svn54723-61.fc38 fedora 89 k texlive-randomwalk noarch 9:svn49513-61.fc38 fedora 17 k texlive-randtext noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-rank-2-roots noarch 9:svn48515-61.fc38 fedora 411 k texlive-rccol noarch 9:svn15878.1.2c-61.fc38 fedora 13 k texlive-rcs noarch 9:svn15878.0-61.fc38 fedora 28 k texlive-rcs-multi noarch 9:svn56291-61.fc38 fedora 17 k texlive-rcsinfo noarch 9:svn15878.1.11-61.fc38 fedora 18 k texlive-readablecv noarch 9:svn57433-61.fc38 fedora 224 k texlive-readarray noarch 9:svn42467-61.fc38 fedora 22 k texlive-realboxes noarch 9:svn56291-61.fc38 fedora 21 k texlive-realhats noarch 9:svn52865-61.fc38 fedora 137 k texlive-realscripts noarch 9:svn56594-61.fc38 fedora 19 k texlive-rec-thy noarch 9:svn58732-61.fc38 fedora 27 k texlive-recipe noarch 9:svn54080-61.fc38 fedora 14 k texlive-recipebook noarch 9:svn37026.0-61.fc38 fedora 22 k texlive-recipecard noarch 9:svn15878.2.0-61.fc38 fedora 17 k texlive-rectopma noarch 9:svn19980.0-61.fc38 fedora 16 k texlive-recycle noarch 9:svn15878.0-61.fc38 fedora 27 k texlive-refcheck noarch 9:svn29128.1.9.1-61.fc38 fedora 20 k texlive-refcount noarch 9:svn53164-61.fc38 fedora 335 k texlive-refenums noarch 9:svn44131-61.fc38 fedora 20 k texlive-reflectgraphics noarch 9:svn40612-61.fc38 fedora 20 k texlive-refman noarch 9:svn15878.2.0e-61.fc38 fedora 24 k texlive-refstyle noarch 9:svn20318.0.5-61.fc38 fedora 21 k texlive-regcount noarch 9:svn19979.1.0-61.fc38 fedora 16 k texlive-regexpatch noarch 9:svn58668-61.fc38 fedora 21 k texlive-register noarch 9:svn54485-61.fc38 fedora 19 k texlive-regstats noarch 9:svn25050.1.0h-61.fc38 fedora 21 k texlive-relenc noarch 9:svn22050.0-61.fc38 fedora 28 k texlive-relsize noarch 9:svn30707.4.1-61.fc38 fedora 17 k texlive-reotex noarch 9:svn34924.1.1-61.fc38 fedora 19 k texlive-repeatindex noarch 9:svn24305.0.01-61.fc38 fedora 17 k texlive-repltext noarch 9:svn56433-61.fc38 fedora 19 k texlive-rerunfilecheck noarch 9:svn54841-61.fc38 fedora 324 k texlive-resphilosophica noarch 9:svn50935-61.fc38 fedora 30 k texlive-rest-api noarch 9:svn57068-61.fc38 fedora 158 k texlive-resumecls noarch 9:svn54815-61.fc38 fedora 19 k texlive-returntogrid noarch 9:svn48485-61.fc38 fedora 166 k texlive-revquantum noarch 9:svn43505-61.fc38 fedora 266 k texlive-revtex noarch 9:svn56591-61.fc38 fedora 93 k texlive-revtex4 noarch 9:svn56589-61.fc38 fedora 60 k texlive-revtex4-1 noarch 9:svn56590-61.fc38 fedora 5.3 M texlive-rgltxdoc noarch 9:svn53858-61.fc38 fedora 175 k texlive-ribbonproofs noarch 9:svn31137.1.0-61.fc38 fedora 29 k texlive-rjlparshap noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-rlepsf noarch 9:svn19082.0-61.fc38 fedora 18 k texlive-rmathbr noarch 9:svn57173-61.fc38 fedora 26 k texlive-rmpage noarch 9:svn54080-61.fc38 fedora 79 k texlive-roboto noarch 9:svn54512-61.fc38 fedora 10 M texlive-robustcommand noarch 9:svn15878.0.1-61.fc38 fedora 16 k texlive-robustindex noarch 9:svn49877-61.fc38 fedora 20 k texlive-romanbar noarch 9:svn25005.1.0f-61.fc38 fedora 20 k texlive-romanbarpagenumber noarch 9:svn36236.1.0-61.fc38 fedora 18 k texlive-romande noarch 9:svn19537.1.008_v7_sc-61.fc38 fedora 519 k texlive-romanneg noarch 9:svn20087.0-61.fc38 fedora 13 k texlive-romannum noarch 9:svn15878.1.0b-61.fc38 fedora 16 k texlive-rosario noarch 9:svn51688-61.fc38 fedora 1.6 M texlive-rotfloat noarch 9:svn18292.1.2-61.fc38 fedora 16 k texlive-rotpages noarch 9:svn18740.3.0-61.fc38 fedora 17 k texlive-roundbox noarch 9:svn29675.0.2-61.fc38 fedora 19 k texlive-rsc noarch 9:svn41923-61.fc38 fedora 24 k texlive-rsfs noarch 9:svn15878.0-61.fc38 fedora 74 k texlive-rsfso noarch 9:svn37965.1.02-61.fc38 fedora 21 k texlive-rterface noarch 9:svn30084.0-61.fc38 fedora 17 k texlive-rtkinenc noarch 9:svn20003.1.0-61.fc38 fedora 17 k texlive-rulerbox noarch 9:svn50984-61.fc38 fedora 76 k texlive-rulercompass noarch 9:svn32392.1-61.fc38 fedora 21 k texlive-runcode noarch 9:svn58908-61.fc38 fedora 189 k texlive-rutitlepage noarch 9:svn51073-61.fc38 fedora 2.7 M texlive-rviewport noarch 9:svn23739.v1.0-61.fc38 fedora 16 k texlive-rvwrite noarch 9:svn19614.1.2-61.fc38 fedora 16 k texlive-ryersonsgsthesis noarch 9:svn50119-61.fc38 fedora 83 k texlive-ryethesis noarch 9:svn33945.1.36-61.fc38 fedora 22 k texlive-sa-tikz noarch 9:svn32815.0.7a-61.fc38 fedora 23 k texlive-sageep noarch 9:svn15878.1.0-61.fc38 fedora 23 k texlive-sanitize-umlaut noarch 9:svn53292-61.fc38 fedora 19 k texlive-sankey noarch 9:svn58661-61.fc38 fedora 928 k texlive-sansmath noarch 9:svn17997.1.1-61.fc38 fedora 15 k texlive-sansmathaccent noarch 9:svn53628-61.fc38 fedora 37 k texlive-sansmathfonts noarch 9:svn51356-61.fc38 fedora 4.2 M texlive-sapthesis noarch 9:svn48365-61.fc38 fedora 64 k texlive-sasnrdisplay noarch 9:svn45963-61.fc38 fedora 25 k texlive-sauerj noarch 9:svn15878.0-61.fc38 fedora 20 k texlive-sauter noarch 9:svn13293.2.4-61.fc38 fedora 42 k texlive-sauterfonts noarch 9:svn15878.0-61.fc38 fedora 30 k texlive-savefnmark noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-savesym noarch 9:svn31565.1.2-61.fc38 fedora 16 k texlive-savetrees noarch 9:svn40525-61.fc38 fedora 27 k texlive-scale noarch 9:svn15878.1.1.2-61.fc38 fedora 18 k texlive-scalebar noarch 9:svn15878.1.0-61.fc38 fedora 16 k texlive-scalerel noarch 9:svn42809-61.fc38 fedora 20 k texlive-scanpages noarch 9:svn42633-61.fc38 fedora 23 k texlive-schedule noarch 9:svn51805-61.fc38 fedora 258 k texlive-schemabloc noarch 9:svn58212-61.fc38 fedora 17 k texlive-scholax noarch 9:svn58733-61.fc38 fedora 2.4 M texlive-schooldocs noarch 9:svn55838-61.fc38 fedora 897 k texlive-schule noarch 9:svn56683-61.fc38 fedora 85 k texlive-schulschriften noarch 9:svn35730.4-61.fc38 fedora 111 k texlive-scientific-thesis-cover noarch 9:svn47923-61.fc38 fedora 150 k texlive-sciposter noarch 9:svn15878.1.18-61.fc38 fedora 23 k texlive-sclang-prettifier noarch 9:svn35087.0.1-61.fc38 fedora 20 k texlive-scontents noarch 9:svn53504-61.fc38 fedora 374 k texlive-scratch noarch 9:svn50073-61.fc38 fedora 520 k texlive-scratch3 noarch 9:svn56258-61.fc38 fedora 531 k texlive-scratchx noarch 9:svn44906-61.fc38 fedora 441 k texlive-scrjrnl noarch 9:svn27810.0.1-61.fc38 fedora 20 k texlive-scrlayer-fancyhdr noarch 9:svn58746-61.fc38 fedora 256 k texlive-scrlttr2copy noarch 9:svn56733-61.fc38 fedora 19 k texlive-scsnowman noarch 9:svn54080-61.fc38 fedora 490 k texlive-sdaps noarch 9:svn54678-61.fc38 fedora 813 k texlive-sdrt noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-sduthesis noarch 9:svn41401-61.fc38 fedora 1.2 M texlive-secdot noarch 9:svn20208.1.0-61.fc38 fedora 16 k texlive-secnum noarch 9:svn53657-61.fc38 fedora 390 k texlive-section noarch 9:svn20180.0-61.fc38 fedora 24 k texlive-sectionbox noarch 9:svn37749.1.01-61.fc38 fedora 17 k texlive-sectionbreak noarch 9:svn50339-61.fc38 fedora 68 k texlive-sectsty noarch 9:svn15878.2.0.2-61.fc38 fedora 19 k texlive-seealso noarch 9:svn43595-61.fc38 fedora 20 k texlive-selectp noarch 9:svn20185.1.0-61.fc38 fedora 16 k texlive-selinput noarch 9:svn53098-61.fc38 fedora 540 k texlive-semantex noarch 9:svn56863-61.fc38 fedora 418 k texlive-semantic noarch 9:svn15878.2.0-61.fc38 fedora 22 k texlive-semantic-markup noarch 9:svn53607-61.fc38 fedora 282 k texlive-semaphor noarch 9:svn18651.0-61.fc38 fedora 302 k texlive-semesterplanner noarch 9:svn56841-61.fc38 fedora 95 k texlive-seminar noarch 9:svn34011.1.62-61.fc38 fedora 48 k texlive-semioneside noarch 9:svn15878.v0.41-61.fc38 fedora 16 k texlive-semproc noarch 9:svn37568.0.1-61.fc38 fedora 20 k texlive-semtex noarch 9:svn56530-61.fc38 fedora 83 k texlive-sepfootnotes noarch 9:svn41732-61.fc38 fedora 20 k texlive-sepnum noarch 9:svn20186.2.0-61.fc38 fedora 17 k texlive-seqsplit noarch 9:svn15878.0.1-61.fc38 fedora 16 k texlive-sesamanuel noarch 9:svn36613.0.6-61.fc38 fedora 47 k texlive-sesstime noarch 9:svn49750-61.fc38 fedora 325 k texlive-setdeck noarch 9:svn40613-61.fc38 fedora 25 k texlive-setspace noarch 9:svn24881.6.7a-61.fc38 fedora 19 k texlive-seuthesis noarch 9:svn33042.2.1.2-61.fc38 fedora 33 k texlive-seuthesix noarch 9:svn40088-61.fc38 fedora 33 k texlive-sf298 noarch 9:svn41653-61.fc38 fedora 21 k texlive-sffms noarch 9:svn15878.2.0-61.fc38 fedora 18 k texlive-sfg noarch 9:svn20209.0.91-61.fc38 fedora 21 k texlive-sfmath noarch 9:svn15878.0.8-61.fc38 fedora 19 k texlive-shadethm noarch 9:svn53350-61.fc38 fedora 23 k texlive-shadow noarch 9:svn20312.0-61.fc38 fedora 16 k texlive-shadowtext noarch 9:svn26522.0.3-61.fc38 fedora 16 k texlive-shapepar noarch 9:svn30708.2.2-61.fc38 fedora 28 k texlive-shdoc noarch 9:svn41991-61.fc38 fedora 20 k texlive-shipunov noarch 9:svn52334-61.fc38 fedora 43 k texlive-shobhika noarch 9:svn50555-61.fc38 fedora 490 k texlive-shortmathj noarch 9:svn54407-61.fc38 fedora 180 k texlive-shorttoc noarch 9:svn15878.1.3-61.fc38 fedora 16 k texlive-show2e noarch 9:svn15878.1.0-61.fc38 fedora 16 k texlive-showcharinbox noarch 9:svn29803.0.1-61.fc38 fedora 19 k texlive-showdim noarch 9:svn28918.1.2-61.fc38 fedora 16 k texlive-showexpl noarch 9:svn57414-61.fc38 fedora 19 k texlive-showhyphens noarch 9:svn39787-61.fc38 fedora 13 k texlive-showlabels noarch 9:svn41322-61.fc38 fedora 19 k texlive-showtags noarch 9:svn20336.1.05-61.fc38 fedora 14 k texlive-shtthesis noarch 9:svn57740-61.fc38 fedora 2.1 M texlive-shuffle noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-sidecap noarch 9:svn15878.1.6f-61.fc38 fedora 18 k texlive-sidenotes noarch 9:svn54524-61.fc38 fedora 22 k texlive-signchart noarch 9:svn39707-61.fc38 fedora 19 k texlive-silence noarch 9:svn27028.1.5b-61.fc38 fedora 18 k texlive-simplebnf noarch 9:svn56761-61.fc38 fedora 145 k texlive-simplecd noarch 9:svn29260.1.4-61.fc38 fedora 22 k texlive-simplecv noarch 9:svn35537.1.6a-61.fc38 fedora 17 k texlive-simpleinvoice noarch 9:svn45673-61.fc38 fedora 233 k texlive-simplekv noarch 9:svn54915-61.fc38 fedora 344 k texlive-simpleoptics noarch 9:svn54080-61.fc38 fedora 100 k texlive-simpler-wick noarch 9:svn39074-61.fc38 fedora 20 k texlive-simplewick noarch 9:svn15878.1.2a-61.fc38 fedora 18 k texlive-simplivre noarch 9:svn59323-61.fc38 fedora 452 k texlive-sitem noarch 9:svn22136.1.0-61.fc38 fedora 18 k texlive-siunitx noarch 9:svn58909-61.fc38 fedora 53 k texlive-skak noarch 9:svn46259-61.fc38 fedora 39 k texlive-skb noarch 9:svn22781.0.52-61.fc38 fedora 25 k texlive-skdoc noarch 9:svn56950-61.fc38 fedora 28 k texlive-skeldoc noarch 9:svn57922-61.fc38 fedora 235 k texlive-skeycommand noarch 9:svn24652.0.4-61.fc38 fedora 22 k texlive-skeyval noarch 9:svn30560.1.3-61.fc38 fedora 117 k texlive-skills noarch 9:svn56734-61.fc38 fedora 93 k texlive-skmath noarch 9:svn52411-61.fc38 fedora 21 k texlive-skrapport noarch 9:svn52412-61.fc38 fedora 32 k texlive-skull noarch 9:svn51907-61.fc38 fedora 18 k texlive-slantsc noarch 9:svn25007.2.11-61.fc38 fedora 16 k texlive-smalltableof noarch 9:svn20333.0-61.fc38 fedora 16 k texlive-smartdiagram noarch 9:svn42781-61.fc38 fedora 25 k texlive-smartref noarch 9:svn20311.1.9-61.fc38 fedora 18 k texlive-smartunits noarch 9:svn39592-61.fc38 fedora 21 k texlive-smflatex noarch 9:svn58910-61.fc38 fedora 850 k texlive-snapshot noarch 9:svn56735-61.fc38 fedora 20 k texlive-snotez noarch 9:svn57147-61.fc38 fedora 20 k texlive-songbook noarch 9:svn18136.4.5-61.fc38 fedora 30 k texlive-sort-by-letters noarch 9:svn27128.0-61.fc38 fedora 24 k texlive-soton noarch 9:svn16215.0.1-61.fc38 fedora 16 k texlive-soul noarch 9:svn56495-61.fc38 fedora 21 k texlive-soulpos noarch 9:svn52663-61.fc38 fedora 173 k texlive-soulutf8 noarch 9:svn53163-61.fc38 fedora 357 k texlive-sourcecodepro noarch 9:svn54512-61.fc38 fedora 3.8 M texlive-sourcesanspro noarch 9:svn54892-61.fc38 fedora 5.6 M texlive-sourceserifpro noarch 9:svn54512-61.fc38 fedora 4.0 M texlive-spacingtricks noarch 9:svn56840-61.fc38 fedora 115 k texlive-spalign noarch 9:svn42225-61.fc38 fedora 137 k texlive-spark-otf noarch 9:svn51005-61.fc38 fedora 121 k texlive-sparklines noarch 9:svn42821-61.fc38 fedora 17 k texlive-spath3 noarch 9:svn57842-61.fc38 fedora 39 k texlive-spectral noarch 9:svn57296-61.fc38 fedora 4.1 M texlive-spectralsequences noarch 9:svn50072-61.fc38 fedora 2.6 M texlive-sphack noarch 9:svn20842.0-61.fc38 fedora 13 k texlive-sphdthesis noarch 9:svn34374.1.0-61.fc38 fedora 19 k texlive-spie noarch 9:svn15878.3.25-61.fc38 fedora 25 k texlive-splitbib noarch 9:svn15878.1.17-61.fc38 fedora 19 k texlive-splitindex noarch 9:20210325-52.fc38 fedora 434 k texlive-spot noarch 9:svn22408.1.1-61.fc38 fedora 20 k texlive-spotcolor noarch 9:svn15878.1.2-61.fc38 fedora 25 k texlive-spreadtab noarch 9:svn50147-61.fc38 fedora 39 k texlive-spverbatim noarch 9:svn15878.v1.0-61.fc38 fedora 16 k texlive-sr-vorl noarch 9:svn39529-61.fc38 fedora 21 k texlive-srbook-mem noarch 9:svn45818-61.fc38 fedora 12 k texlive-srcltx noarch 9:svn15878.1.6-61.fc38 fedora 14 k texlive-srdp-mathematik noarch 9:svn58734-61.fc38 fedora 170 k texlive-sseq noarch 9:svn31585.2.01-61.fc38 fedora 23 k texlive-sslides noarch 9:svn32293.0-61.fc38 fedora 18 k texlive-stack noarch 9:svn15878.1.00-61.fc38 fedora 17 k texlive-stackengine noarch 9:svn53843-61.fc38 fedora 22 k texlive-standalone noarch 9:svn56291-61.fc38 fedora 30 k texlive-stanli noarch 9:svn54512-61.fc38 fedora 477 k texlive-starfont noarch 9:svn19982.1.2-61.fc38 fedora 188 k texlive-statex noarch 9:svn20306.1.6-61.fc38 fedora 19 k texlive-statex2 noarch 9:svn23961.2.1-61.fc38 fedora 20 k texlive-statistics noarch 9:svn52212-61.fc38 fedora 446 k texlive-statistik noarch 9:svn20334.0.03-61.fc38 fedora 18 k texlive-statmath noarch 9:svn46925-61.fc38 fedora 218 k texlive-staves noarch 9:svn15878.0-61.fc38 fedora 134 k texlive-stdclsdv noarch 9:svn15878.1.1a-61.fc38 fedora 16 k texlive-stdpage noarch 9:svn15878.0.6-61.fc38 fedora 18 k texlive-stealcaps noarch 9:svn46434-61.fc38 fedora 2.9 M texlive-steinmetz noarch 9:svn15878.1.0-61.fc38 fedora 16 k texlive-stellenbosch noarch 9:svn36696.11a-61.fc38 fedora 727 k texlive-step noarch 9:svn57307-61.fc38 fedora 1.5 M texlive-stepgreek noarch 9:svn57074-61.fc38 fedora 466 k texlive-stex noarch 9:svn50489-61.fc38 fedora 68 k texlive-stickstoo noarch 9:svn57193-61.fc38 fedora 3.4 M texlive-stix noarch 9:svn54512-61.fc38 fedora 2.6 M texlive-stix2-otf noarch 9:svn58735-61.fc38 fedora 2.7 M texlive-stix2-type1 noarch 9:svn57448-61.fc38 fedora 4.3 M texlive-stmaryrd noarch 9:svn22027.0-61.fc38 fedora 191 k texlive-storebox noarch 9:svn56291-61.fc38 fedora 20 k texlive-storecmd noarch 9:svn24431.0.0.2-61.fc38 fedora 21 k texlive-stringenc noarch 9:svn52982-61.fc38 fedora 703 k texlive-stringstrings noarch 9:svn57097-61.fc38 fedora 27 k texlive-structmech noarch 9:svn58985-61.fc38 fedora 154 k texlive-struktex noarch 9:svn47931-61.fc38 fedora 27 k texlive-sttools noarch 9:svn56774-61.fc38 fedora 31 k texlive-stubs noarch 9:svn19440.0.1.1-61.fc38 fedora 18 k texlive-studenthandouts noarch 9:svn43516-61.fc38 fedora 333 k texlive-subdepth noarch 9:svn15878.0.1-61.fc38 fedora 16 k texlive-subdocs noarch 9:svn51480-61.fc38 fedora 15 k texlive-subeqn noarch 9:svn15878.2.0b-61.fc38 fedora 16 k texlive-subeqnarray noarch 9:svn15878.2.1c-61.fc38 fedora 17 k texlive-subfig noarch 9:svn15878.1.3-61.fc38 fedora 22 k texlive-subfigmat noarch 9:svn20308.1.0-61.fc38 fedora 17 k texlive-subfigure noarch 9:svn15878.2.1.5-61.fc38 fedora 20 k texlive-subfiles noarch 9:svn56977-61.fc38 fedora 20 k texlive-subfloat noarch 9:svn29349.2.14-61.fc38 fedora 17 k texlive-substances noarch 9:svn40989-61.fc38 fedora 22 k texlive-substitutefont noarch 9:svn32066.0.1.4-61.fc38 fedora 19 k texlive-substr noarch 9:svn16117.1.2-61.fc38 fedora 17 k texlive-subsupscripts noarch 9:svn16080.1.0-61.fc38 fedora 12 k texlive-subtext noarch 9:svn51273-61.fc38 fedora 55 k texlive-suftesi noarch 9:svn57650-61.fc38 fedora 32 k texlive-sugconf noarch 9:svn58752-61.fc38 fedora 17 k texlive-superiors noarch 9:svn51909-61.fc38 fedora 26 k texlive-supertabular noarch 9:svn53658-61.fc38 fedora 21 k texlive-suppose noarch 9:svn59281-61.fc38 fedora 211 k texlive-susy noarch 9:svn19440.0-61.fc38 fedora 15 k texlive-svg noarch 9:svn57010-61.fc38 fedora 32 k texlive-svgcolor noarch 9:svn15878.1.0-61.fc38 fedora 18 k texlive-svn noarch 9:svn15878.43-61.fc38 fedora 19 k texlive-svn-multi noarch 9:20210325-52.fc38 fedora 415 k texlive-svn-prov noarch 9:svn56291-61.fc38 fedora 17 k texlive-svninfo noarch 9:svn17554.0.7.4-61.fc38 fedora 19 k texlive-svrsymbols noarch 9:svn50019-61.fc38 fedora 87 k texlive-swfigure noarch 9:svn57213-61.fc38 fedora 1.5 M texlive-swimgraf noarch 9:svn25446.0-61.fc38 fedora 26 k texlive-syllogism noarch 9:svn15878.1.2-61.fc38 fedora 17 k texlive-symbol noarch 9:svn31835.0-61.fc38 fedora 55 k texlive-sympytexpackage noarch 9:svn57090-61.fc38 fedora 18 k texlive-synproof noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-syntax noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-syntaxdi noarch 9:svn56685-61.fc38 fedora 201 k texlive-syntrace noarch 9:svn15878.1.1-61.fc38 fedora 16 k texlive-synttree noarch 9:svn16252.1.4.2-61.fc38 fedora 18 k texlive-t-angles noarch 9:svn15878.0-61.fc38 fedora 22 k texlive-tabfigures noarch 9:svn25202.1.1-61.fc38 fedora 20 k texlive-table-fct noarch 9:svn41849-61.fc38 fedora 20 k texlive-tableaux noarch 9:svn42413-61.fc38 fedora 18 k texlive-tablefootnote noarch 9:svn32804.1.1c-61.fc38 fedora 21 k texlive-tableof noarch 9:svn48815-61.fc38 fedora 20 k texlive-tablestyles noarch 9:svn34495.0-61.fc38 fedora 13 k texlive-tablists noarch 9:svn15878.0.0e-61.fc38 fedora 17 k texlive-tablor noarch 9:svn31855.4.07_g-61.fc38 fedora 28 k texlive-tabls noarch 9:svn17255.3.5-61.fc38 fedora 15 k texlive-tablvar noarch 9:svn51543-61.fc38 fedora 190 k texlive-tabriz-thesis noarch 9:svn51729-61.fc38 fedora 21 k texlive-tabstackengine noarch 9:svn46848-61.fc38 fedora 20 k texlive-tabto-ltx noarch 9:svn54080-61.fc38 fedora 18 k texlive-tabu noarch 9:svn56615-61.fc38 fedora 42 k texlive-tabularborder noarch 9:svn17885.1.0a-61.fc38 fedora 17 k texlive-tabularcalc noarch 9:svn15878.0.2-61.fc38 fedora 20 k texlive-tabularew noarch 9:svn15878.0.1-61.fc38 fedora 17 k texlive-tabulary noarch 9:svn34368.0.10-61.fc38 fedora 19 k texlive-tagging noarch 9:svn52064-61.fc38 fedora 18 k texlive-tagpair noarch 9:svn42138-61.fc38 fedora 19 k texlive-tagpdf noarch 9:svn57954-61.fc38 fedora 668 k texlive-talk noarch 9:svn42428-61.fc38 fedora 20 k texlive-tamefloats noarch 9:svn27345.v0.42-61.fc38 fedora 22 k texlive-tapir noarch 9:svn20484.0.2-61.fc38 fedora 68 k texlive-tasks noarch 9:svn57835-61.fc38 fedora 25 k texlive-tcldoc noarch 9:svn22018.2.40-61.fc38 fedora 22 k texlive-tcolorbox noarch 9:svn56610-61.fc38 fedora 251 k texlive-tdclock noarch 9:svn33043.v2.5-61.fc38 fedora 22 k texlive-technics noarch 9:svn29349.1.0-61.fc38 fedora 16 k texlive-technion-thesis-template noarch 9:svn49889-61.fc38 fedora 136 k texlive-ted noarch 9:svn15878.1.06-61.fc38 fedora 18 k texlive-templatetools noarch 9:svn34495.0-61.fc38 fedora 20 k texlive-tempora noarch 9:svn39596-61.fc38 fedora 1.3 M texlive-tengwarscript noarch 9:svn34594.1.3.1-61.fc38 fedora 73 k texlive-tensind noarch 9:svn51481-61.fc38 fedora 225 k texlive-tensor noarch 9:svn15878.2.1-61.fc38 fedora 17 k texlive-termcal noarch 9:svn22514.1.8-61.fc38 fedora 17 k texlive-termlist noarch 9:svn18923.1.1-61.fc38 fedora 16 k texlive-testhyphens noarch 9:svn38928-61.fc38 fedora 20 k texlive-testidx noarch 9:svn52213-61.fc38 fedora 3.2 M texlive-tex x86_64 9:20210325-52.fc38 fedora 210 k texlive-tex-ewd noarch 9:svn15878.0-61.fc38 fedora 16 k texlive-tex-gyre noarch 9:svn48058-61.fc38 fedora 8.0 M texlive-tex-gyre-math noarch 9:svn41264-61.fc38 fedora 1.4 M texlive-tex-ini-files noarch 9:svn40533-61.fc38 fedora 16 k texlive-tex-label noarch 9:svn16372.0-61.fc38 fedora 18 k texlive-tex-locale noarch 9:svn48500-61.fc38 fedora 949 k texlive-tex4ht x86_64 9:20210325-52.fc38 fedora 3.2 M texlive-texdraw noarch 9:svn51030-61.fc38 fedora 55 k texlive-texilikechaps noarch 9:svn28553.1.0a-61.fc38 fedora 17 k texlive-texilikecover noarch 9:svn15878.0.1-61.fc38 fedora 16 k texlive-texlive-common-doc noarch 9:svn54176-61.fc38 fedora 106 k texlive-texlive-en noarch 9:20210325-52.fc38 fedora 1.9 M texlive-texlive-msg-translations noarch 9:svn59096-61.fc38 fedora 162 k texlive-texlive-scripts noarch 9:20210325-52.fc38 fedora 116 k texlive-texlive.infra noarch 9:20210325-52.fc38 fedora 288 k texlive-texlogos noarch 9:svn19083.1.3.1-61.fc38 fedora 17 k texlive-texmate noarch 9:svn15878.2-61.fc38 fedora 21 k texlive-texments noarch 9:svn15878.0.2.0-61.fc38 fedora 16 k texlive-texpower noarch 9:svn29349.0.2-61.fc38 fedora 58 k texlive-texshade noarch 9:svn58789-61.fc38 fedora 82 k texlive-textcase noarch 9:svn52092-61.fc38 fedora 16 k texlive-textfit noarch 9:svn20591.5-61.fc38 fedora 19 k texlive-textgreek noarch 9:svn44192-61.fc38 fedora 17 k texlive-textmerg noarch 9:svn20677.2.01-61.fc38 fedora 14 k texlive-textopo noarch 9:svn23796.1.5-61.fc38 fedora 49 k texlive-textpos noarch 9:svn56441-61.fc38 fedora 20 k texlive-textualicomma noarch 9:svn48474-61.fc38 fedora 133 k texlive-texvc noarch 9:svn46844-61.fc38 fedora 19 k texlive-tfrupee noarch 9:svn20770.1.02-61.fc38 fedora 613 k texlive-theanodidot noarch 9:svn54512-61.fc38 fedora 464 k texlive-theanomodern noarch 9:svn54512-61.fc38 fedora 477 k texlive-theanooldstyle noarch 9:svn54512-61.fc38 fedora 571 k texlive-theoremref noarch 9:svn54512-61.fc38 fedora 19 k texlive-thesis-ekf noarch 9:svn57207-61.fc38 fedora 77 k texlive-thesis-gwu noarch 9:svn54287-61.fc38 fedora 426 k texlive-thesis-qom noarch 9:svn49124-61.fc38 fedora 1.2 M texlive-thesis-titlepage-fhac noarch 9:svn15878.0.1-61.fc38 fedora 18 k texlive-thinsp noarch 9:svn39669-61.fc38 fedora 17 k texlive-thmbox noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-thmtools noarch 9:svn56070-61.fc38 fedora 33 k texlive-threadcol noarch 9:svn28754.1.0-61.fc38 fedora 19 k texlive-threeparttable noarch 9:svn17383.0-61.fc38 fedora 17 k texlive-threeparttablex noarch 9:svn34206.0.3-61.fc38 fedora 17 k texlive-thuaslogos noarch 9:svn51347-61.fc38 fedora 789 k texlive-thucoursework noarch 9:svn56435-61.fc38 fedora 514 k texlive-thumb noarch 9:svn16549.1.0-61.fc38 fedora 20 k texlive-thumbpdf noarch 9:20210325-52.fc38 fedora 40 k texlive-thumbs noarch 9:svn33134.1.0q-61.fc38 fedora 28 k texlive-thumby noarch 9:svn16736.0.1-61.fc38 fedora 26 k texlive-thuthesis noarch 9:svn58750-61.fc38 fedora 61 k texlive-ticket noarch 9:svn42280-61.fc38 fedora 20 k texlive-ticollege noarch 9:svn36306.1.0-61.fc38 fedora 20 k texlive-tikz-3dplot noarch 9:svn25087.0-61.fc38 fedora 25 k texlive-tikz-among-us noarch 9:svn56820-61.fc38 fedora 4.9 M texlive-tikz-bayesnet noarch 9:svn38295.0.1-61.fc38 fedora 19 k texlive-tikz-bbox noarch 9:svn57444-61.fc38 fedora 250 k texlive-tikz-cd noarch 9:svn59133-61.fc38 fedora 29 k texlive-tikz-dependency noarch 9:svn54512-61.fc38 fedora 27 k texlive-tikz-dimline noarch 9:svn35805.1.0-61.fc38 fedora 14 k texlive-tikz-feynhand noarch 9:svn51915-61.fc38 fedora 336 k texlive-tikz-feynman noarch 9:svn56615-61.fc38 fedora 33 k texlive-tikz-imagelabels noarch 9:svn51490-61.fc38 fedora 967 k texlive-tikz-inet noarch 9:svn15878.0.1-61.fc38 fedora 17 k texlive-tikz-kalender noarch 9:svn52890-61.fc38 fedora 132 k texlive-tikz-karnaugh noarch 9:svn47026-61.fc38 fedora 287 k texlive-tikz-ladder noarch 9:svn46555-61.fc38 fedora 256 k texlive-tikz-lake-fig noarch 9:svn55288-61.fc38 fedora 82 k texlive-tikz-layers noarch 9:svn46660-61.fc38 fedora 19 k texlive-tikz-nef noarch 9:svn55920-61.fc38 fedora 107 k texlive-tikz-network noarch 9:svn51884-61.fc38 fedora 835 k texlive-tikz-opm noarch 9:svn32769.0.1.1-61.fc38 fedora 19 k texlive-tikz-optics noarch 9:svn43466-61.fc38 fedora 411 k texlive-tikz-page noarch 9:svn42039-61.fc38 fedora 569 k texlive-tikz-palattice noarch 9:svn43442-61.fc38 fedora 23 k texlive-tikz-planets noarch 9:svn55002-61.fc38 fedora 181 k texlive-tikz-qtree noarch 9:svn26108.1.2-61.fc38 fedora 24 k texlive-tikz-relay noarch 9:svn51355-61.fc38 fedora 498 k texlive-tikz-sfc noarch 9:svn49424-61.fc38 fedora 348 k texlive-tikz-timing noarch 9:svn56291-61.fc38 fedora 35 k texlive-tikz-trackschematic noarch 9:svn57300-61.fc38 fedora 655 k texlive-tikz-truchet noarch 9:svn50020-61.fc38 fedora 129 k texlive-tikzcodeblocks noarch 9:svn54758-61.fc38 fedora 492 k texlive-tikzducks noarch 9:svn55713-61.fc38 fedora 471 k texlive-tikzinclude noarch 9:svn28715.1.0-61.fc38 fedora 19 k texlive-tikzlings noarch 9:svn58885-61.fc38 fedora 656 k texlive-tikzmark noarch 9:svn57843-61.fc38 fedora 23 k texlive-tikzmarmots noarch 9:svn54080-61.fc38 fedora 238 k texlive-tikzorbital noarch 9:svn36439.0-61.fc38 fedora 18 k texlive-tikzpackets noarch 9:svn55827-61.fc38 fedora 77 k texlive-tikzpagenodes noarch 9:svn56291-61.fc38 fedora 16 k texlive-tikzpeople noarch 9:svn43978-61.fc38 fedora 520 k texlive-tikzpfeile noarch 9:svn25777.1.0-61.fc38 fedora 16 k texlive-tikzposter noarch 9:svn32732.2.0-61.fc38 fedora 28 k texlive-tikzscale noarch 9:svn30637.0.2.6-61.fc38 fedora 22 k texlive-tikzsymbols noarch 9:svn49975-61.fc38 fedora 31 k texlive-tikztosvg x86_64 9:20210325-52.fc38 fedora 197 k texlive-timbreicmc noarch 9:svn49740-61.fc38 fedora 725 k texlive-times noarch 9:svn35058.0-61.fc38 fedora 371 k texlive-timing-diagrams noarch 9:svn31491.0-61.fc38 fedora 20 k texlive-tinos noarch 9:svn42882-61.fc38 fedora 3.2 M texlive-tipa noarch 9:svn29349.1.3-61.fc38 fedora 2.8 M texlive-tipauni noarch 9:svn59009-61.fc38 fedora 449 k texlive-tipfr-doc noarch 9:svn38646-61.fc38 fedora 385 k texlive-titlecaps noarch 9:svn36170.1.2-61.fc38 fedora 22 k texlive-titlefoot noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-titlepic noarch 9:svn43497-61.fc38 fedora 13 k texlive-titleref noarch 9:svn18729.3.1-61.fc38 fedora 15 k texlive-titlesec noarch 9:svn52413-61.fc38 fedora 35 k texlive-titling noarch 9:svn15878.2.1d-61.fc38 fedora 17 k texlive-tkz-base noarch 9:svn54758-61.fc38 fedora 32 k texlive-tkz-berge noarch 9:svn57485-61.fc38 fedora 973 k texlive-tkz-doc noarch 9:svn55265-61.fc38 fedora 24 k texlive-tkz-euclide noarch 9:svn54758-61.fc38 fedora 50 k texlive-tkz-fct noarch 9:svn55031-61.fc38 fedora 19 k texlive-tkz-graph noarch 9:svn57484-61.fc38 fedora 341 k texlive-tkz-orm noarch 9:svn54512-61.fc38 fedora 23 k texlive-tkz-tab noarch 9:svn54940-61.fc38 fedora 21 k texlive-tlc-article noarch 9:svn51431-61.fc38 fedora 444 k texlive-tocbibind noarch 9:svn20085.1.5k-61.fc38 fedora 17 k texlive-tocdata noarch 9:svn55852-61.fc38 fedora 912 k texlive-tocloft noarch 9:svn53364-61.fc38 fedora 23 k texlive-tocvsec2 noarch 9:svn33146.1.3a-61.fc38 fedora 19 k texlive-todo noarch 9:svn17746.2.142-61.fc38 fedora 16 k texlive-todonotes noarch 9:svn58998-61.fc38 fedora 21 k texlive-tokcycle noarch 9:svn58254-61.fc38 fedora 1.0 M texlive-tokenizer noarch 9:svn15878.1.1.0-61.fc38 fedora 17 k texlive-toolbox noarch 9:svn32260.5.1-61.fc38 fedora 18 k texlive-tools noarch 9:svn56514-61.fc38 fedora 73 k texlive-topfloat noarch 9:svn19084.0-61.fc38 fedora 17 k texlive-topiclongtable noarch 9:svn54758-61.fc38 fedora 385 k texlive-topletter noarch 9:svn48182-61.fc38 fedora 366 k texlive-toptesi noarch 9:svn56276-61.fc38 fedora 39 k texlive-totalcount noarch 9:svn56214-61.fc38 fedora 91 k texlive-totcount noarch 9:svn21178.1.2-61.fc38 fedora 17 k texlive-totpages noarch 9:svn15878.2.00-61.fc38 fedora 18 k texlive-tpslifonts noarch 9:svn42428-61.fc38 fedora 22 k texlive-tqft noarch 9:svn44455-61.fc38 fedora 24 k texlive-tracklang noarch 9:svn55707-61.fc38 fedora 38 k texlive-trajan noarch 9:svn15878.1.1-61.fc38 fedora 62 k texlive-translations noarch 9:svn57461-61.fc38 fedora 31 k texlive-translator noarch 9:svn56052-61.fc38 fedora 289 k texlive-transparent noarch 9:svn52981-61.fc38 fedora 277 k texlive-tree-dvips noarch 9:svn21751.91-61.fc38 fedora 23 k texlive-trfsigns noarch 9:svn15878.1.01-61.fc38 fedora 17 k texlive-trimspaces noarch 9:svn15878.1.1-61.fc38 fedora 16 k texlive-trivfloat noarch 9:svn15878.1.3b-61.fc38 fedora 17 k texlive-trsym noarch 9:svn18732.1.0-61.fc38 fedora 19 k texlive-truncate noarch 9:svn18921.3.6-61.fc38 fedora 15 k texlive-tsemlines noarch 9:svn23440.1.0-61.fc38 fedora 13 k texlive-ttfutils x86_64 9:20210325-52.fc38 fedora 301 k texlive-tucv noarch 9:svn20680.1.0-61.fc38 fedora 13 k texlive-tuda-ci noarch 9:svn58661-61.fc38 fedora 1.1 M texlive-tudscr noarch 9:svn58713-61.fc38 fedora 195 k texlive-tufte-latex noarch 9:svn37649.3.5.2-61.fc38 fedora 37 k texlive-tugboat noarch 9:svn56942-61.fc38 fedora 46 k texlive-tugboat-plain noarch 9:svn51373-61.fc38 fedora 44 k texlive-tui noarch 9:svn27253.1.9-61.fc38 fedora 19 k texlive-turabian noarch 9:svn36298.0.1.0-61.fc38 fedora 16 k texlive-turabian-formatting noarch 9:svn58561-61.fc38 fedora 26 k texlive-turnstile noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-turnthepage noarch 9:svn29803.1.3a-61.fc38 fedora 17 k texlive-twemoji-colr noarch 9:svn55675-61.fc38 fedora 584 k texlive-twoinone noarch 9:svn17024.0-61.fc38 fedora 13 k texlive-twoup noarch 9:svn15878.1.3-61.fc38 fedora 17 k texlive-txfonts noarch 9:svn15878.0-61.fc38 fedora 817 k texlive-txfontsb noarch 9:svn54512-61.fc38 fedora 3.5 M texlive-txgreeks noarch 9:svn21839.1.0-61.fc38 fedora 20 k texlive-txuprcal noarch 9:svn43327-61.fc38 fedora 158 k texlive-type1cm noarch 9:svn21820.0-61.fc38 fedora 17 k texlive-typed-checklist noarch 9:svn49731-61.fc38 fedora 21 k texlive-typeface noarch 9:svn27046.0.1-61.fc38 fedora 62 k texlive-typehtml noarch 9:svn17134.0-61.fc38 fedora 21 k texlive-typicons noarch 9:svn37623.2.0.7-61.fc38 fedora 80 k texlive-typoaid noarch 9:svn44238-61.fc38 fedora 347 k texlive-typogrid noarch 9:svn24994.0.21-61.fc38 fedora 16 k texlive-tzplot noarch 9:svn58558-61.fc38 fedora 868 k texlive-uaclasses noarch 9:svn15878.0-61.fc38 fedora 18 k texlive-uafthesis noarch 9:svn57349-61.fc38 fedora 22 k texlive-uantwerpendocs noarch 9:svn58669-61.fc38 fedora 6.3 M texlive-uassign noarch 9:svn38459-61.fc38 fedora 20 k texlive-ucalgmthesis noarch 9:svn52527-61.fc38 fedora 135 k texlive-ucbthesis noarch 9:svn51690-61.fc38 fedora 24 k texlive-ucdavisthesis noarch 9:svn40772-61.fc38 fedora 26 k texlive-ucharcat noarch 9:svn38907-61.fc38 fedora 16 k texlive-ucs noarch 9:svn35853.2.2-61.fc38 fedora 383 k texlive-ucsmonograph noarch 9:svn52698-61.fc38 fedora 437 k texlive-ucthesis noarch 9:svn15878.3.2-61.fc38 fedora 37 k texlive-uebungsblatt noarch 9:svn15878.1.5.0-61.fc38 fedora 19 k texlive-uestcthesis noarch 9:svn36371.1.1.0-61.fc38 fedora 36 k texlive-uhc noarch 9:svn16791.0-61.fc38 fedora 4.4 M texlive-uhhassignment noarch 9:svn44026-61.fc38 fedora 389 k texlive-uiucredborder noarch 9:svn29974.1.00-61.fc38 fedora 18 k texlive-uiucthesis noarch 9:svn15878.2.25-61.fc38 fedora 20 k texlive-ulem noarch 9:svn53365-61.fc38 fedora 18 k texlive-ulqda noarch 9:20210325-52.fc38 fedora 264 k texlive-ulthese noarch 9:svn52972-61.fc38 fedora 78 k texlive-umbclegislation noarch 9:svn41348-61.fc38 fedora 25 k texlive-umich-thesis noarch 9:svn15878.1.20-61.fc38 fedora 20 k texlive-umoline noarch 9:svn19085.0-61.fc38 fedora 17 k texlive-umthesis noarch 9:svn15878.0.2-61.fc38 fedora 21 k texlive-umtypewriter noarch 9:svn18651.001.002-61.fc38 fedora 378 k texlive-unam-thesis noarch 9:svn51207-61.fc38 fedora 27 k texlive-unamth-template-doc noarch 9:svn33625.2.0-61.fc38 fedora 4.8 M texlive-unamthesis noarch 9:svn43639-61.fc38 fedora 27 k texlive-underlin noarch 9:svn15878.1.01-61.fc38 fedora 15 k texlive-underoverlap noarch 9:svn29019.0.0.1_r1-61.fc38 fedora 21 k texlive-underscore noarch 9:svn18261.0-61.fc38 fedora 19 k texlive-undolabl noarch 9:svn36681.1.0l-61.fc38 fedora 19 k texlive-uni-wtal-ger noarch 9:svn31541.0.2-61.fc38 fedora 19 k texlive-uni-wtal-lin noarch 9:svn31409.0.2-61.fc38 fedora 20 k texlive-unicode-data noarch 9:svn56768-61.fc38 fedora 360 k texlive-unicode-math noarch 9:svn56594-61.fc38 fedora 64 k texlive-unifith noarch 9:svn51968-61.fc38 fedora 868 k texlive-uniquecounter noarch 9:svn53162-61.fc38 fedora 301 k texlive-unitconv noarch 9:svn55060-61.fc38 fedora 87 k texlive-unitipa noarch 9:svn58749-61.fc38 fedora 202 k texlive-unitn-bimrep noarch 9:svn45581-61.fc38 fedora 817 k texlive-units noarch 9:svn42428-61.fc38 fedora 18 k texlive-unitsdef noarch 9:svn15878.0.2-61.fc38 fedora 21 k texlive-universa noarch 9:svn51984-61.fc38 fedora 62 k texlive-universalis noarch 9:svn33860.0-61.fc38 fedora 614 k texlive-univie-ling noarch 9:svn56913-61.fc38 fedora 2.1 M texlive-unizgklasa noarch 9:svn51647-61.fc38 fedora 50 k texlive-unravel noarch 9:svn52822-61.fc38 fedora 44 k texlive-unswcover noarch 9:svn29476.1.0-61.fc38 fedora 20 k texlive-uothesis noarch 9:svn25355.2.5.6-61.fc38 fedora 26 k texlive-uowthesis noarch 9:svn19700.1.0a-61.fc38 fedora 61 k texlive-uowthesistitlepage noarch 9:svn54512-61.fc38 fedora 23 k texlive-uplatex noarch 9:svn58842-61.fc38 fedora 37 k texlive-upmethodology noarch 9:svn54758-61.fc38 fedora 51 k texlive-uppunctlm noarch 9:svn42334-61.fc38 fedora 188 k texlive-upquote noarch 9:svn26059.v1.3-61.fc38 fedora 17 k texlive-uptex x86_64 9:20210325-52.fc38 fedora 1.7 M texlive-uptex-base noarch 9:svn56832-61.fc38 fedora 23 k texlive-uptex-fonts noarch 9:svn54045-61.fc38 fedora 489 k texlive-urcls noarch 9:svn49903-61.fc38 fedora 29 k texlive-uri noarch 9:svn48602-61.fc38 fedora 21 k texlive-url noarch 9:svn32528.3.4-61.fc38 fedora 20 k texlive-urlbst noarch 9:20210325-52.fc38 fedora 251 k texlive-urwchancal noarch 9:svn21701.1-61.fc38 fedora 18 k texlive-usebib noarch 9:svn25969.1.0a-61.fc38 fedora 19 k texlive-ushort noarch 9:svn32261.2.2-61.fc38 fedora 16 k texlive-uspace noarch 9:svn42456-61.fc38 fedora 274 k texlive-uspatent noarch 9:svn27744.1.0-61.fc38 fedora 22 k texlive-ut-thesis noarch 9:svn59078-61.fc38 fedora 20 k texlive-utexasthesis noarch 9:svn48648-61.fc38 fedora 17 k texlive-utf8add noarch 9:svn55291-61.fc38 fedora 395 k texlive-utfsym noarch 9:svn56729-61.fc38 fedora 5.2 M texlive-utopia noarch 9:svn15878.0-61.fc38 fedora 240 k texlive-uwthesis noarch 9:svn15878.6.13-61.fc38 fedora 23 k texlive-vak noarch 9:svn23431.0-61.fc38 fedora 21 k texlive-vancouver noarch 9:svn55423-61.fc38 fedora 26 k texlive-variablelm noarch 9:svn46611-61.fc38 fedora 152 k texlive-varindex noarch 9:svn32262.2.3-61.fc38 fedora 21 k texlive-varsfromjobname noarch 9:svn44154-61.fc38 fedora 16 k texlive-varwidth noarch 9:svn24104.0.92-61.fc38 fedora 18 k texlive-vdmlisting noarch 9:svn56905-61.fc38 fedora 18 k texlive-venn noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-venndiagram noarch 9:svn47952-61.fc38 fedora 19 k texlive-venturisadf noarch 9:svn19444.1.005-61.fc38 fedora 4.1 M texlive-verbasef noarch 9:svn21922.1.1-61.fc38 fedora 21 k texlive-verbatimbox noarch 9:svn33197.3.13-61.fc38 fedora 18 k texlive-verbatimcopy noarch 9:svn15878.0.06-61.fc38 fedora 17 k texlive-verbdef noarch 9:svn17177.0.2-61.fc38 fedora 16 k texlive-verbments noarch 9:svn23670.1.2-61.fc38 fedora 18 k texlive-verifiche noarch 9:svn57766-61.fc38 fedora 696 k texlive-verse noarch 9:svn34017.2.4b-61.fc38 fedora 18 k texlive-version noarch 9:svn21920.2.0-61.fc38 fedora 14 k texlive-versions noarch 9:svn21921.0.55-61.fc38 fedora 23 k texlive-versonotes noarch 9:svn55777-61.fc38 fedora 20 k texlive-vertbars noarch 9:svn49429-61.fc38 fedora 19 k texlive-vgrid noarch 9:svn32457.0.1-61.fc38 fedora 19 k texlive-vhistory noarch 9:svn30080.1.6.1-61.fc38 fedora 24 k texlive-visualpstricks-doc noarch 9:svn39799-61.fc38 fedora 13 M texlive-vmargin noarch 9:svn15878.2.5-61.fc38 fedora 18 k texlive-volumes noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-vpe noarch 9:20210325-52.fc38 fedora 26 k texlive-vruler noarch 9:svn21598.2.3-61.fc38 fedora 20 k texlive-vtable noarch 9:svn51126-61.fc38 fedora 72 k texlive-vwcol noarch 9:svn36254.0.2-61.fc38 fedora 20 k texlive-wadalab noarch 9:svn42428-61.fc38 fedora 17 M texlive-wallcalendar noarch 9:svn45568-61.fc38 fedora 2.6 M texlive-wallpaper noarch 9:svn15878.1.10-61.fc38 fedora 16 k texlive-warning noarch 9:svn22028.0.01-61.fc38 fedora 16 k texlive-warpcol noarch 9:svn15878.1.0c-61.fc38 fedora 16 k texlive-was noarch 9:svn21439.0-61.fc38 fedora 15 k texlive-wasy noarch 9:svn53533-61.fc38 fedora 44 k texlive-wasy-type1 noarch 9:svn53534-61.fc38 fedora 272 k texlive-wasysym noarch 9:svn54080-61.fc38 fedora 19 k texlive-webquiz noarch 9:20210325-52.fc38 fedora 6.6 M texlive-widetable noarch 9:svn53409-61.fc38 fedora 19 k texlive-widows-and-orphans noarch 9:svn58172-61.fc38 fedora 798 k texlive-williams noarch 9:svn15878.0-61.fc38 fedora 17 k texlive-willowtreebook noarch 9:svn54866-61.fc38 fedora 23 M texlive-windycity noarch 9:svn59067-61.fc38 fedora 527 k texlive-withargs noarch 9:svn52641-61.fc38 fedora 24 k texlive-witharrows noarch 9:svn58120-61.fc38 fedora 911 k texlive-wordcount noarch 9:20210325-52.fc38 fedora 18 k texlive-wordlike noarch 9:svn15878.1.2b-61.fc38 fedora 16 k texlive-worksheet noarch 9:svn48423-61.fc38 fedora 114 k texlive-worldflags noarch 9:svn59171-61.fc38 fedora 4.1 M texlive-wrapfig noarch 9:svn22048.3.6-61.fc38 fedora 24 k texlive-wsemclassic noarch 9:svn31532.1.0.1-61.fc38 fedora 16 k texlive-wsuipa noarch 9:svn25469.0-61.fc38 fedora 64 k texlive-wtref noarch 9:svn55558-61.fc38 fedora 351 k texlive-xargs noarch 9:svn15878.1.1-61.fc38 fedora 18 k texlive-xassoccnt noarch 9:svn55876-61.fc38 fedora 37 k texlive-xbmks noarch 9:svn53448-61.fc38 fedora 379 k texlive-xcharter noarch 9:svn58755-61.fc38 fedora 2.4 M texlive-xcite noarch 9:svn53486-61.fc38 fedora 18 k texlive-xcjk2uni noarch 9:svn54958-61.fc38 fedora 374 k texlive-xcntperchap noarch 9:svn54080-61.fc38 fedora 20 k texlive-xcolor noarch 9:svn41044-61.fc38 fedora 33 k texlive-xcolor-material noarch 9:svn42289-61.fc38 fedora 582 k texlive-xcolor-solarized noarch 9:svn41809-61.fc38 fedora 19 k texlive-xcomment noarch 9:svn20031.1.3-61.fc38 fedora 17 k texlive-xcookybooky noarch 9:svn36435.1.5-61.fc38 fedora 24 k texlive-xcpdftips noarch 9:svn50449-61.fc38 fedora 163 k texlive-xdoc noarch 9:svn15878.prot2.5-61.fc38 fedora 28 k texlive-xduthesis noarch 9:svn39694-61.fc38 fedora 128 k texlive-xdvi x86_64 9:20210325-52.fc38 fedora 354 k texlive-xecjk noarch 9:svn56711-61.fc38 fedora 242 k texlive-xellipsis noarch 9:svn47546-61.fc38 fedora 19 k texlive-xetex x86_64 9:20210325-52.fc38 fedora 1.2 M texlive-xetexconfig noarch 9:svn45845-61.fc38 fedora 12 k texlive-xfakebold noarch 9:svn55654-61.fc38 fedora 116 k texlive-xfor noarch 9:svn15878.1.05-61.fc38 fedora 16 k texlive-xhfill noarch 9:svn22575.1.01-61.fc38 fedora 16 k texlive-xifthen noarch 9:svn38929-61.fc38 fedora 17 k texlive-xint noarch 9:svn59161-61.fc38 fedora 100 k texlive-xits noarch 9:svn55730-61.fc38 fedora 602 k texlive-xkcdcolors noarch 9:svn54512-61.fc38 fedora 232 k texlive-xkeyval noarch 9:svn57006-61.fc38 fedora 28 k texlive-xltabular noarch 9:svn56855-61.fc38 fedora 91 k texlive-xltxtra noarch 9:svn56594-61.fc38 fedora 17 k texlive-xmpincl noarch 9:svn15878.2.2-61.fc38 fedora 18 k texlive-xmuthesis noarch 9:svn56614-61.fc38 fedora 489 k texlive-xnewcommand noarch 9:svn15878.1.2-61.fc38 fedora 15 k texlive-xoptarg noarch 9:svn15878.1.0-61.fc38 fedora 17 k texlive-xpatch noarch 9:svn54563-61.fc38 fedora 19 k texlive-xpeek noarch 9:svn27442.0.2-61.fc38 fedora 19 k texlive-xpicture noarch 9:svn28770.1.2a-61.fc38 fedora 26 k texlive-xpinyin noarch 9:svn56709-61.fc38 fedora 288 k texlive-xprintlen noarch 9:svn35928.1.0-61.fc38 fedora 18 k texlive-xpunctuate noarch 9:svn26641.1.0-61.fc38 fedora 17 k texlive-xsavebox noarch 9:svn54097-61.fc38 fedora 22 k texlive-xsim noarch 9:svn57619-61.fc38 fedora 2.9 M texlive-xstring noarch 9:svn49946-61.fc38 fedora 26 k texlive-xtab noarch 9:svn23347.2.3f-61.fc38 fedora 19 k texlive-xunicode noarch 9:svn30466.0.981-61.fc38 fedora 45 k texlive-xurl noarch 9:svn57265-61.fc38 fedora 76 k texlive-xwatermark noarch 9:svn28090.1.5.2d-61.fc38 fedora 33 k texlive-xyling noarch 9:svn15878.1.1-61.fc38 fedora 25 k texlive-xymtex noarch 9:svn32182.5.06-61.fc38 fedora 116 k texlive-xypic noarch 9:svn31859.3.8.9-61.fc38 fedora 772 k texlive-xytree noarch 9:svn15878.1.5-61.fc38 fedora 18 k texlive-yafoot noarch 9:svn48568-61.fc38 fedora 19 k texlive-yagusylo noarch 9:svn29803.1.2-61.fc38 fedora 20 k texlive-yaletter noarch 9:svn42830-61.fc38 fedora 495 k texlive-yathesis noarch 9:svn58683-61.fc38 fedora 42 k texlive-yazd-thesis noarch 9:svn51725-61.fc38 fedora 2.1 M texlive-ycbook noarch 9:svn46201-61.fc38 fedora 22 k texlive-ydoc noarch 9:svn56291-61.fc38 fedora 28 k texlive-yfonts noarch 9:svn50755-61.fc38 fedora 16 k texlive-yfonts-t1 noarch 9:svn36013-61.fc38 fedora 190 k texlive-yhmath noarch 9:svn54377-61.fc38 fedora 54 k texlive-yinit-otf noarch 9:svn40207-61.fc38 fedora 273 k texlive-york-thesis noarch 9:svn23348.3.6-61.fc38 fedora 22 k texlive-youngtab noarch 9:svn56500-61.fc38 fedora 16 k texlive-yplan noarch 9:20210325-52.fc38 fedora 22 k texlive-yquant noarch 9:svn58712-61.fc38 fedora 595 k texlive-ytableau noarch 9:svn27430.1.3-61.fc38 fedora 19 k texlive-zapfchan noarch 9:svn31835.0-61.fc38 fedora 110 k texlive-zapfding noarch 9:svn31835.0-61.fc38 fedora 65 k texlive-zebra-goodies noarch 9:svn51554-61.fc38 fedora 129 k texlive-zed-csp noarch 9:svn17258.0-61.fc38 fedora 19 k texlive-zhmetrics noarch 9:svn22207.r206-61.fc38 fedora 1.6 M texlive-zhmetrics-uptex noarch 9:svn40728-61.fc38 fedora 122 k texlive-zhnumber noarch 9:svn54960-61.fc38 fedora 26 k texlive-ziffer noarch 9:svn32279.2.1-61.fc38 fedora 16 k texlive-zlmtt noarch 9:svn51368-61.fc38 fedora 27 k texlive-zootaxa-bst noarch 9:svn50619-61.fc38 fedora 150 k texlive-zref noarch 9:svn56611-61.fc38 fedora 672 k texlive-zwgetfdate noarch 9:svn15878.0-61.fc38 fedora 19 k texlive-zwpagelayout noarch 9:svn53965-61.fc38 fedora 23 k tk x86_64 1:8.6.12-3.fc37 fedora 1.6 M tre x86_64 0.8.0-37.20140228gitc2f5d13.fc37 fedora 42 k tre-common noarch 0.8.0-37.20140228gitc2f5d13.fc37 fedora 31 k urw-base35-bookman-fonts noarch 20200910-15.fc37 fedora 848 k urw-base35-c059-fonts noarch 20200910-15.fc37 fedora 875 k urw-base35-d050000l-fonts noarch 20200910-15.fc37 fedora 76 k urw-base35-fonts noarch 20200910-15.fc37 fedora 11 k urw-base35-fonts-common noarch 20200910-15.fc37 fedora 21 k urw-base35-gothic-fonts noarch 20200910-15.fc37 fedora 643 k urw-base35-nimbus-mono-ps-fonts noarch 20200910-15.fc37 fedora 796 k urw-base35-nimbus-roman-fonts noarch 20200910-15.fc37 fedora 857 k urw-base35-nimbus-sans-fonts noarch 20200910-15.fc37 fedora 1.3 M urw-base35-p052-fonts noarch 20200910-15.fc37 fedora 974 k urw-base35-standard-symbols-ps-fonts noarch 20200910-15.fc37 fedora 42 k urw-base35-z003-fonts noarch 20200910-15.fc37 fedora 276 k vim-data noarch 2:9.0.1054-1.fc38 fedora 24 k vim-minimal x86_64 2:9.0.1054-1.fc38 fedora 783 k vulkan-loader x86_64 1.3.231.1-2.fc38 fedora 145 k webkit2gtk4.0 x86_64 2.39.3-3.fc38 fedora 23 M webrtc-audio-processing x86_64 0.3.1-9.fc37 fedora 304 k woff2 x86_64 1.0.2-15.fc37 fedora 61 k wpebackend-fdo x86_64 1.14.0-1.fc38 fedora 46 k xdg-dbus-proxy x86_64 0.1.3-2.fc37 fedora 43 k xdg-utils noarch 1.1.3-12.fc37 fedora 77 k xkeyboard-config noarch 2.36-3.fc38 fedora 956 k xml-common noarch 0.6.3-59.fc37 fedora 31 k xorg-x11-fonts-ISO8859-1-100dpi noarch 7.5-34.fc37 fedora 1.1 M xprop x86_64 1.2.5-2.fc37 fedora 35 k zlib-devel x86_64 1.2.13-1.fc38 fedora 45 k zziplib x86_64 0.13.72-2.fc38 copr_base 86 k Transaction Summary ========================================================================================================================================= Install 3380 Packages Total size: 1.7 G Total download size: 1.6 G Installed size: 4.0 G Downloading Packages: [SKIPPED] libXxf86vm-1.1.5-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libunwind-1.6.2-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] opus-1.3.1-11.fc38.x86_64.rpm: Already downloaded [SKIPPED] annobin-docs-10.99-1.0.1.fc38.noarch.rpm: Already downloaded [SKIPPED] annobin-plugin-gcc-10.99-1.0.1.fc38.x86_64.rpm: Already downloaded [SKIPPED] cpp-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] gcc-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] gcc-c++-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] gcc-plugin-annobin-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] libstdc++-devel-13.0.0-0.4.fc38.x86_64.rpm: Already downloaded [SKIPPED] libtool-ltdl-2.4.7-3.0.1.fc38.x86_64.rpm: Already downloaded [SKIPPED] alsa-lib-1.2.8-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] avahi-libs-0.8-19.fc38.x86_64.rpm: Already downloaded [SKIPPED] cairo-1.17.6-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] cdparanoia-libs-10.2-40.fc37.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-scripts-20221215-1.gita4c31a3.fc38.noarch.rpm: Already downloaded [SKIPPED] cups-libs-2.4.2-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] dbus-libs-1.14.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] desktop-file-utils-0.26-7.fc37.x86_64.rpm: Already downloaded [SKIPPED] emacs-filesystem-28.1-3.fc37.noarch.rpm: Already downloaded [SKIPPED] expat-2.5.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] flex-2.6.4-11.fc37.x86_64.rpm: Already downloaded [SKIPPED] fontconfig-2.14.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] fonts-filesystem-2.0.5-10.fc38.noarch.rpm: Already downloaded [SKIPPED] freetype-2.12.1-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] fribidi-1.0.12-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] gc-8.2.2-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] gdk-pixbuf2-2.42.10-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] glib2-2.74.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] glibc-devel-2.36.9000-19.fc38.x86_64.rpm: Already downloaded [SKIPPED] glibc-headers-x86-2.36.9000-19.fc38.noarch.rpm: Already downloaded [SKIPPED] gnutls-3.7.8-9.fc38.x86_64.rpm: Already downloaded [SKIPPED] google-noto-fonts-common-20201206^1.git0c78c8329-9.fc38.noarch.rpm: Already downloaded [SKIPPED] google-noto-sans-vf-fonts-20201206^1.git0c78c8329-9.fc38.noarch.rpm: Already downloaded [SKIPPED] graphene-1.10.6-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] graphite2-1.3.14-10.fc37.x86_64.rpm: Already downloaded [SKIPPED] groff-base-1.22.4-10.fc37.x86_64.rpm: Already downloaded [SKIPPED] gstreamer1-1.20.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] gstreamer1-plugins-base-1.20.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] guile22-2.2.7-6.fc37.x86_64.rpm: Already downloaded [SKIPPED] harfbuzz-6.0.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] hwdata-0.365-1.fc38.noarch.rpm: Already downloaded [SKIPPED] hyphen-2.8.8-18.fc37.x86_64.rpm: Already downloaded [SKIPPED] iso-codes-4.12.0-2.fc38.noarch.rpm: Already downloaded [SKIPPED] json-glib-1.6.6-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] kernel-headers-6.1.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] langpacks-core-font-en-3.0-27.fc38.noarch.rpm: Already downloaded [SKIPPED] lcms2-2.14-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libICE-1.0.10-9.fc37.x86_64.rpm: Already downloaded [SKIPPED] libSM-1.2.3-11.fc37.x86_64.rpm: Already downloaded [SKIPPED] libX11-1.8.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] libX11-common-1.8.1-2.fc38.noarch.rpm: Already downloaded [SKIPPED] libX11-xcb-1.8.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] libXau-1.0.11-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libXcomposite-0.4.5-8.fc37.x86_64.rpm: Already downloaded [SKIPPED] libXdamage-1.1.5-8.fc37.x86_64.rpm: Already downloaded [SKIPPED] libXext-1.3.5-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libXfixes-6.0.0-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libXft-2.3.6-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libXi-1.8-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] libXrandr-1.5.2-9.fc37.x86_64.rpm: Already downloaded [SKIPPED] libXrender-0.9.11-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libXtst-1.2.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libXv-1.0.11-17.fc37.x86_64.rpm: Already downloaded [SKIPPED] libb2-0.98.1-7.fc37.x86_64.rpm: Already downloaded [SKIPPED] libdatrie-0.2.13-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libdrm-2.4.114-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libevdev-1.13.0-1.fc37.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-1.6.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-egl-1.6.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libglvnd-glx-1.6.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libgudev-237-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] libicu-71.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] libjpeg-turbo-2.1.4-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] libmpc-1.2.1-5.fc37.x86_64.rpm: Already downloaded [SKIPPED] libogg-1.3.5-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] libpciaccess-0.16-7.fc37.x86_64.rpm: Already downloaded [SKIPPED] libpng-1.6.37-13.fc37.x86_64.rpm: Already downloaded [SKIPPED] libstemmer-2.2.0-4.fc38.x86_64.rpm: Already downloaded [SKIPPED] libthai-0.1.29-3.fc37.x86_64.rpm: Already downloaded [SKIPPED] libtheora-1.1.1-32.fc37.x86_64.rpm: Already downloaded [SKIPPED] libvisual-0.4.0-36.fc37.x86_64.rpm: Already downloaded [SKIPPED] libvorbis-1.3.7-6.fc37.x86_64.rpm: Already downloaded [SKIPPED] libwayland-client-1.21.0-1.fc37.x86_64.rpm: Already downloaded [SKIPPED] libwayland-cursor-1.21.0-1.fc37.x86_64.rpm: Already downloaded [SKIPPED] libwayland-egl-1.21.0-1.fc37.x86_64.rpm: Already downloaded [SKIPPED] libwayland-server-1.21.0-1.fc37.x86_64.rpm: Already downloaded [SKIPPED] libwebp-1.2.4-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] libxcb-1.13.1-10.fc37.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-devel-4.4.33-5.fc38.x86_64.rpm: Already downloaded [SKIPPED] libxkbcommon-1.4.1-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] libxshmfence-1.3-11.fc37.x86_64.rpm: Already downloaded [SKIPPED] libxslt-1.1.37-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] m4-1.4.19-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] make-4.3-11.fc37.x86_64.rpm: Already downloaded [SKIPPED] mesa-libEGL-22.3.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] mesa-libGL-22.3.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] mesa-libgbm-22.3.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] mesa-libglapi-22.3.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] mpdecimal-2.5.1-4.fc37.x86_64.rpm: Already downloaded [SKIPPED] ncurses-6.3-5.20221126.fc38.x86_64.rpm: Already downloaded [SKIPPED] nspr-4.35.0-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] nss-3.85.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] nss-softokn-3.85.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] nss-softokn-freebl-3.85.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] nss-sysinit-3.85.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] nss-util-3.85.0-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] orc-0.4.33-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] pango-1.50.12-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-Carp-1.52-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Struct-0.66-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-DynaLoader-1.52-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-Encode-3.19-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-English-1.11-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-Errno-1.36-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-Exporter-5.77-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Fcntl-1.15-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-File-Basename-2.85-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-File-Find-1.40-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-File-Path-2.18-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-File-Temp-0.231.100-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-File-stat-1.12-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Long-2.54-1.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Std-1.13-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Tiny-0.082-1.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-IO-1.50-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-IPC-Open3-1.22-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Base64-3.16-489.fc37.x86_64.rpm: Already downloaded [SKIPPED] perl-POSIX-2.03-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-PathTools-3.84-489.fc37.x86_64.rpm: Already downloaded [SKIPPED] perl-Pod-Escapes-1.07-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Perldoc-3.28.01-490.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Simple-3.43-490.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Usage-2.03-3.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Scalar-List-Utils-1.63-489.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-SelectSaver-1.02-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-Socket-2.036-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-Storable-3.26-489.fc37.x86_64.rpm: Already downloaded [SKIPPED] perl-Symbol-1.09-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-Term-ANSIColor-5.01-490.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Term-Cap-1.17-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Text-ParseWords-3.31-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-Time-Local-1.300-489.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-constant-1.33-490.fc37.noarch.rpm: Already downloaded [SKIPPED] perl-if-0.61.000-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-interpreter-5.36.0-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-libs-5.36.0-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-mro-1.26-492.fc38.x86_64.rpm: Already downloaded [SKIPPED] perl-overload-1.35-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-overloading-0.02-492.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-parent-0.239-1.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-podlators-5.00-1.fc38.noarch.rpm: Already downloaded [SKIPPED] perl-vars-1.05-492.fc38.noarch.rpm: Already downloaded [SKIPPED] pixman-0.40.0-6.fc37.x86_64.rpm: Already downloaded [SKIPPED] python-pip-wheel-22.3.1-1.fc38.noarch.rpm: Already downloaded [SKIPPED] python-setuptools-wheel-65.5.1-1.fc38.noarch.rpm: Already downloaded [SKIPPED] python3-3.11.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] python3-libs-3.11.1-1.fc38.x86_64.rpm: Already downloaded [SKIPPED] shared-mime-info-2.2-2.fc37.x86_64.rpm: Already downloaded [SKIPPED] vulkan-loader-1.3.231.1-2.fc38.x86_64.rpm: Already downloaded [SKIPPED] woff2-1.0.2-15.fc37.x86_64.rpm: Already downloaded [SKIPPED] xkeyboard-config-2.36-3.fc38.noarch.rpm: Already downloaded [SKIPPED] xml-common-0.6.3-59.fc37.noarch.rpm: Already downloaded [SKIPPED] zlib-devel-1.2.13-1.fc38.x86_64.rpm: Already downloaded (166/3380): libnice-0.1.19-3.fc38.x86_64.rpm 694 kB/s | 197 kB 00:00 (167/3380): colord-libs-1.4.6-2.fc38.x86_64.rpm 804 kB/s | 234 kB 00:00 (168/3380): libvmaf-2.3.0-4.fc38.x86_64.rpm 3.5 MB/s | 181 kB 00:00 (169/3380): openblas-0.3.21-4.fc38.x86_64.rpm 491 kB/s | 35 kB 00:00 (170/3380): ruby-3.1.3-175.fc38.x86_64.rpm 163 kB/s | 42 kB 00:00 (171/3380): gstreamer1-plugins-bad-free-1.20.4- 4.2 MB/s | 2.9 MB 00:00 (172/3380): openblas-openmp-0.3.21-4.fc38.x86_6 2.7 MB/s | 5.0 MB 00:01 (173/3380): svt-av1-libs-1.4.1-1.fc38.x86_64.rp 1.2 MB/s | 2.0 MB 00:01 (174/3380): zziplib-0.13.72-2.fc38.x86_64.rpm 402 kB/s | 86 kB 00:00 (175/3380): ruby-libs-3.1.3-175.fc38.x86_64.rpm 1.8 MB/s | 3.2 MB 00:01 (176/3380): libatomic-13.0.0-0.4.fc38.x86_64.rp 2.5 kB/s | 19 kB 00:07 (177/3380): libquadmath-13.0.0-0.4.fc38.x86_64. 24 kB/s | 178 kB 00:07 (178/3380): libgfortran-13.0.0-0.4.fc38.x86_64. 117 kB/s | 890 kB 00:07 (179/3380): ImageMagick-6.9.12.70-1.fc38.x86_64 400 kB/s | 84 kB 00:00 (180/3380): ImageMagick-c++-6.9.12.70-1.fc38.x8 800 kB/s | 178 kB 00:00 (181/3380): ImageMagick-libs-6.9.12.70-1.fc38.x 5.5 MB/s | 2.3 MB 00:00 (182/3380): R-evaluate-0.15-1.fc38.noarch.rpm 697 kB/s | 103 kB 00:00 (183/3380): R-glue-1.6.2-1.fc38.x86_64.rpm 1.6 MB/s | 174 kB 00:00 (184/3380): R-highr-0.9-7.fc38.noarch.rpm 974 kB/s | 58 kB 00:00 (185/3380): R-knitr-1.39-1.fc38.noarch.rpm 9.9 MB/s | 1.3 MB 00:00 (186/3380): R-magrittr-2.0.3-1.fc38.x86_64.rpm 1.5 MB/s | 239 kB 00:00 (187/3380): R-stringi-1.7.8-1.fc38.x86_64.rpm 5.6 MB/s | 1.0 MB 00:00 (188/3380): R-stringr-1.4.0-14.fc38.noarch.rpm 2.4 MB/s | 247 kB 00:00 (189/3380): R-xfun-0.33-1.fc38.x86_64.rpm 3.9 MB/s | 471 kB 00:00 (190/3380): LibRaw-0.21.0-1.fc38.x86_64.rpm 310 kB/s | 414 kB 00:01 (191/3380): R-yaml-2.3.5-1.fc38.x86_64.rpm 968 kB/s | 127 kB 00:00 (192/3380): R-core-4.2.2-5.fc38.x86_64.rpm 41 MB/s | 62 MB 00:01 (193/3380): abc-1.01-35.git20220731.fc37.x86_64 80 kB/s | 18 kB 00:00 (194/3380): adobe-mappings-cmap-deprecated-2019 3.0 MB/s | 113 kB 00:00 (195/3380): abc-libs-1.01-35.git20220731.fc37.x 29 MB/s | 5.1 MB 00:00 (196/3380): adobe-mappings-pdf-20190401-2.fc37. 17 MB/s | 697 kB 00:00 (197/3380): adwaita-cursor-theme-43-1.fc38.noar 16 MB/s | 633 kB 00:00 (198/3380): adobe-mappings-cmap-20190730-4.fc37 17 MB/s | 2.1 MB 00:00 (199/3380): at-spi2-atk-2.46.0-2.fc38.x86_64.rp 2.6 MB/s | 87 kB 00:00 (200/3380): at-spi2-core-2.46.0-2.fc38.x86_64.r 9.0 MB/s | 371 kB 00:00 (201/3380): atk-2.46.0-2.fc38.x86_64.rpm 2.2 MB/s | 82 kB 00:00 (202/3380): adwaita-icon-theme-43-1.fc38.noarch 44 MB/s | 4.9 MB 00:00 (203/3380): autotrace-0.31.9-2.fc38.x86_64.rpm 2.6 MB/s | 147 kB 00:00 (204/3380): biber-2.18-1.fc38.noarch.rpm 4.7 MB/s | 314 kB 00:00 (205/3380): bison-3.8.2-3.fc37.x86_64.rpm 17 MB/s | 1.0 MB 00:00 (206/3380): bubblewrap-0.5.0-3.fc37.x86_64.rpm 1.3 MB/s | 54 kB 00:00 (207/3380): cairo-gobject-1.17.6-2.fc37.x86_64. 564 kB/s | 18 kB 00:00 (208/3380): dbus-1.14.4-1.fc38.x86_64.rpm 244 kB/s | 7.8 kB 00:00 (209/3380): dbus-broker-32-1.fc37.x86_64.rpm 5.1 MB/s | 175 kB 00:00 (210/3380): dbus-common-1.14.4-1.fc38.noarch.rp 450 kB/s | 15 kB 00:00 (211/3380): enchant2-2.3.3-2.fc37.x86_64.rpm 1.9 MB/s | 65 kB 00:00 (212/3380): flexiblas-3.2.1-3.fc38.x86_64.rpm 996 kB/s | 32 kB 00:00 (213/3380): flac-libs-1.4.2-1.fc38.x86_64.rpm 4.6 MB/s | 256 kB 00:00 (214/3380): fdk-aac-free-2.0.0-9.fc37.x86_64.rp 5.1 MB/s | 332 kB 00:00 (215/3380): flexiblas-openblas-openmp-3.2.1-3.f 511 kB/s | 17 kB 00:00 (216/3380): fontawesome-fonts-4.7.0-14.fc37.noa 5.0 MB/s | 204 kB 00:00 (217/3380): flexiblas-netlib-3.2.1-3.fc38.x86_6 37 MB/s | 3.1 MB 00:00 (218/3380): gdk-pixbuf2-modules-2.42.10-1.fc38. 2.1 MB/s | 85 kB 00:00 (219/3380): gd-2.3.3-9.fc38.x86_64.rpm 1.9 MB/s | 138 kB 00:00 (220/3380): fontforge-20220308-3.fc37.x86_64.rp 48 MB/s | 6.1 MB 00:00 (221/3380): ghostscript-9.56.1-5.fc38.x86_64.rp 990 kB/s | 43 kB 00:00 (222/3380): ghostscript-tools-fonts-9.56.1-5.fc 319 kB/s | 12 kB 00:00 (223/3380): ghostscript-tools-printing-9.56.1-5 374 kB/s | 12 kB 00:00 (224/3380): giflib-5.2.1-14.fc37.x86_64.rpm 1.5 MB/s | 51 kB 00:00 (225/3380): glib-networking-2.74.0-1.fc38.x86_6 4.2 MB/s | 200 kB 00:00 [MIRROR] graphviz-7.0.5-1.fc38.x86_64.rpm: Status code: 404 for https://nnenix.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/g/graphviz-7.0.5-1.fc38.x86_64.rpm (IP: 2610:48:200:974::47) (226/3380): gsettings-desktop-schemas-43.0-1.fc 14 MB/s | 732 kB 00:00 (227/3380): google-droid-sans-fonts-20200215-13 33 MB/s | 2.7 MB 00:00 (228/3380): gsm-1.0.22-1.fc37.x86_64.rpm 893 kB/s | 35 kB 00:00 [MIRROR] graphviz-7.0.5-1.fc38.x86_64.rpm: Status code: 404 for http://nnenix.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/g/graphviz-7.0.5-1.fc38.x86_64.rpm (IP: 2610:48:200:974::47) (229/3380): gssdp-1.6.2-1.fc38.x86_64.rpm 1.4 MB/s | 58 kB 00:00 (230/3380): gtk-update-icon-cache-3.24.35-2.fc3 1.0 MB/s | 34 kB 00:00 (231/3380): gts-0.7.6-43.20121130.fc38.x86_64.r 5.2 MB/s | 241 kB 00:00 [MIRROR] graphviz-7.0.5-1.fc38.x86_64.rpm: Status code: 404 for https://uvermont.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/g/graphviz-7.0.5-1.fc38.x86_64.rpm (IP: 2620:104:e000:aa::58) (232/3380): gupnp-1.6.3-1.fc38.x86_64.rpm 2.4 MB/s | 106 kB 00:00 (233/3380): gtk3-3.24.35-2.fc38.x86_64.rpm 44 MB/s | 5.0 MB 00:00 [MIRROR] graphviz-7.0.5-1.fc38.x86_64.rpm: Status code: 404 for http://uvermont.mm.fcix.net/fedora/linux/development/rawhide/Everything/x86_64/os/Packages/g/graphviz-7.0.5-1.fc38.x86_64.rpm (IP: 2620:104:e000:aa::58) (234/3380): gupnp-igd-1.2.0-7.fc38.x86_64.rpm 1.0 MB/s | 34 kB 00:00 (235/3380): harfbuzz-icu-6.0.0-1.fc38.x86_64.rp 490 kB/s | 16 kB 00:00 (236/3380): hicolor-icon-theme-0.17-14.fc37.noa 1.9 MB/s | 66 kB 00:00 (237/3380): highway-1.0.2-1.fc38.x86_64.rpm 10 MB/s | 382 kB 00:00 (238/3380): hunspell-1.7.1-1.fc38.x86_64.rpm 9.7 MB/s | 357 kB 00:00 (239/3380): hunspell-en-US-0.20201207-2.fc38.no 5.2 MB/s | 181 kB 00:00 (240/3380): hunspell-filesystem-1.7.1-1.fc38.x8 278 kB/s | 8.9 kB 00:00 (241/3380): imath-3.1.6-1.fc38.x86_64.rpm 1.6 MB/s | 98 kB 00:00 [MIRROR] graphviz-7.0.5-1.fc38.x86_64.rpm: Status code: 404 for http://mirror.web-ster.com/fedora/development/rawhide/Everything/x86_64/os/Packages/g/graphviz-7.0.5-1.fc38.x86_64.rpm (IP: 2604:d200::39) (242/3380): iverilog-11.0-6.fc38.x86_64.rpm 24 MB/s | 2.2 MB 00:00 (243/3380): jasper-libs-3.0.6-1.fc37.x86_64.rpm 2.9 MB/s | 162 kB 00:00 (244/3380): jbig2dec-libs-0.19-7.fc37.x86_64.rp 1.8 MB/s | 73 kB 00:00 (245/3380): jbigkit-libs-2.1-24.fc37.x86_64.rpm 1.6 MB/s | 53 kB 00:00 (246/3380): lame-libs-3.100-13.fc37.x86_64.rpm 8.9 MB/s | 335 kB 00:00 (247/3380): javascriptcoregtk4.0-2.39.3-3.fc38. 53 MB/s | 7.6 MB 00:00 (248/3380): lasi-1.1.3-9.fc37.x86_64.rpm 1.2 MB/s | 54 kB 00:00 (249/3380): less-608-1.fc38.x86_64.rpm 4.8 MB/s | 167 kB 00:00 (250/3380): libEMF-1.0.13-6.fc37.x86_64.rpm 1.8 MB/s | 90 kB 00:00 [MIRROR] graphviz-7.0.5-1.fc38.x86_64.rpm: Status code: 404 for https://mirror.web-ster.com/fedora/development/rawhide/Everything/x86_64/os/Packages/g/graphviz-7.0.5-1.fc38.x86_64.rpm (IP: 2604:d200::39) (251/3380): libRmath-4.2.2-5.fc38.x86_64.rpm 2.8 MB/s | 123 kB 00:00 (252/3380): libXaw-1.0.14-3.fc37.x86_64.rpm 4.9 MB/s | 203 kB 00:00 (253/3380): libXcursor-1.2.1-2.fc37.x86_64.rpm 933 kB/s | 30 kB 00:00 (254/3380): libXinerama-1.1.5-1.fc38.x86_64.rpm 435 kB/s | 14 kB 00:00 (255/3380): libXmu-1.1.4-1.fc38.x86_64.rpm 2.3 MB/s | 76 kB 00:00 (256/3380): libXpm-3.5.13-8.fc37.x86_64.rpm 1.6 MB/s | 58 kB 00:00 (257/3380): libXt-1.2.1-3.fc37.x86_64.rpm 5.2 MB/s | 180 kB 00:00 (258/3380): libavif-0.11.1-4.fc38.x86_64.rpm 1.3 MB/s | 83 kB 00:00 (259/3380): graphviz-7.0.5-1.fc38.x86_64.rpm 5.7 MB/s | 5.0 MB 00:00 (260/3380): libaom-3.5.0-2.fc38.x86_64.rpm 17 MB/s | 1.8 MB 00:00 (261/3380): libcloudproviders-0.3.1-6.fc37.x86_ 1.3 MB/s | 44 kB 00:00 (262/3380): libdav1d-1.0.0-2.fc37.x86_64.rpm 11 MB/s | 584 kB 00:00 (263/3380): libdvdnav-6.1.1-4.fc37.x86_64.rpm 1.0 MB/s | 55 kB 00:00 (264/3380): libdvdread-6.1.3-2.fc37.x86_64.rpm 1.1 MB/s | 72 kB 00:00 (265/3380): libepoxy-1.5.10-2.fc37.x86_64.rpm 6.8 MB/s | 242 kB 00:00 (266/3380): libffi-devel-3.4.4-1.fc38.x86_64.rp 871 kB/s | 28 kB 00:00 (267/3380): libfontenc-1.1.6-1.fc38.x86_64.rpm 764 kB/s | 32 kB 00:00 (268/3380): libgcrypt-1.10.1-6.fc38.x86_64.rpm 13 MB/s | 511 kB 00:00 (269/3380): libgee-0.20.6-1.fc38.x86_64.rpm 6.3 MB/s | 279 kB 00:00 (270/3380): libgpg-error-1.46-1.fc38.x86_64.rpm 6.5 MB/s | 227 kB 00:00 (271/3380): libgusb-0.4.3-1.fc38.x86_64.rpm 1.8 MB/s | 61 kB 00:00 (272/3380): libgs-9.56.1-5.fc38.x86_64.rpm 41 MB/s | 3.6 MB 00:00 (273/3380): libijs-0.35-16.fc37.x86_64.rpm 415 kB/s | 29 kB 00:00 (274/3380): libimagequant-2.17.0-3.fc37.x86_64. 1.1 MB/s | 62 kB 00:00 (275/3380): libjxl-0.7.0-5.fc38.x86_64.rpm 24 MB/s | 1.0 MB 00:00 (276/3380): liblqr-1-0.4.2-20.fc37.x86_64.rpm 880 kB/s | 49 kB 00:00 (277/3380): libmanette-0.2.6-5.fc37.x86_64.rpm 933 kB/s | 49 kB 00:00 (278/3380): libmarkdown-2.2.7-3.fc38.x86_64.rpm 1.0 MB/s | 46 kB 00:00 (279/3380): libpaper-1.1.28-5.fc37.x86_64.rpm 1.1 MB/s | 46 kB 00:00 (280/3380): libproxy-0.4.18-4.fc38.x86_64.rpm 1.7 MB/s | 70 kB 00:00 (281/3380): libraqm-0.8.0-2.fc37.x86_64.rpm 486 kB/s | 20 kB 00:00 (282/3380): libseccomp-2.5.3-3.fc37.x86_64.rpm 2.1 MB/s | 70 kB 00:00 (283/3380): librsvg2-2.55.1-3.fc38.x86_64.rpm 38 MB/s | 3.1 MB 00:00 (284/3380): libsecret-0.20.5-2.fc37.x86_64.rpm 3.7 MB/s | 196 kB 00:00 (285/3380): libsndfile-1.1.0-5.fc38.x86_64.rpm 3.6 MB/s | 212 kB 00:00 (286/3380): libsoup3-3.2.2-1.fc38.x86_64.rpm 10 MB/s | 387 kB 00:00 (287/3380): libsoup-2.74.3-1.fc38.x86_64.rpm 8.8 MB/s | 402 kB 00:00 (288/3380): libspiro-20221101-1.fc38.x86_64.rpm 1.2 MB/s | 45 kB 00:00 (289/3380): libtiff-4.4.0-4.fc37.x86_64.rpm 5.7 MB/s | 197 kB 00:00 (290/3380): libtracker-sparql-3.4.2-1.fc38.x86_ 9.7 MB/s | 358 kB 00:00 (291/3380): libusb1-1.0.26-1.fc38.x86_64.rpm 2.2 MB/s | 74 kB 00:00 (292/3380): libsrtp-2.3.0-9.fc37.x86_64.rpm 780 kB/s | 57 kB 00:00 (293/3380): libva-2.17.0-1.fc38.x86_64.rpm 2.5 MB/s | 104 kB 00:00 (294/3380): libwmf-lite-0.2.12-9.fc37.x86_64.rp 1.8 MB/s | 73 kB 00:00 (295/3380): libwpe-1.14.0-1.fc38.x86_64.rpm 688 kB/s | 29 kB 00:00 (296/3380): libzip-1.9.2-2.fc37.x86_64.rpm 1.7 MB/s | 65 kB 00:00 (297/3380): lilv-libs-0.24.14-3.fc37.x86_64.rpm 1.4 MB/s | 58 kB 00:00 (298/3380): mesa-filesystem-22.3.1-1.fc38.x86_6 564 kB/s | 18 kB 00:00 (299/3380): mailcap-2.1.53-4.fc37.noarch.rpm 563 kB/s | 33 kB 00:00 (300/3380): mkfontscale-1.2.2-2.fc37.x86_64.rpm 741 kB/s | 32 kB 00:00 (301/3380): mpg123-libs-1.31.1-3.fc38.x86_64.rp 7.3 MB/s | 341 kB 00:00 (302/3380): ncurses-c++-libs-6.3-5.20221126.fc3 1.1 MB/s | 37 kB 00:00 (303/3380): ncurses-devel-6.3-5.20221126.fc38.x 14 MB/s | 549 kB 00:00 (304/3380): netpbm-11.00.00-2.fc38.x86_64.rpm 4.3 MB/s | 185 kB 00:00 (305/3380): oldstandard-sfd-fonts-2.0.2-32.fc37 27 MB/s | 1.6 MB 00:00 (306/3380): openexr-libs-3.1.5-2.fc37.x86_64.rp 22 MB/s | 1.1 MB 00:00 (307/3380): openjpeg2-2.5.0-2.fc37.x86_64.rpm 4.5 MB/s | 178 kB 00:00 (308/3380): pcre-8.45-1.fc37.2.x86_64.rpm 5.8 MB/s | 202 kB 00:00 (309/3380): pdfpc-4.5.0-8.fc37.x86_64.rpm 4.0 MB/s | 204 kB 00:00 (310/3380): perl-AutoLoader-5.74-492.fc38.noarc 737 kB/s | 24 kB 00:00 (311/3380): perl-Authen-SASL-2.16-28.fc37.noarc 1.0 MB/s | 57 kB 00:00 (312/3380): perl-B-1.83-492.fc38.x86_64.rpm 5.3 MB/s | 184 kB 00:00 (313/3380): perl-B-Hooks-EndOfScope-0.26-4.fc37 1.0 MB/s | 44 kB 00:00 (314/3380): perl-BibTeX-Parser-1.03-6.fc37.noar 716 kB/s | 31 kB 00:00 (315/3380): perl-Bit-Vector-7.4-28.fc38.x86_64. 3.6 MB/s | 167 kB 00:00 (316/3380): perl-Business-ISBN-3.007-4.fc37.noa 802 kB/s | 33 kB 00:00 (317/3380): perl-Business-ISBN-Data-20210112.00 972 kB/s | 36 kB 00:00 (318/3380): perl-Business-ISMN-1.202-6.fc37.noa 632 kB/s | 25 kB 00:00 (319/3380): perl-Business-ISSN-1.005-3.fc37.noa 492 kB/s | 18 kB 00:00 (320/3380): perl-Carp-Clan-6.08-13.fc37.noarch. 522 kB/s | 24 kB 00:00 (321/3380): perl-Class-Accessor-0.51-16.fc37.no 834 kB/s | 29 kB 00:00 (322/3380): perl-Class-Data-Inheritable-0.09-4. 363 kB/s | 13 kB 00:00 (323/3380): perl-Class-Inspector-1.36-12.fc38.n 823 kB/s | 31 kB 00:00 (324/3380): perl-Class-Method-Modifiers-2.13-13 1.5 MB/s | 50 kB 00:00 (325/3380): perl-Class-Singleton-1.6-7.fc37.noa 831 kB/s | 27 kB 00:00 (326/3380): perl-Clone-0.46-1.fc38.x86_64.rpm 589 kB/s | 22 kB 00:00 (327/3380): perl-Compress-Raw-Bzip2-2.201-2.fc3 792 kB/s | 34 kB 00:00 (328/3380): perl-Compress-Raw-Zlib-2.202-4.fc38 1.2 MB/s | 63 kB 00:00 (329/3380): perl-Convert-ASN1-0.33-4.fc37.noarc 1.5 MB/s | 60 kB 00:00 (330/3380): perl-Crypt-RC4-2.02-33.fc37.noarch. 388 kB/s | 14 kB 00:00 (331/3380): perl-Data-Compare-1.27-10.fc37.noar 958 kB/s | 35 kB 00:00 (332/3380): perl-Data-Dump-1.25-5.fc37.noarch.r 996 kB/s | 33 kB 00:00 (333/3380): perl-Data-Dumper-2.184-490.fc37.x86 1.7 MB/s | 56 kB 00:00 (334/3380): perl-Data-OptList-0.112-5.fc37.noar 825 kB/s | 27 kB 00:00 (335/3380): perl-Data-Uniqid-0.12-29.fc37.noarc 375 kB/s | 12 kB 00:00 (336/3380): perl-Date-Calc-6.4-23.fc37.noarch.r 5.8 MB/s | 206 kB 00:00 (337/3380): perl-Date-ISO8601-0.005-16.fc37.noa 622 kB/s | 20 kB 00:00 (338/3380): perl-Date-Manip-6.90-1.fc38.noarch. 21 MB/s | 1.1 MB 00:00 (339/3380): perl-DateTime-Calendar-Julian-0.107 731 kB/s | 24 kB 00:00 (340/3380): perl-DateTime-1.59-1.fc38.x86_64.rp 3.0 MB/s | 134 kB 00:00 (341/3380): perl-DateTime-Format-Builder-0.8300 2.5 MB/s | 95 kB 00:00 (342/3380): perl-DateTime-Format-Strptime-1.79- 1.0 MB/s | 42 kB 00:00 (343/3380): perl-DateTime-TimeZone-2.57-1.fc38. 8.4 MB/s | 391 kB 00:00 (344/3380): perl-DateTime-Locale-1.37-1.fc38.no 44 MB/s | 3.4 MB 00:00 (345/3380): perl-DateTime-TimeZone-SystemV-0.01 559 kB/s | 24 kB 00:00 (346/3380): perl-DateTime-TimeZone-Tzfile-0.011 631 kB/s | 20 kB 00:00 (347/3380): perl-Devel-CallChecker-0.008-18.fc3 689 kB/s | 22 kB 00:00 (348/3380): perl-Devel-Caller-2.06-29.fc37.x86_ 581 kB/s | 19 kB 00:00 (349/3380): perl-Devel-GlobalDestruction-0.14-1 484 kB/s | 17 kB 00:00 (350/3380): perl-Devel-StackTrace-2.04-14.fc38. 914 kB/s | 30 kB 00:00 (351/3380): perl-Devel-LexAlias-0.05-30.fc37.x8 455 kB/s | 16 kB 00:00 (352/3380): perl-Digest-1.20-489.fc37.noarch.rp 795 kB/s | 26 kB 00:00 (353/3380): perl-Digest-HMAC-1.04-6.fc37.noarch 586 kB/s | 23 kB 00:00 (354/3380): perl-Digest-MD4-1.9-32.fc37.x86_64. 900 kB/s | 36 kB 00:00 (355/3380): perl-Digest-MD5-2.58-489.fc37.x86_6 1.1 MB/s | 36 kB 00:00 (356/3380): perl-Digest-Perl-MD5-1.9-27.fc37.no 482 kB/s | 18 kB 00:00 (357/3380): perl-Digest-SHA-6.03-1.fc37.x86_64. 1.6 MB/s | 62 kB 00:00 (358/3380): perl-Digest-SHA1-2.13-37.fc37.x86_6 1.2 MB/s | 52 kB 00:00 (359/3380): perl-DynaLoader-Functions-0.003-17. 554 kB/s | 18 kB 00:00 (360/3380): perl-Dist-CheckConflicts-0.11-26.fc 589 kB/s | 23 kB 00:00 (361/3380): perl-Email-Date-Format-1.005-23.fc3 488 kB/s | 18 kB 00:00 (362/3380): perl-Encode-Locale-1.05-25.fc38.noa 566 kB/s | 18 kB 00:00 (363/3380): perl-Eval-Closure-0.14-19.fc37.noar 587 kB/s | 24 kB 00:00 (364/3380): perl-Exception-Class-1.45-6.fc37.no 1.2 MB/s | 44 kB 00:00 (365/3380): perl-File-Compare-1.100.700-492.fc3 351 kB/s | 16 kB 00:00 (366/3380): perl-ExtUtils-MM-Utils-7.64-490.fc3 195 kB/s | 12 kB 00:00 (367/3380): perl-File-Copy-2.39-492.fc38.noarch 704 kB/s | 23 kB 00:00 (368/3380): perl-File-Find-Rule-0.34-24.fc38.no 731 kB/s | 32 kB 00:00 (369/3380): perl-File-ShareDir-1.118-7.fc37.noa 733 kB/s | 30 kB 00:00 (370/3380): perl-File-Listing-6.15-4.fc38.noarc 517 kB/s | 24 kB 00:00 (371/3380): perl-File-Slurper-0.014-1.fc38.noar 537 kB/s | 21 kB 00:00 (372/3380): perl-FileHandle-2.03-492.fc38.noarc 541 kB/s | 19 kB 00:00 (373/3380): perl-File-Which-1.27-6.fc37.noarch. 473 kB/s | 22 kB 00:00 (374/3380): perl-Filter-1.64-1.fc38.x86_64.rpm 2.1 MB/s | 89 kB 00:00 (375/3380): perl-FindBin-1.53-492.fc38.noarch.r 417 kB/s | 17 kB 00:00 (376/3380): perl-GSSAPI-0.28-40.fc37.x86_64.rpm 1.4 MB/s | 56 kB 00:00 (377/3380): perl-HTML-Parser-3.80-1.fc38.x86_64 3.0 MB/s | 126 kB 00:00 (378/3380): perl-HTML-Tagset-3.20-52.fc37.noarc 399 kB/s | 19 kB 00:00 (379/3380): perl-HTTP-Cookies-6.10-8.fc38.noarc 764 kB/s | 37 kB 00:00 (380/3380): perl-HTTP-Date-6.05-11.fc38.noarch. 722 kB/s | 23 kB 00:00 (381/3380): perl-HTTP-Message-6.44-1.fc38.noarc 3.0 MB/s | 104 kB 00:00 (382/3380): perl-HTTP-Negotiate-6.01-34.fc38.no 601 kB/s | 19 kB 00:00 (383/3380): perl-I18N-LangTags-0.45-492.fc38.no 1.3 MB/s | 57 kB 00:00 (384/3380): perl-Hash-Util-FieldHash-1.26-492.f 655 kB/s | 41 kB 00:00 (385/3380): perl-I18N-Langinfo-0.21-492.fc38.x8 462 kB/s | 26 kB 00:00 (386/3380): perl-IO-HTML-1.004-9.fc38.noarch.rp 818 kB/s | 28 kB 00:00 (387/3380): perl-IO-Compress-2.201-3.fc37.noarc 6.2 MB/s | 273 kB 00:00 (388/3380): perl-IO-Socket-IP-0.41-490.fc37.noa 1.3 MB/s | 42 kB 00:00 (389/3380): perl-IO-Socket-SSL-2.078-1.fc38.noa 6.4 MB/s | 224 kB 00:00 (390/3380): perl-IO-String-1.08-46.fc37.noarch. 473 kB/s | 17 kB 00:00 (391/3380): perl-IO-stringy-2.113-10.fc37.noarc 1.6 MB/s | 68 kB 00:00 (392/3380): perl-IPC-Run3-0.048-27.fc38.noarch. 1.2 MB/s | 39 kB 00:00 (393/3380): perl-IPC-Cmd-1.04-490.fc37.noarch.r 1.0 MB/s | 40 kB 00:00 (394/3380): perl-IPC-SysV-2.09-490.fc37.x86_64. 1.0 MB/s | 42 kB 00:00 (395/3380): perl-IPC-System-Simple-1.30-9.fc37. 1.2 MB/s | 39 kB 00:00 (396/3380): perl-JSON-4.10-1.fc38.noarch.rpm 2.9 MB/s | 97 kB 00:00 (397/3380): perl-Jcode-2.07-39.fc37.noarch.rpm 881 kB/s | 38 kB 00:00 (398/3380): perl-LWP-MediaTypes-6.04-13.fc38.no 602 kB/s | 33 kB 00:00 (399/3380): perl-LDAP-0.68-8.fc37.noarch.rpm 5.6 MB/s | 400 kB 00:00 (400/3380): perl-LWP-Protocol-https-6.10-8.fc38 439 kB/s | 21 kB 00:00 (401/3380): perl-LaTeX-ToUnicode-0.11-7.fc37.no 600 kB/s | 26 kB 00:00 (402/3380): perl-Lingua-Translit-0.29-2.fc37.no 815 kB/s | 34 kB 00:00 (403/3380): perl-List-AllUtils-0.19-8.fc38.noar 1.1 MB/s | 49 kB 00:00 (404/3380): perl-List-SomeUtils-0.59-1.fc38.noa 1.1 MB/s | 45 kB 00:00 (405/3380): perl-List-UtilsBy-0.12-5.fc38.noarc 684 kB/s | 28 kB 00:00 (406/3380): perl-Locale-Maketext-1.32-1.fc38.no 1.8 MB/s | 95 kB 00:00 (407/3380): perl-Locale-Maketext-Simple-0.21-49 633 kB/s | 21 kB 00:00 (408/3380): perl-Log-Dispatch-2.70-9.fc38.noarc 2.2 MB/s | 85 kB 00:00 (409/3380): perl-Log-Log4perl-1.57-1.fc38.noarc 9.8 MB/s | 372 kB 00:00 (410/3380): perl-Log-Dispatch-FileRotate-1.38-5 823 kB/s | 33 kB 00:00 (411/3380): perl-MIME-Charset-1.013.1-1.fc38.no 1.2 MB/s | 49 kB 00:00 (412/3380): perl-MIME-Types-2.24-1.fc38.noarch. 2.1 MB/s | 70 kB 00:00 (413/3380): perl-MIME-Lite-3.033-5.fc37.noarch. 2.1 MB/s | 95 kB 00:00 (414/3380): perl-MRO-Compat-0.15-4.fc37.noarch. 614 kB/s | 26 kB 00:00 (415/3380): perl-Mail-Sender-0.903-19.fc37.noar 1.5 MB/s | 51 kB 00:00 (416/3380): perl-Mail-Sendmail-0.80-16.fc37.noa 854 kB/s | 36 kB 00:00 (417/3380): perl-MailTools-2.21-12.fc37.noarch. 2.8 MB/s | 111 kB 00:00 (418/3380): perl-Math-BigInt-1.9998.37-2.fc37.n 5.9 MB/s | 206 kB 00:00 (419/3380): perl-Math-BigRat-0.2624-2.fc37.noar 1.2 MB/s | 42 kB 00:00 (420/3380): perl-Math-Complex-1.59-492.fc38.noa 1.0 MB/s | 50 kB 00:00 (421/3380): perl-Module-Implementation-0.09-35. 463 kB/s | 19 kB 00:00 (422/3380): perl-Module-CoreList-5.20221220-1.f 1.3 MB/s | 84 kB 00:00 (423/3380): perl-Module-Load-0.36-489.fc37.noar 423 kB/s | 17 kB 00:00 (424/3380): perl-Module-Load-Conditional-0.74-4 682 kB/s | 22 kB 00:00 (425/3380): perl-Module-Metadata-1.000037-489.f 928 kB/s | 35 kB 00:00 (426/3380): perl-Module-Runtime-0.016-18.fc38.n 625 kB/s | 23 kB 00:00 (427/3380): perl-Mozilla-CA-20221114-1.fc38.noa 390 kB/s | 13 kB 00:00 (428/3380): perl-NTLM-1.09-33.fc37.noarch.rpm 557 kB/s | 22 kB 00:00 (429/3380): perl-Net-HTTP-6.22-4.fc38.noarch.rp 1.2 MB/s | 39 kB 00:00 (430/3380): perl-Net-SMTP-SSL-1.04-19.fc37.noar 305 kB/s | 12 kB 00:00 (431/3380): perl-Net-SSLeay-1.92-4.fc37.x86_64. 10 MB/s | 362 kB 00:00 (432/3380): perl-Number-Compare-0.03-34.fc38.no 281 kB/s | 12 kB 00:00 (433/3380): perl-OLE-Storage_Lite-0.20-10.fc37. 724 kB/s | 29 kB 00:00 (434/3380): perl-Opcode-1.57-492.fc38.x86_64.rp 1.0 MB/s | 40 kB 00:00 (435/3380): perl-Package-Generator-1.106-26.fc3 710 kB/s | 23 kB 00:00 (436/3380): perl-Package-Stash-0.40-3.fc37.noar 868 kB/s | 33 kB 00:00 (437/3380): perl-Package-Stash-XS-0.30-2.fc37.x 944 kB/s | 36 kB 00:00 (438/3380): perl-PadWalker-2.5-7.fc37.x86_64.rp 760 kB/s | 27 kB 00:00 (439/3380): perl-Params-Check-0.38-489.fc37.noa 668 kB/s | 22 kB 00:00 (440/3380): perl-Params-Classify-0.015-17.fc37. 968 kB/s | 32 kB 00:00 (441/3380): perl-Params-Util-1.102-9.fc38.x86_6 729 kB/s | 33 kB 00:00 (442/3380): perl-Params-Validate-1.31-2.fc38.x8 1.8 MB/s | 69 kB 00:00 (443/3380): perl-Params-ValidationCompiler-0.30 1.1 MB/s | 38 kB 00:00 (444/3380): perl-Parse-RecDescent-1.967015-18.f 4.9 MB/s | 209 kB 00:00 (445/3380): perl-Pod-Html-1.33-492.fc38.noarch. 715 kB/s | 34 kB 00:00 (446/3380): perl-Ref-Util-0.204-15.fc37.noarch. 457 kB/s | 24 kB 00:00 (447/3380): perl-Ref-Util-XS-0.117-16.fc37.x86_ 356 kB/s | 23 kB 00:00 (448/3380): perl-Role-Tiny-2.002004-7.fc37.noar 632 kB/s | 33 kB 00:00 (449/3380): perl-Regexp-Common-2017060201-20.fc 1.7 MB/s | 184 kB 00:00 (450/3380): perl-Safe-2.43-492.fc38.noarch.rpm 565 kB/s | 28 kB 00:00 (451/3380): perl-Sort-Key-1.33-25.fc37.x86_64.r 868 kB/s | 47 kB 00:00 (452/3380): perl-Specio-0.48-2.fc37.noarch.rpm 4.0 MB/s | 166 kB 00:00 (453/3380): perl-Spreadsheet-ParseExcel-0.6500- 3.6 MB/s | 161 kB 00:00 (454/3380): perl-Spreadsheet-WriteExcel-2.40-26 12 MB/s | 539 kB 00:00 (455/3380): perl-Sub-Exporter-0.988-5.fc37.noar 1.9 MB/s | 78 kB 00:00 (456/3380): perl-Sub-Exporter-Progressive-0.001 596 kB/s | 21 kB 00:00 (457/3380): perl-Sub-Identify-0.14-20.fc37.x86_ 745 kB/s | 28 kB 00:00 (458/3380): perl-Sys-Hostname-1.24-492.fc38.x86 533 kB/s | 20 kB 00:00 (459/3380): perl-Sub-Install-0.928-33.fc37.noar 480 kB/s | 22 kB 00:00 (460/3380): perl-Sys-Syslog-0.36-490.fc37.x86_6 1.1 MB/s | 47 kB 00:00 (461/3380): perl-Text-Balanced-2.06-2.fc37.noar 1.1 MB/s | 49 kB 00:00 (462/3380): perl-Text-BibTeX-0.88-12.fc37.x86_6 5.6 MB/s | 271 kB 00:00 (463/3380): perl-Text-CSV-2.02-1.fc38.noarch.rp 2.1 MB/s | 115 kB 00:00 (464/3380): perl-Text-CSV_XS-1.48-3.fc37.x86_64 3.0 MB/s | 136 kB 00:00 (465/3380): perl-Text-Glob-0.11-19.fc38.noarch. 283 kB/s | 13 kB 00:00 (466/3380): perl-Text-Roman-3.5-23.fc37.noarch. 521 kB/s | 23 kB 00:00 (467/3380): perl-Text-Soundex-3.05-24.fc38.x86_ 688 kB/s | 30 kB 00:00 (468/3380): perl-Text-Unidecode-1.30-19.fc37.no 4.0 MB/s | 181 kB 00:00 (469/3380): perl-Tie-4.6-492.fc38.noarch.rpm 765 kB/s | 35 kB 00:00 (470/3380): perl-Tie-Cycle-1.227-4.fc37.noarch. 516 kB/s | 19 kB 00:00 (471/3380): perl-Tie-RefHash-1.40-489.fc37.noar 574 kB/s | 23 kB 00:00 (472/3380): perl-Time-HiRes-1.9770-489.fc37.x86 1.4 MB/s | 57 kB 00:00 (473/3380): perl-TimeDate-2.33-9.fc37.noarch.rp 1.6 MB/s | 60 kB 00:00 (474/3380): perl-Try-Tiny-0.31-4.fc37.noarch.rp 1.0 MB/s | 42 kB 00:00 (475/3380): perl-UNIVERSAL-isa-1.20171012-15.fc 548 kB/s | 21 kB 00:00 (476/3380): perl-URI-5.17-1.fc38.noarch.rpm 3.6 MB/s | 123 kB 00:00 (477/3380): perl-Unicode-Collate-1.31-489.fc37. 15 MB/s | 750 kB 00:00 (478/3380): perl-Unicode-LineBreak-2019.001-14. 2.8 MB/s | 121 kB 00:00 (479/3380): perl-Unicode-Map-0.112-58.fc37.x86_ 5.5 MB/s | 242 kB 00:00 (480/3380): perl-Unicode-Normalize-1.31-489.fc3 2.4 MB/s | 94 kB 00:00 (481/3380): perl-Unicode-UCD-0.78-492.fc38.noar 1.7 MB/s | 82 kB 00:00 (482/3380): perl-Variable-Magic-0.63-1.fc38.x86 1.2 MB/s | 54 kB 00:00 (483/3380): perl-WWW-RobotRules-6.02-35.fc38.no 525 kB/s | 20 kB 00:00 (484/3380): perl-XML-LibXML-Simple-1.01-10.fc37 1.0 MB/s | 33 kB 00:00 (485/3380): perl-XML-LibXML-2.0208-1.fc38.x86_6 8.1 MB/s | 361 kB 00:00 (486/3380): perl-XML-LibXSLT-2.002.000-3.fc37.x 2.0 MB/s | 69 kB 00:00 (487/3380): perl-XML-NamespaceSupport-1.12-18.f 661 kB/s | 26 kB 00:00 (488/3380): perl-XML-Parser-2.46-12.fc37.x86_64 5.1 MB/s | 237 kB 00:00 (489/3380): perl-XML-SAX-1.02-11.fc37.noarch.rp 1.5 MB/s | 64 kB 00:00 (490/3380): perl-XML-SAX-Base-1.09-18.fc37.noar 983 kB/s | 32 kB 00:00 (491/3380): perl-XML-Writer-0.900-9.fc38.noarch 827 kB/s | 34 kB 00:00 (492/3380): perl-XML-XPath-1.48-2.fc38.noarch.r 2.0 MB/s | 91 kB 00:00 (493/3380): perl-XString-0.005-7.fc37.x86_64.rp 506 kB/s | 23 kB 00:00 (494/3380): perl-autodie-2.34-490.fc37.noarch.r 2.2 MB/s | 99 kB 00:00 (495/3380): perl-autovivification-0.18-17.fc37. 1.0 MB/s | 33 kB 00:00 (496/3380): perl-autouse-1.11-492.fc38.noarch.r 391 kB/s | 17 kB 00:00 (497/3380): perl-base-2.27-492.fc38.noarch.rpm 598 kB/s | 19 kB 00:00 (498/3380): perl-deprecate-0.04-492.fc38.noarch 417 kB/s | 18 kB 00:00 (499/3380): perl-encoding-3.00-492.fc38.x86_64. 1.4 MB/s | 63 kB 00:00 (500/3380): perl-lib-0.65-492.fc38.x86_64.rpm 556 kB/s | 18 kB 00:00 (501/3380): perl-libnet-3.14-490.fc37.noarch.rp 3.9 MB/s | 132 kB 00:00 (502/3380): perl-locale-1.10-492.fc38.noarch.rp 521 kB/s | 17 kB 00:00 (503/3380): perl-libwww-perl-6.67-3.fc38.noarch 4.9 MB/s | 212 kB 00:00 (504/3380): perl-meta-notation-5.36.0-492.fc38. 290 kB/s | 13 kB 00:00 (505/3380): perl-namespace-autoclean-0.29-13.fc 665 kB/s | 26 kB 00:00 (506/3380): perl-namespace-clean-0.27-21.fc37.n 1.0 MB/s | 35 kB 00:00 (507/3380): perl-open-1.13-492.fc38.noarch.rpm 545 kB/s | 20 kB 00:00 (508/3380): perl-subs-1.04-492.fc38.noarch.rpm 330 kB/s | 15 kB 00:00 (509/3380): perl-sigtrap-1.10-492.fc38.noarch.r 348 kB/s | 19 kB 00:00 (510/3380): perl-version-0.99.29-490.fc37.x86_6 1.9 MB/s | 63 kB 00:00 (511/3380): perltidy-20221112-1.fc38.noarch.rpm 13 MB/s | 673 kB 00:00 (512/3380): poppler-22.08.0-4.fc38.x86_64.rpm 25 MB/s | 1.2 MB 00:00 (513/3380): plotutils-2.6-29.fc37.x86_64.rpm 17 MB/s | 1.2 MB 00:00 (514/3380): poppler-glib-22.08.0-4.fc38.x86_64. 4.5 MB/s | 176 kB 00:00 (515/3380): poppler-data-0.4.11-3.fc37.noarch.r 29 MB/s | 2.0 MB 00:00 (516/3380): pstoedit-3.78-5.fc37.x86_64.rpm 8.4 MB/s | 817 kB 00:00 (517/3380): python-unversioned-command-3.11.1-1 124 kB/s | 10 kB 00:00 (518/3380): rav1e-libs-0.5.1-5.fc37.x86_64.rpm 17 MB/s | 910 kB 00:00 (519/3380): python3-pygments-2.13.0-1.fc38.noar 22 MB/s | 2.4 MB 00:00 (520/3380): readline-devel-8.2-2.fc38.x86_64.rp 3.8 MB/s | 207 kB 00:00 (521/3380): rubypick-1.1.1-17.fc37.noarch.rpm 271 kB/s | 9.9 kB 00:00 (522/3380): sombok-2.4.0-17.fc37.x86_64.rpm 950 kB/s | 47 kB 00:00 (523/3380): sord-0.16.10-1.fc37.x86_64.rpm 1.4 MB/s | 46 kB 00:00 (524/3380): serd-0.30.12-2.fc37.x86_64.rpm 884 kB/s | 61 kB 00:00 (525/3380): soundtouch-2.3.1-3.fc37.x86_64.rpm 1.7 MB/s | 94 kB 00:00 (526/3380): sratom-0.6.10-2.fc37.x86_64.rpm 508 kB/s | 26 kB 00:00 (527/3380): tcl-8.6.12-3.fc38.x86_64.rpm 18 MB/s | 1.1 MB 00:00 (528/3380): tcl-devel-8.6.12-3.fc38.x86_64.rpm 2.8 MB/s | 169 kB 00:00 (529/3380): tex-preview-13.1-2.fc37.noarch.rpm 5.0 MB/s | 329 kB 00:00 (530/3380): teckit-2.5.9-9.fc37.x86_64.rpm 5.1 MB/s | 433 kB 00:00 (531/3380): texlive-12many-svn15878.0.3-61.fc38 407 kB/s | 17 kB 00:00 (532/3380): texlive-2up-svn55076-61.fc38.noarch 472 kB/s | 15 kB 00:00 (533/3380): texlive-ESIEEcv-svn15878.0-61.fc38. 379 kB/s | 16 kB 00:00 (534/3380): texlive-GS1-svn44822-61.fc38.noarch 642 kB/s | 21 kB 00:00 (535/3380): texlive-HA-prosper-svn15878.4.21-61 1.5 MB/s | 51 kB 00:00 (536/3380): texlive-IEEEconf-svn15878.1.4-61.fc 531 kB/s | 17 kB 00:00 (537/3380): texlive-IEEEtran-svn51065-61.fc38.n 3.2 MB/s | 108 kB 00:00 (538/3380): texlive-SIstyle-svn54080-61.fc38.no 559 kB/s | 18 kB 00:00 (539/3380): texlive-SIunits-svn15878.1.36-61.fc 730 kB/s | 24 kB 00:00 (540/3380): texlive-Tabbing-svn17022.0-61.fc38. 494 kB/s | 16 kB 00:00 (541/3380): texlive-a0poster-svn54071-61.fc38.n 3.9 MB/s | 134 kB 00:00 (542/3380): texlive-a4wide-svn20943.0-61.fc38.n 387 kB/s | 16 kB 00:00 (543/3380): texlive-a5comb-svn17020.4-61.fc38.n 367 kB/s | 13 kB 00:00 (544/3380): texlive-aaai-named-svn52470-61.fc38 501 kB/s | 16 kB 00:00 (545/3380): texlive-aastex-svn58057-61.fc38.noa 1.9 MB/s | 65 kB 00:00 (546/3380): texlive-abnt-svn55471-61.fc38.noarc 3.1 MB/s | 137 kB 00:00 (547/3380): texlive-abntex2-svn49248-61.fc38.no 1.4 MB/s | 48 kB 00:00 (548/3380): texlive-abraces-svn58761-61.fc38.no 562 kB/s | 18 kB 00:00 (549/3380): texlive-abstract-svn15878.1.2a-61.f 511 kB/s | 17 kB 00:00 (550/3380): texlive-academicons-svn56119-61.fc3 1.6 MB/s | 52 kB 00:00 (551/3380): texlive-accanthis-svn32089.0-61.fc3 9.6 MB/s | 428 kB 00:00 (552/3380): texlive-accents-svn51497-61.fc38.no 5.6 MB/s | 244 kB 00:00 (553/3380): texlive-accessibility-svn55777-61.f 11 MB/s | 532 kB 00:00 (554/3380): texlive-achemso-svn57479-61.fc38.no 1.8 MB/s | 62 kB 00:00 (555/3380): texlive-accsupp-svn53052-61.fc38.no 7.3 MB/s | 337 kB 00:00 (556/3380): texlive-acmart-svn58893-61.fc38.noa 1.7 MB/s | 57 kB 00:00 (557/3380): texlive-acmconf-svn15878.1.3-61.fc3 574 kB/s | 19 kB 00:00 (558/3380): texlive-acro-svn57447-61.fc38.noarc 1.8 MB/s | 61 kB 00:00 (559/3380): texlive-acronym-svn54758-61.fc38.no 690 kB/s | 22 kB 00:00 (560/3380): texlive-acroterm-svn20498.0.1-61.fc 573 kB/s | 19 kB 00:00 (561/3380): texlive-active-conf-svn15878.0.3a-6 594 kB/s | 19 kB 00:00 (562/3380): texlive-actuarialangle-svn51376-61. 421 kB/s | 14 kB 00:00 (563/3380): texlive-addfont-svn58559-61.fc38.no 1.9 MB/s | 64 kB 00:00 (564/3380): texlive-actuarialsymbol-svn54080-61 7.2 MB/s | 295 kB 00:00 (565/3380): texlive-addlines-svn49326-61.fc38.n 391 kB/s | 16 kB 00:00 (566/3380): texlive-adfathesis-svn26048.2.42-61 589 kB/s | 22 kB 00:00 (567/3380): texlive-adforn-svn54512-61.fc38.noa 1.2 MB/s | 52 kB 00:00 (568/3380): texlive-adfsymbols-svn54512-61.fc38 1.0 MB/s | 44 kB 00:00 (569/3380): texlive-adjmulticol-svn54157-61.fc3 612 kB/s | 20 kB 00:00 (570/3380): texlive-adigraph-svn49862-61.fc38.n 10 MB/s | 488 kB 00:00 (571/3380): texlive-adjustbox-svn56291-61.fc38. 982 kB/s | 32 kB 00:00 (572/3380): texlive-adrconv-svn46817-61.fc38.no 560 kB/s | 18 kB 00:00 (573/3380): texlive-advdate-svn20538.0-61.fc38. 599 kB/s | 19 kB 00:00 (574/3380): texlive-adobemapping-svn51787-61.fc 39 MB/s | 2.9 MB 00:00 (575/3380): texlive-ae-svn15878.1.4-61.fc38.noa 2.8 MB/s | 116 kB 00:00 (576/3380): texlive-aeguill-svn15878.0-61.fc38. 510 kB/s | 17 kB 00:00 (577/3380): texlive-afparticle-svn35900.1.3-61. 615 kB/s | 21 kB 00:00 (578/3380): texlive-afthesis-svn15878.2.7-61.fc 705 kB/s | 25 kB 00:00 (579/3380): texlive-aesupp-svn58253-61.fc38.noa 47 MB/s | 3.0 MB 00:00 (580/3380): texlive-aguplus-svn17156.1.6b-61.fc 1.3 MB/s | 44 kB 00:00 (581/3380): texlive-aiaa-svn15878.3.6-61.fc38.n 727 kB/s | 24 kB 00:00 (582/3380): texlive-aichej-svn15878.0-61.fc38.n 633 kB/s | 21 kB 00:00 (583/3380): texlive-ajl-svn34016.0-61.fc38.noar 632 kB/s | 20 kB 00:00 (584/3380): texlive-akktex-svn26055.0.3.2-61.fc 1.2 MB/s | 39 kB 00:00 (585/3380): texlive-akletter-svn15878.1.5i-61.f 755 kB/s | 25 kB 00:00 (586/3380): texlive-alertmessage-svn38055.1.1-6 766 kB/s | 25 kB 00:00 (587/3380): texlive-algobox-svn52204-61.fc38.no 3.9 MB/s | 138 kB 00:00 (588/3380): texlive-alg-svn15878.0-61.fc38.noar 357 kB/s | 17 kB 00:00 (589/3380): texlive-algorithm2e-svn44846-61.fc3 1.1 MB/s | 47 kB 00:00 (590/3380): texlive-algolrevived-svn56864-61.fc 20 MB/s | 1.0 MB 00:00 (591/3380): texlive-algorithmicx-svn15878.0-61. 705 kB/s | 23 kB 00:00 (592/3380): texlive-algorithms-svn42428-61.fc38 720 kB/s | 24 kB 00:00 (593/3380): texlive-algpseudocodex-svn56125-61. 8.5 MB/s | 348 kB 00:00 (594/3380): texlive-algxpar-svn56006-61.fc38.no 4.6 MB/s | 190 kB 00:00 (595/3380): texlive-aligned-overset-svn47290-61 9.8 MB/s | 386 kB 00:00 (596/3380): texlive-almendra-svn56035-61.fc38.n 5.3 MB/s | 388 kB 00:00 (597/3380): texlive-almfixed-svn35065.0.92-61.f 3.9 MB/s | 287 kB 00:00 (598/3380): texlive-allrunes-svn42221-61.fc38.n 39 MB/s | 7.7 MB 00:00 (599/3380): texlive-alnumsec-svn15878.v0.03-61. 513 kB/s | 17 kB 00:00 (600/3380): texlive-alegreya-svn54512-61.fc38.n 56 MB/s | 24 MB 00:00 (601/3380): texlive-alphalph-svn53087-61.fc38.n 7.8 MB/s | 361 kB 00:00 (602/3380): texlive-altfont-svn15878.1.1-61.fc3 607 kB/s | 20 kB 00:00 (603/3380): texlive-ametsoc-svn36030.4.3.2-61.f 944 kB/s | 31 kB 00:00 (604/3380): texlive-amsaddr-svn29630.1.1-61.fc3 508 kB/s | 16 kB 00:00 (605/3380): texlive-amscdx-svn51532-61.fc38.noa 4.9 MB/s | 225 kB 00:00 (606/3380): texlive-amsmath-svn56514-61.fc38.no 1.4 MB/s | 47 kB 00:00 (607/3380): texlive-amscls-svn55378-61.fc38.noa 19 MB/s | 1.1 MB 00:00 (608/3380): texlive-amsrefs-svn30646.2.14-61.fc 1.2 MB/s | 47 kB 00:00 (609/3380): texlive-amsfonts-svn29208.3.04-61.f 38 MB/s | 3.7 MB 00:00 (610/3380): texlive-amstex-20210325-52.fc38.noa 9.2 MB/s | 426 kB 00:00 (611/3380): texlive-animate-svn56583-61.fc38.no 1.1 MB/s | 38 kB 00:00 (612/3380): texlive-annotate-svn52824-61.fc38.n 483 kB/s | 16 kB 00:00 (613/3380): texlive-anonchap-svn17049.1.1a-61.f 497 kB/s | 16 kB 00:00 (614/3380): texlive-anonymous-acm-svn55121-61.f 9.0 MB/s | 390 kB 00:00 (615/3380): texlive-answers-svn35032.2.16-61.fc 513 kB/s | 17 kB 00:00 (616/3380): texlive-anonymouspro-svn51631-61.fc 9.7 MB/s | 463 kB 00:00 (617/3380): texlive-antiqua-svn24266.001.003-61 2.7 MB/s | 91 kB 00:00 (618/3380): texlive-anufinalexam-doc-svn26053.0 555 kB/s | 18 kB 00:00 (619/3380): texlive-anyfontsize-svn17050.0-61.f 513 kB/s | 17 kB 00:00 (620/3380): texlive-anysize-svn15878.0-61.fc38. 393 kB/s | 13 kB 00:00 (621/3380): texlive-aobs-tikz-svn32662.1.0-61.f 560 kB/s | 19 kB 00:00 (622/3380): texlive-antt-svn18651.2.08-61.fc38. 46 MB/s | 4.8 MB 00:00 (623/3380): texlive-aomart-svn58855-61.fc38.noa 951 kB/s | 31 kB 00:00 (624/3380): texlive-apa-svn54080-61.fc38.noarch 851 kB/s | 28 kB 00:00 (625/3380): texlive-apa6-svn53406-61.fc38.noarc 851 kB/s | 33 kB 00:00 (626/3380): texlive-apa6e-svn23350.0.3-61.fc38. 442 kB/s | 14 kB 00:00 (627/3380): texlive-apacite-svn54080-61.fc38.no 1.7 MB/s | 59 kB 00:00 (628/3380): texlive-apalike2-svn54080-61.fc38.n 484 kB/s | 17 kB 00:00 (629/3380): texlive-apa7-svn58835-61.fc38.noarc 14 MB/s | 747 kB 00:00 (630/3380): texlive-appendix-svn53718-61.fc38.n 537 kB/s | 18 kB 00:00 (631/3380): texlive-appendixnumberbeamer-svn463 742 kB/s | 24 kB 00:00 (632/3380): texlive-apptools-svn28400.1.0-61.fc 572 kB/s | 18 kB 00:00 (633/3380): texlive-arabicfront-svn51474-61.fc3 407 kB/s | 13 kB 00:00 (634/3380): texlive-archaeologie-svn57090-61.fc 2.6 MB/s | 94 kB 00:00 (635/3380): texlive-apxproof-svn56673-61.fc38.n 9.6 MB/s | 568 kB 00:00 (636/3380): texlive-arcs-svn15878.1-61.fc38.noa 495 kB/s | 16 kB 00:00 (637/3380): texlive-archaic-svn38005.0-61.fc38. 10 MB/s | 416 kB 00:00 (638/3380): texlive-arev-svn15878.0-61.fc38.noa 19 MB/s | 983 kB 00:00 (639/3380): texlive-arimo-svn42880-61.fc38.noar 39 MB/s | 2.9 MB 00:00 (640/3380): texlive-arraycols-svn56997-61.fc38. 6.5 MB/s | 464 kB 00:00 (641/3380): texlive-arrayjobx-svn18125.1.04-61. 506 kB/s | 18 kB 00:00 (642/3380): texlive-arraysort-svn31576.1.0-61.f 554 kB/s | 18 kB 00:00 (643/3380): texlive-arsclassica-svn45656-61.fc3 313 kB/s | 17 kB 00:00 (644/3380): texlive-articleingud-svn38741-61.fc 627 kB/s | 20 kB 00:00 (645/3380): texlive-arydshln-svn50084-61.fc38.n 586 kB/s | 24 kB 00:00 (646/3380): texlive-asaetr-svn15878.1.0a-61.fc3 665 kB/s | 25 kB 00:00 (647/3380): texlive-asapsym-svn40201-61.fc38.no 1.8 MB/s | 69 kB 00:00 (648/3380): texlive-asana-math-svn50999-61.fc38 14 MB/s | 722 kB 00:00 (649/3380): texlive-ascelike-svn29129.2.3-61.fc 817 kB/s | 28 kB 00:00 (650/3380): texlive-ascii-font-svn29989.2.0-61. 1.8 MB/s | 63 kB 00:00 (651/3380): texlive-asciilist-svn49060-61.fc38. 425 kB/s | 19 kB 00:00 (652/3380): texlive-ascmac-svn53411-61.fc38.noa 4.4 MB/s | 187 kB 00:00 (653/3380): texlive-askinclude-svn54725-61.fc38 13 MB/s | 595 kB 00:00 (654/3380): texlive-askmaps-svn56730-61.fc38.no 479 kB/s | 18 kB 00:00 (655/3380): texlive-arphic-svn15878.0-61.fc38.n 65 MB/s | 27 MB 00:00 (656/3380): texlive-asmeconf-svn57833-61.fc38.n 24 MB/s | 1.4 MB 00:00 (657/3380): texlive-asmejour-svn57598-61.fc38.n 10 MB/s | 640 kB 00:00 (658/3380): texlive-aspectratio-svn25243.2.0-61 2.9 MB/s | 98 kB 00:00 (659/3380): texlive-assignment-svn20431.0-61.fc 508 kB/s | 16 kB 00:00 (660/3380): texlive-assoccnt-svn38497-61.fc38.n 659 kB/s | 21 kB 00:00 (661/3380): texlive-association-matrix-svn56759 6.2 MB/s | 259 kB 00:00 (662/3380): texlive-astro-svn15878.2.20-61.fc38 884 kB/s | 29 kB 00:00 (663/3380): texlive-asyfig-svn17512.0.1c-61.fc3 562 kB/s | 18 kB 00:00 (664/3380): texlive-asypictureb-svn33490.0.3-61 644 kB/s | 21 kB 00:00 (665/3380): texlive-atenddvi-svn56922-61.fc38.n 5.8 MB/s | 291 kB 00:00 (666/3380): texlive-atbegshi-svn53051-61.fc38.n 7.4 MB/s | 409 kB 00:00 (667/3380): texlive-atkinson-svn57624-61.fc38.n 20 MB/s | 1.0 MB 00:00 (668/3380): texlive-attachfile-svn42099-61.fc38 700 kB/s | 23 kB 00:00 (669/3380): texlive-attachfile2-20210325-52.fc3 9.8 MB/s | 447 kB 00:00 (670/3380): texlive-aucklandthesis-svn51323-61. 688 kB/s | 23 kB 00:00 (671/3380): texlive-atveryend-svn53108-61.fc38. 8.0 MB/s | 386 kB 00:00 (672/3380): texlive-augie-svn18948.0-61.fc38.no 2.3 MB/s | 79 kB 00:00 (673/3380): texlive-auncial-new-svn15878.2.0-61 5.8 MB/s | 213 kB 00:00 (674/3380): texlive-aurl-svn41853-61.fc38.noarc 665 kB/s | 26 kB 00:00 (675/3380): texlive-aurical-svn15878.1.5-61.fc3 38 MB/s | 2.4 MB 00:00 (676/3380): texlive-authoraftertitle-svn55889-6 370 kB/s | 12 kB 00:00 (677/3380): texlive-authordate-svn52564-61.fc38 613 kB/s | 24 kB 00:00 (678/3380): texlive-authorarchive-svn54512-61.f 23 MB/s | 1.2 MB 00:00 (679/3380): texlive-authorindex-20210325-52.fc3 2.3 MB/s | 86 kB 00:00 (680/3380): texlive-auto-pst-pdf-svn56596-61.fc 529 kB/s | 17 kB 00:00 (681/3380): texlive-autoarea-svn15878.0.3a-61.f 574 kB/s | 19 kB 00:00 (682/3380): texlive-autobreak-svn43337-61.fc38. 13 MB/s | 549 kB 00:00 (683/3380): texlive-autofancyhdr-svn54049-61.fc 585 kB/s | 19 kB 00:00 (684/3380): texlive-autonum-svn36084.0.3.11-61. 636 kB/s | 21 kB 00:00 (685/3380): texlive-autopdf-svn32377.1.1-61.fc3 639 kB/s | 21 kB 00:00 (686/3380): texlive-auxhook-svn53173-61.fc38.no 6.4 MB/s | 295 kB 00:00 (687/3380): texlive-avremu-svn35373.0.1-61.fc38 939 kB/s | 32 kB 00:00 (688/3380): texlive-avantgar-svn31835.0-61.fc38 6.4 MB/s | 323 kB 00:00 (689/3380): texlive-b1encoding-svn21271.1.0-61. 681 kB/s | 23 kB 00:00 (690/3380): texlive-axessibility-svn57105-61.fc 4.6 MB/s | 239 kB 00:00 (691/3380): texlive-axodraw2-20210325-52.fc38.x 9.2 MB/s | 532 kB 00:00 (692/3380): texlive-babel-english-svn44495-61.f 525 kB/s | 21 kB 00:00 (693/3380): texlive-babel-svn58999-61.fc38.noar 9.4 MB/s | 444 kB 00:00 (694/3380): texlive-babelbib-svn57349-61.fc38.n 1.2 MB/s | 55 kB 00:00 (695/3380): texlive-background-svn42428-61.fc38 455 kB/s | 17 kB 00:00 (696/3380): texlive-bangorcsthesis-svn48834-61. 2.2 MB/s | 74 kB 00:00 (697/3380): texlive-backnaur-svn54080-61.fc38.n 462 kB/s | 19 kB 00:00 (698/3380): texlive-bangorexam-svn46626-61.fc38 6.0 MB/s | 254 kB 00:00 (699/3380): texlive-barcodes-svn15878.0-61.fc38 603 kB/s | 23 kB 00:00 (700/3380): texlive-bankstatement-svn38857-61.f 376 kB/s | 19 kB 00:00 (701/3380): texlive-bardiag-svn22013.0.4a-61.fc 657 kB/s | 28 kB 00:00 (702/3380): texlive-base-20210325-52.fc38.x86_6 39 MB/s | 2.3 MB 00:00 (703/3380): texlive-bashful-svn25597.0.93-61.fc 436 kB/s | 23 kB 00:00 (704/3380): texlive-basicarith-svn35460.1.1-61. 264 kB/s | 20 kB 00:00 (705/3380): texlive-baskervaldx-svn57080-61.fc3 16 MB/s | 914 kB 00:00 (706/3380): texlive-baskervald-svn19490.1.016-6 7.0 MB/s | 472 kB 00:00 (707/3380): texlive-bath-bst-svn57925-61.fc38.n 19 MB/s | 860 kB 00:00 (708/3380): texlive-bbding-svn17186.1.01-61.fc3 636 kB/s | 28 kB 00:00 (709/3380): texlive-baskervillef-svn55475-61.fc 21 MB/s | 1.5 MB 00:00 (710/3380): texlive-bbm-macros-svn17224.0-61.fc 455 kB/s | 17 kB 00:00 (711/3380): texlive-bbm-svn15878.0-61.fc38.noar 2.1 MB/s | 73 kB 00:00 (712/3380): texlive-bbold-svn17187.1.01-61.fc38 956 kB/s | 38 kB 00:00 (713/3380): texlive-bbold-type1-svn33143.0-61.f 2.1 MB/s | 81 kB 00:00 (714/3380): texlive-bchart-svn43928-61.fc38.noa 309 kB/s | 13 kB 00:00 (715/3380): texlive-beamer-FUBerlin-doc-svn3815 7.7 MB/s | 376 kB 00:00 (716/3380): texlive-beamer-rl-svn58513-61.fc38. 5.4 MB/s | 225 kB 00:00 (717/3380): texlive-beamer-svn58537-61.fc38.noa 5.5 MB/s | 227 kB 00:00 (718/3380): texlive-beamer-verona-svn39180-61.f 492 kB/s | 21 kB 00:00 (719/3380): texlive-beamer2thesis-svn27539.2.2- 7.4 MB/s | 302 kB 00:00 (720/3380): texlive-beamerappendixnote-svn55732 2.3 MB/s | 88 kB 00:00 (721/3380): texlive-beameraudience-svn23427.0.1 429 kB/s | 16 kB 00:00 (722/3380): texlive-beamercolorthemeowl-svn4010 596 kB/s | 19 kB 00:00 (723/3380): texlive-beamerauxtheme-svn56087-61. 4.5 MB/s | 199 kB 00:00 (724/3380): texlive-beamerdarkthemes-svn55117-6 557 kB/s | 20 kB 00:00 (725/3380): texlive-beamerposter-svn54512-61.fc 607 kB/s | 23 kB 00:00 (726/3380): texlive-beamersubframe-svn23510.0.2 490 kB/s | 18 kB 00:00 (727/3380): texlive-beamerswitch-svn58873-61.fc 6.3 MB/s | 272 kB 00:00 (728/3380): texlive-beamertheme-cuerna-svn42161 8.0 MB/s | 344 kB 00:00 (729/3380): texlive-beamertheme-detlevcm-svn390 466 kB/s | 20 kB 00:00 (730/3380): texlive-beamertheme-epyt-svn41404-6 564 kB/s | 21 kB 00:00 (731/3380): texlive-beamertheme-light-svn49867- 3.5 MB/s | 121 kB 00:00 (732/3380): texlive-beamertheme-focus-svn56967- 10 MB/s | 504 kB 00:00 (733/3380): texlive-beamertheme-metropolis-svn4 467 kB/s | 20 kB 00:00 (734/3380): texlive-beamertheme-npbt-svn54512-6 32 MB/s | 1.8 MB 00:00 (735/3380): texlive-beamertheme-phnompenh-svn39 246 kB/s | 12 kB 00:00 (736/3380): texlive-beamertheme-pure-minimalist 7.3 MB/s | 376 kB 00:00 (737/3380): texlive-beamertheme-saintpetersburg 6.1 MB/s | 265 kB 00:00 (738/3380): texlive-beamertheme-trigon-svn59004 9.6 MB/s | 565 kB 00:00 (739/3380): texlive-beamertheme-upenn-bc-svn299 381 kB/s | 17 kB 00:00 (740/3380): texlive-beamerthemejltree-svn21977. 536 kB/s | 18 kB 00:00 (741/3380): texlive-beamerthemenirma-svn20765.0 514 kB/s | 17 kB 00:00 (742/3380): texlive-beamerthemelalic-svn58777-6 6.1 MB/s | 233 kB 00:00 (743/3380): texlive-beamerthemenord-svn56180-61 37 MB/s | 2.1 MB 00:00 (744/3380): texlive-bearwear-svn54826-61.fc38.n 15 MB/s | 788 kB 00:00 (745/3380): texlive-beaulivre-svn59320-61.fc38. 8.0 MB/s | 481 kB 00:00 (746/3380): texlive-beebe-svn58983-61.fc38.noar 18 MB/s | 886 kB 00:00 (747/3380): texlive-begriff-svn15878.1.6-61.fc3 485 kB/s | 18 kB 00:00 (748/3380): texlive-beilstein-svn56193-61.fc38. 13 MB/s | 679 kB 00:00 (749/3380): texlive-bera-svn20031.0-61.fc38.noa 7.1 MB/s | 357 kB 00:00 (750/3380): texlive-belleek-svn18651.0-61.fc38. 1.8 MB/s | 97 kB 00:00 (751/3380): texlive-berenisadf-svn32215.1.004-6 27 MB/s | 1.5 MB 00:00 (752/3380): texlive-besjournals-svn45662-61.fc3 608 kB/s | 20 kB 00:00 (753/3380): texlive-bestpapers-svn38708-61.fc38 525 kB/s | 19 kB 00:00 (754/3380): texlive-beton-svn15878.0-61.fc38.no 461 kB/s | 16 kB 00:00 (755/3380): texlive-beuron-svn46374-61.fc38.noa 6.4 MB/s | 291 kB 00:00 (756/3380): texlive-bewerbung-svn56998-61.fc38. 697 kB/s | 29 kB 00:00 (757/3380): texlive-bez123-svn15878.1.1b-61.fc3 479 kB/s | 20 kB 00:00 (758/3380): texlive-bgteubner-svn54080-61.fc38. 1.2 MB/s | 46 kB 00:00 (759/3380): texlive-bguq-svn27401.0.4-61.fc38.n 1.3 MB/s | 44 kB 00:00 (760/3380): texlive-bhcexam-svn39041-61.fc38.no 580 kB/s | 19 kB 00:00 (761/3380): texlive-bibarts-svn54080-61.fc38.no 984 kB/s | 44 kB 00:00 (762/3380): texlive-bibexport-20210325-52.fc38. 4.5 MB/s | 267 kB 00:00 (763/3380): texlive-bibhtml-svn31607.2.0.2-61.f 478 kB/s | 28 kB 00:00 (764/3380): texlive-biblatex-abnt-svn49179-61.f 551 kB/s | 37 kB 00:00 (765/3380): texlive-biblatex-ajc2020unofficial- 420 kB/s | 20 kB 00:00 (766/3380): texlive-biblatex-anonymous-svn48548 465 kB/s | 19 kB 00:00 (767/3380): texlive-bib2gls-20210325-52.fc38.no 31 MB/s | 6.1 MB 00:00 (768/3380): texlive-biblatex-apa-svn56208-61.fc 1.0 MB/s | 48 kB 00:00 (769/3380): texlive-biblatex-apa6-svn56209-61.f 6.8 MB/s | 269 kB 00:00 (770/3380): texlive-biblatex-arthistory-bonn-sv 3.7 MB/s | 204 kB 00:00 (771/3380): texlive-biblatex-bath-svn57924-61.f 7.0 MB/s | 378 kB 00:00 (772/3380): texlive-biblatex-bookinarticle-svn4 587 kB/s | 19 kB 00:00 (773/3380): texlive-biblatex-archaeology-svn532 42 MB/s | 4.8 MB 00:00 (774/3380): texlive-biblatex-bookinother-svn540 567 kB/s | 21 kB 00:00 (775/3380): texlive-biblatex-bwl-svn26556.0.02- 494 kB/s | 19 kB 00:00 (776/3380): texlive-biblatex-caspervector-svn56 639 kB/s | 23 kB 00:00 (777/3380): texlive-biblatex-chem-svn57904-61.f 633 kB/s | 24 kB 00:00 (778/3380): texlive-biblatex-chicago-svn58715-6 2.7 MB/s | 127 kB 00:00 (779/3380): texlive-biblatex-claves-svn43723-61 536 kB/s | 20 kB 00:00 (780/3380): texlive-biblatex-dw-svn42649-61.fc3 990 kB/s | 32 kB 00:00 (781/3380): texlive-biblatex-enc-svn44627-61.fc 841 kB/s | 27 kB 00:00 (782/3380): texlive-biblatex-fiwi-svn45876-61.f 1.0 MB/s | 35 kB 00:00 (783/3380): texlive-biblatex-ext-svn58975-61.fc 16 MB/s | 909 kB 00:00 (784/3380): texlive-biblatex-gb7714-2015-svn591 26 MB/s | 1.6 MB 00:00 (785/3380): texlive-biblatex-german-legal-svn56 4.3 MB/s | 186 kB 00:00 (786/3380): texlive-biblatex-gost-svn59135-61.f 1.4 MB/s | 54 kB 00:00 (787/3380): texlive-biblatex-historian-svn19787 1.2 MB/s | 39 kB 00:00 (788/3380): texlive-biblatex-ieee-svn58716-61.f 561 kB/s | 23 kB 00:00 (789/3380): texlive-biblatex-ijsra-svn41634-61. 578 kB/s | 19 kB 00:00 (790/3380): texlive-biblatex-iso690-svn54561-61 1.1 MB/s | 41 kB 00:00 (791/3380): texlive-biblatex-jura2-svn56133-61. 8.1 MB/s | 382 kB 00:00 (792/3380): texlive-biblatex-juradiss-svn56502- 492 kB/s | 21 kB 00:00 (793/3380): texlive-biblatex-license-svn58437-6 6.3 MB/s | 243 kB 00:00 (794/3380): texlive-biblatex-luh-ipw-svn32180.0 747 kB/s | 24 kB 00:00 (795/3380): texlive-biblatex-lni-svn49935-61.fc 501 kB/s | 20 kB 00:00 (796/3380): texlive-biblatex-manuscripts-philol 706 kB/s | 23 kB 00:00 (797/3380): texlive-biblatex-morenames-svn43049 605 kB/s | 20 kB 00:00 (798/3380): texlive-biblatex-mla-svn42445-61.fc 747 kB/s | 31 kB 00:00 (799/3380): texlive-biblatex-multiple-dm-svn370 575 kB/s | 19 kB 00:00 (800/3380): texlive-biblatex-musuos-svn24097.1. 444 kB/s | 19 kB 00:00 (801/3380): texlive-biblatex-nature-svn57262-61 428 kB/s | 18 kB 00:00 (802/3380): texlive-biblatex-nejm-svn49839-61.f 451 kB/s | 19 kB 00:00 (803/3380): texlive-biblatex-opcit-booktitle-sv 617 kB/s | 20 kB 00:00 (804/3380): texlive-biblatex-nottsclassic-svn41 617 kB/s | 21 kB 00:00 (805/3380): texlive-biblatex-oxref-svn57513-61. 32 MB/s | 1.9 MB 00:00 (806/3380): texlive-biblatex-phys-svn55643-61.f 625 kB/s | 21 kB 00:00 (807/3380): texlive-biblatex-philosophy-svn5892 810 kB/s | 32 kB 00:00 (808/3380): texlive-biblatex-publist-svn56392-6 795 kB/s | 26 kB 00:00 (809/3380): texlive-biblatex-realauthor-svn4586 490 kB/s | 20 kB 00:00 (810/3380): texlive-biblatex-sbl-svn56853-61.fc 14 MB/s | 687 kB 00:00 (811/3380): texlive-biblatex-science-svn48945-6 537 kB/s | 18 kB 00:00 (812/3380): texlive-biblatex-shortfields-svn458 2.7 MB/s | 101 kB 00:00 (813/3380): texlive-biblatex-socialscienceshube 3.4 MB/s | 130 kB 00:00 (814/3380): texlive-biblatex-software-svn57366- 7.6 MB/s | 329 kB 00:00 (815/3380): texlive-biblatex-source-division-sv 489 kB/s | 19 kB 00:00 (816/3380): texlive-biblatex-subseries-svn43330 453 kB/s | 19 kB 00:00 (817/3380): texlive-biblatex-svn63878-61.fc38.n 7.1 MB/s | 305 kB 00:00 (818/3380): texlive-biblatex-swiss-legal-svn586 1.1 MB/s | 37 kB 00:00 (819/3380): texlive-biblatex-trad-svn58169-61.f 616 kB/s | 20 kB 00:00 (820/3380): texlive-biblatex-true-citepages-omi 575 kB/s | 19 kB 00:00 (821/3380): texlive-biblatex-unified-svn55290-6 9.4 MB/s | 444 kB 00:00 (822/3380): texlive-biblatex-vancouver-svn55339 584 kB/s | 25 kB 00:00 (823/3380): texlive-biblatex2bibitem-svn54030-6 3.2 MB/s | 139 kB 00:00 (824/3380): texlive-bibletext-svn45196-61.fc38. 314 kB/s | 13 kB 00:00 (825/3380): texlive-biblist-svn17116.0-61.fc38. 734 kB/s | 24 kB 00:00 (826/3380): texlive-bibtex-20210325-52.fc38.x86 11 MB/s | 451 kB 00:00 (827/3380): texlive-bibtexperllibs-svn57137-61. 268 kB/s | 11 kB 00:00 (828/3380): texlive-bibtopic-svn15878.1.1a-61.f 514 kB/s | 25 kB 00:00 (829/3380): texlive-bibtopicprefix-svn15878.1.1 507 kB/s | 16 kB 00:00 (830/3380): texlive-bibunits-svn15878.2.2-61.fc 542 kB/s | 18 kB 00:00 (831/3380): texlive-bidi-svn55193-61.fc38.noarc 3.6 MB/s | 175 kB 00:00 (832/3380): texlive-bigfoot-svn38248.2.1-61.fc3 641 kB/s | 30 kB 00:00 (833/3380): texlive-bigintcalc-svn53172-61.fc38 10 MB/s | 466 kB 00:00 (834/3380): texlive-bigints-svn29803.0-61.fc38. 427 kB/s | 16 kB 00:00 (835/3380): texlive-binarytree-svn41777-61.fc38 9.4 MB/s | 457 kB 00:00 (836/3380): texlive-binomexp-svn15878.1.0-61.fc 410 kB/s | 17 kB 00:00 (837/3380): texlive-biochemistry-colors-svn5451 2.8 MB/s | 94 kB 00:00 (838/3380): texlive-biocon-svn15878.0-61.fc38.n 510 kB/s | 20 kB 00:00 (839/3380): texlive-biolett-bst-svn42217-61.fc3 643 kB/s | 21 kB 00:00 (840/3380): texlive-bithesis-svn57388-61.fc38.n 5.4 MB/s | 233 kB 00:00 (841/3380): texlive-bitpattern-svn39073-61.fc38 502 kB/s | 19 kB 00:00 (842/3380): texlive-bitset-svn53837-61.fc38.noa 12 MB/s | 621 kB 00:00 (843/3380): texlive-bitter-svn56026-61.fc38.noa 5.5 MB/s | 248 kB 00:00 (844/3380): texlive-bizcard-svn15878.1.1-61.fc3 509 kB/s | 18 kB 00:00 (845/3380): texlive-blacklettert1-svn15878.0-61 677 kB/s | 27 kB 00:00 (846/3380): texlive-blindtext-svn25039.2.0-61.f 621 kB/s | 25 kB 00:00 (847/3380): texlive-blkarray-svn36406.0.07-61.f 822 kB/s | 33 kB 00:00 (848/3380): texlive-blochsphere-svn38388-61.fc3 650 kB/s | 21 kB 00:00 (849/3380): texlive-block-svn17209.0-61.fc38.no 334 kB/s | 13 kB 00:00 (850/3380): texlive-bloques-svn22490.1.0-61.fc3 445 kB/s | 19 kB 00:00 (851/3380): texlive-blowup-svn46213-61.fc38.noa 7.3 MB/s | 329 kB 00:00 (852/3380): texlive-blox-svn57949-61.fc38.noarc 504 kB/s | 17 kB 00:00 (853/3380): texlive-bnumexpr-svn49643-61.fc38.n 649 kB/s | 21 kB 00:00 (854/3380): texlive-bodegraph-svn20047.1.4-61.f 985 kB/s | 32 kB 00:00 (855/3380): texlive-bohr-svn54512-61.fc38.noarc 634 kB/s | 21 kB 00:00 (856/3380): texlive-boisik-svn15878.0.5-61.fc38 6.9 MB/s | 274 kB 00:00 (857/3380): texlive-boites-svn32235.1.1-61.fc38 626 kB/s | 20 kB 00:00 (858/3380): texlive-bold-extra-svn17076.0.1-61. 492 kB/s | 16 kB 00:00 (859/3380): texlive-boldtensors-svn15878.0-61.f 575 kB/s | 19 kB 00:00 (860/3380): texlive-bondgraph-svn21670.1.0-61.f 586 kB/s | 19 kB 00:00 (861/3380): texlive-bondgraphs-svn36605.1.0.1-6 610 kB/s | 20 kB 00:00 (862/3380): texlive-bookcover-svn57326-61.fc38. 669 kB/s | 22 kB 00:00 (863/3380): texlive-bookdb-svn37536.0.2-61.fc38 641 kB/s | 24 kB 00:00 (864/3380): texlive-bookest-svn15878.1.1-61.fc3 580 kB/s | 19 kB 00:00 (865/3380): texlive-booklet-svn15878.0.7b-61.fc 633 kB/s | 21 kB 00:00 (866/3380): texlive-bookhands-svn46480-61.fc38. 4.8 MB/s | 235 kB 00:00 (867/3380): texlive-bookman-svn31835.0-61.fc38. 9.6 MB/s | 369 kB 00:00 (868/3380): texlive-bookmark-svn56885-61.fc38.n 12 MB/s | 480 kB 00:00 (869/3380): texlive-booktabs-svn53402-61.fc38.n 556 kB/s | 18 kB 00:00 (870/3380): texlive-bookshelf-svn55475-61.fc38. 21 MB/s | 987 kB 00:00 (871/3380): texlive-boolexpr-svn17830.3.14-61.f 537 kB/s | 18 kB 00:00 (872/3380): texlive-boondox-svn54512-61.fc38.no 5.9 MB/s | 232 kB 00:00 (873/3380): texlive-bophook-svn17062.0.02-61.fc 501 kB/s | 16 kB 00:00 (874/3380): texlive-bosisio-svn16989.0-61.fc38. 689 kB/s | 23 kB 00:00 (875/3380): texlive-boxhandler-svn28031.1.30-61 628 kB/s | 20 kB 00:00 (876/3380): texlive-boxedminipage-svn54827-61.f 6.5 MB/s | 346 kB 00:00 (877/3380): texlive-bpchem-svn45120-61.fc38.noa 416 kB/s | 18 kB 00:00 (878/3380): texlive-br-lex-svn44939-61.fc38.noa 607 kB/s | 20 kB 00:00 (879/3380): texlive-bracketkey-svn17129.1.0-61. 588 kB/s | 19 kB 00:00 (880/3380): texlive-braille-svn20655.0-61.fc38. 528 kB/s | 17 kB 00:00 (881/3380): texlive-braids-svn54080-61.fc38.noa 597 kB/s | 24 kB 00:00 (882/3380): texlive-braket-svn17127.0-61.fc38.n 396 kB/s | 14 kB 00:00 (883/3380): texlive-brandeis-dissertation-svn54 549 kB/s | 18 kB 00:00 (884/3380): texlive-brandeis-problemset-svn5099 3.9 MB/s | 163 kB 00:00 (885/3380): texlive-breakcites-svn21014-61.fc38 397 kB/s | 13 kB 00:00 (886/3380): texlive-brandeis-thesis-svn54758-61 3.0 MB/s | 165 kB 00:00 (887/3380): texlive-breakurl-svn29901.1.40-61.f 541 kB/s | 18 kB 00:00 (888/3380): texlive-breqn-svn56422-61.fc38.noar 1.2 MB/s | 43 kB 00:00 (889/3380): texlive-bropd-svn35383.1.2-61.fc38. 517 kB/s | 19 kB 00:00 (890/3380): texlive-brushscr-svn28363.0-61.fc38 1.5 MB/s | 63 kB 00:00 (891/3380): texlive-bubblesort-svn56070-61.fc38 5.2 MB/s | 235 kB 00:00 (892/3380): texlive-buctthesis-svn59002-61.fc38 17 MB/s | 888 kB 00:00 (893/3380): texlive-bullcntr-svn15878.0.04-61.f 452 kB/s | 20 kB 00:00 (894/3380): texlive-bussproofs-extra-svn51299-6 5.8 MB/s | 238 kB 00:00 (895/3380): texlive-bussproofs-svn54080-61.fc38 795 kB/s | 26 kB 00:00 (896/3380): texlive-bxcalc-svn56431-61.fc38.noa 7.2 MB/s | 299 kB 00:00 (897/3380): texlive-bxdpx-beamer-svn41813-61.fc 329 kB/s | 13 kB 00:00 (898/3380): texlive-bxdvidriver-svn43219-61.fc3 368 kB/s | 15 kB 00:00 (899/3380): texlive-bxeepic-svn30559.0.2-61.fc3 584 kB/s | 19 kB 00:00 (900/3380): texlive-bxnewfont-svn44173-61.fc38. 503 kB/s | 17 kB 00:00 (901/3380): texlive-bxenclose-svn40213-61.fc38. 353 kB/s | 14 kB 00:00 (902/3380): texlive-bxpapersize-svn56491-61.fc3 366 kB/s | 17 kB 00:00 (903/3380): texlive-bxpdfver-svn57755-61.fc38.n 430 kB/s | 19 kB 00:00 (904/3380): texlive-bxtexlogo-svn47230-61.fc38. 8.4 MB/s | 431 kB 00:00 (905/3380): texlive-byo-twemojis-svn58917-61.fc 8.6 MB/s | 394 kB 00:00 (906/3380): texlive-bytefield-svn56821-61.fc38. 597 kB/s | 20 kB 00:00 (907/3380): texlive-cachepic-20210325-52.fc38.n 2.9 MB/s | 173 kB 00:00 (908/3380): texlive-caladea-svn34991.0-61.fc38. 8.1 MB/s | 386 kB 00:00 (909/3380): texlive-cabin-svn55907-61.fc38.noar 40 MB/s | 3.0 MB 00:00 (910/3380): texlive-calctab-svn15878.v0.6.1-61. 526 kB/s | 19 kB 00:00 (911/3380): texlive-calcage-svn27725.0.90-61.fc 470 kB/s | 19 kB 00:00 (912/3380): texlive-calculation-svn35973.1.0-61 503 kB/s | 21 kB 00:00 (913/3380): texlive-calculator-svn33041.2.0-61. 740 kB/s | 26 kB 00:00 (914/3380): texlive-calligra-svn15878.0-61.fc38 1.5 MB/s | 58 kB 00:00 (915/3380): texlive-calligra-type1-svn24302.001 1.8 MB/s | 70 kB 00:00 (916/3380): texlive-callouts-svn44899-61.fc38.n 5.4 MB/s | 214 kB 00:00 (917/3380): texlive-calrsfs-svn17125.0-61.fc38. 329 kB/s | 13 kB 00:00 (918/3380): texlive-cals-svn43003-61.fc38.noarc 688 kB/s | 22 kB 00:00 (919/3380): texlive-calxxxx-yyyy-svn57282-61.fc 722 kB/s | 24 kB 00:00 (920/3380): texlive-canoniclayout-svn54758-61.f 590 kB/s | 19 kB 00:00 (921/3380): texlive-cancel-svn32508.2.2-61.fc38 372 kB/s | 15 kB 00:00 (922/3380): texlive-capt-of-svn29803.0-61.fc38. 440 kB/s | 16 kB 00:00 (923/3380): texlive-captcont-svn15878.2.0-61.fc 520 kB/s | 17 kB 00:00 (924/3380): texlive-cantarell-svn54512-61.fc38. 15 MB/s | 1.4 MB 00:00 (925/3380): texlive-captdef-svn17353.0-61.fc38. 272 kB/s | 16 kB 00:00 (926/3380): texlive-caption-svn56771-61.fc38.no 842 kB/s | 50 kB 00:00 (927/3380): texlive-carbohydrates-svn39000-61.f 539 kB/s | 22 kB 00:00 (928/3380): texlive-carlisle-svn56753-61.fc38.n 463 kB/s | 24 kB 00:00 (929/3380): texlive-carlito-svn35002.0-61.fc38. 33 MB/s | 2.8 MB 00:00 (930/3380): texlive-carolmin-ps-svn15878.0-61.f 2.6 MB/s | 120 kB 00:00 (931/3380): texlive-cascade-svn55759-61.fc38.no 4.5 MB/s | 183 kB 00:00 (932/3380): texlive-cascadilla-svn25144.1.8.2-6 754 kB/s | 25 kB 00:00 (933/3380): texlive-cases-svn54682-61.fc38.noar 317 kB/s | 16 kB 00:00 (934/3380): texlive-cascadia-code-svn57478-61.f 26 MB/s | 1.9 MB 00:00 (935/3380): texlive-casyl-svn15878.2.0-61.fc38. 453 kB/s | 18 kB 00:00 (936/3380): texlive-catchfile-svn53084-61.fc38. 6.5 MB/s | 308 kB 00:00 (937/3380): texlive-catcodes-svn38859-61.fc38.n 582 kB/s | 24 kB 00:00 (938/3380): texlive-catchfilebetweentags-svn214 390 kB/s | 20 kB 00:00 (939/3380): texlive-catechis-svn49061-61.fc38.n 500 kB/s | 16 kB 00:00 (940/3380): texlive-catoptions-svn35069.0.2.7h- 1.5 MB/s | 55 kB 00:00 (941/3380): texlive-causets-svn57139-61.fc38.no 9.7 MB/s | 433 kB 00:00 (942/3380): texlive-cbcoptic-svn16666.0.2-61.fc 2.7 MB/s | 119 kB 00:00 (943/3380): texlive-cbfonts-fd-svn54080-61.fc38 573 kB/s | 22 kB 00:00 (944/3380): texlive-ccaption-svn23443.3.2c-61.f 316 kB/s | 23 kB 00:00 (945/3380): texlive-ccfonts-svn54686-61.fc38.no 276 kB/s | 17 kB 00:00 (946/3380): texlive-ccicons-svn54512-61.fc38.no 656 kB/s | 35 kB 00:00 (947/3380): texlive-cclicenses-svn15878.0-61.fc 259 kB/s | 16 kB 00:00 (948/3380): texlive-cd-cover-svn17121.1.0-61.fc 255 kB/s | 20 kB 00:00 (949/3380): texlive-cd-svn34452.1.4-61.fc38.noa 415 kB/s | 20 kB 00:00 (950/3380): texlive-cdpbundl-svn46613-61.fc38.n 674 kB/s | 35 kB 00:00 (951/3380): texlive-ccool-svn56636-61.fc38.noar 3.8 MB/s | 808 kB 00:00 (952/3380): texlive-cell-svn42428-61.fc38.noarc 568 kB/s | 23 kB 00:00 (953/3380): texlive-cellspace-svn50374-61.fc38. 404 kB/s | 17 kB 00:00 (954/3380): texlive-celtic-svn39797-61.fc38.noa 633 kB/s | 21 kB 00:00 (955/3380): texlive-cellprops-svn57599-61.fc38. 4.4 MB/s | 572 kB 00:00 (956/3380): texlive-censor-svn49168-61.fc38.noa 366 kB/s | 19 kB 00:00 (957/3380): texlive-centeredline-svn50971-61.fc 469 kB/s | 20 kB 00:00 (958/3380): texlive-cesenaexam-svn44960-61.fc38 10 MB/s | 520 kB 00:00 (959/3380): texlive-cfr-initials-svn36728.1.01- 616 kB/s | 28 kB 00:00 (960/3380): texlive-cfr-lm-svn36195.1.5-61.fc38 9.1 MB/s | 452 kB 00:00 (961/3380): texlive-changebar-svn46919-61.fc38. 463 kB/s | 21 kB 00:00 (962/3380): texlive-changelayout-svn16094.1.0-6 494 kB/s | 18 kB 00:00 (963/3380): texlive-changelog-svn56338-61.fc38. 2.6 MB/s | 186 kB 00:00 (964/3380): texlive-changepage-svn15878.1.0c-61 485 kB/s | 20 kB 00:00 (965/3380): texlive-chappg-svn15878.2.1b-61.fc3 402 kB/s | 16 kB 00:00 (966/3380): texlive-changes-svn58773-61.fc38.no 584 kB/s | 30 kB 00:00 (967/3380): texlive-chapterfolder-svn15878.2.0. 448 kB/s | 16 kB 00:00 (968/3380): texlive-charter-svn15878.0-61.fc38. 4.1 MB/s | 207 kB 00:00 (969/3380): texlive-chbibref-svn17120.1.0-61.fc 378 kB/s | 16 kB 00:00 (970/3380): texlive-cheatsheet-svn45069-61.fc38 8.8 MB/s | 408 kB 00:00 (971/3380): texlive-cbfonts-svn54080-61.fc38.no 64 MB/s | 63 MB 00:00 (972/3380): texlive-checkend-svn51475-61.fc38.n 150 kB/s | 13 kB 00:00 (973/3380): texlive-chemarrow-svn17146.0.9-61.f 363 kB/s | 19 kB 00:00 (974/3380): texlive-chem-journal-svn15878.0-61. 362 kB/s | 21 kB 00:00 (975/3380): texlive-charissil-svn55920-61.fc38. 6.3 MB/s | 2.1 MB 00:00 (976/3380): texlive-chembst-svn15878.0.2.5-61.f 593 kB/s | 22 kB 00:00 (977/3380): texlive-chemcompounds-svn15878.0-61 513 kB/s | 17 kB 00:00 (978/3380): texlive-chemcono-svn17119.1.3-61.fc 537 kB/s | 23 kB 00:00 (979/3380): texlive-chemexec-svn21632.1.0-61.fc 691 kB/s | 25 kB 00:00 (980/3380): texlive-chemfig-svn58014-61.fc38.no 976 kB/s | 44 kB 00:00 (981/3380): texlive-chemformula-svn57206-61.fc3 898 kB/s | 36 kB 00:00 (982/3380): texlive-chemgreek-svn53437-61.fc38. 752 kB/s | 24 kB 00:00 (983/3380): texlive-chemmacros-svn56983-61.fc38 1.7 MB/s | 73 kB 00:00 (984/3380): texlive-chemnum-svn57490-61.fc38.no 749 kB/s | 29 kB 00:00 (985/3380): texlive-chemplants-svn52863-61.fc38 17 MB/s | 876 kB 00:00 (986/3380): texlive-chemschemex-svn46723-61.fc3 318 kB/s | 23 kB 00:00 (987/3380): texlive-chemstyle-svn31096.2.0m-61. 556 kB/s | 25 kB 00:00 (988/3380): texlive-chemsec-svn46972-61.fc38.no 2.8 MB/s | 265 kB 00:00 (989/3380): texlive-cherokee-svn21046.0-61.fc38 364 kB/s | 17 kB 00:00 (990/3380): texlive-chessfss-svn19440.1.2a-61.f 808 kB/s | 37 kB 00:00 (991/3380): texlive-chextras-svn27118.1.01-61.f 724 kB/s | 24 kB 00:00 (992/3380): texlive-chet-svn45081-61.fc38.noarc 586 kB/s | 23 kB 00:00 (993/3380): texlive-chicago-annote-svn15878.0-6 716 kB/s | 23 kB 00:00 (994/3380): texlive-chicago-svn15878.0-61.fc38. 673 kB/s | 22 kB 00:00 (995/3380): texlive-chicagoa-svn52567-61.fc38.n 499 kB/s | 20 kB 00:00 (996/3380): texlive-chifoot-svn57312-61.fc38.no 506 kB/s | 19 kB 00:00 (997/3380): texlive-chkfloat-svn27473.0.1-61.fc 571 kB/s | 19 kB 00:00 (998/3380): texlive-childdoc-svn49543-61.fc38.n 6.0 MB/s | 272 kB 00:00 (999/3380): texlive-chletter-svn20060.2.0-61.fc 508 kB/s | 18 kB 00:00 (1000/3380): texlive-chngcntr-svn47577-61.fc38. 495 kB/s | 16 kB 00:00 (1001/3380): texlive-chs-physics-report-svn5451 4.1 MB/s | 202 kB 00:00 (1002/3380): texlive-chronology-svn37934.1.1.1- 340 kB/s | 19 kB 00:00 (1003/3380): texlive-chscite-svn28552.2.9999-61 589 kB/s | 22 kB 00:00 (1004/3380): texlive-cinzel-svn54512-61.fc38.no 13 MB/s | 586 kB 00:00 (1005/3380): texlive-circ-svn15878.1.1-61.fc38. 1.1 MB/s | 47 kB 00:00 (1006/3380): texlive-circledsteps-svn53382-61.f 9.6 MB/s | 417 kB 00:00 (1007/3380): texlive-chivo-svn54512-61.fc38.noa 11 MB/s | 2.7 MB 00:00 (1008/3380): texlive-circuitikz-svn59147-61.fc3 3.7 MB/s | 170 kB 00:00 (1009/3380): texlive-circuit-macros-svn57308-61 32 MB/s | 2.3 MB 00:00 (1010/3380): texlive-cite-svn36428.5.5-61.fc38. 875 kB/s | 32 kB 00:00 (1011/3380): texlive-citeall-svn45975-61.fc38.n 469 kB/s | 19 kB 00:00 (1012/3380): texlive-citeref-svn47407-61.fc38.n 1.9 MB/s | 78 kB 00:00 (1013/3380): texlive-cjk-svn36951.4.8.4-61.fc38 3.3 MB/s | 143 kB 00:00 (1014/3380): texlive-cjkpunct-svn41119-61.fc38. 568 kB/s | 19 kB 00:00 (1015/3380): texlive-cje-svn46721-61.fc38.noarc 9.1 MB/s | 660 kB 00:00 (1016/3380): texlive-classics-svn53671-61.fc38. 426 kB/s | 19 kB 00:00 (1017/3380): texlive-classicthesis-svn48041-61. 539 kB/s | 27 kB 00:00 (1018/3380): texlive-classpack-svn55218-61.fc38 546 kB/s | 20 kB 00:00 (1019/3380): texlive-clara-svn54512-61.fc38.noa 14 MB/s | 1.2 MB 00:00 (1020/3380): texlive-cleanthesis-svn51472-61.fc 569 kB/s | 24 kB 00:00 (1021/3380): texlive-clefval-svn55985-61.fc38.n 352 kB/s | 16 kB 00:00 (1022/3380): texlive-clearsans-svn54512-61.fc38 22 MB/s | 1.4 MB 00:00 (1023/3380): texlive-cleveref-svn47525-61.fc38. 1.0 MB/s | 43 kB 00:00 (1024/3380): texlive-clipboard-svn47747-61.fc38 485 kB/s | 18 kB 00:00 (1025/3380): texlive-clock-svn15878.0-61.fc38.n 404 kB/s | 15 kB 00:00 (1026/3380): texlive-clrscode-svn51136-61.fc38. 531 kB/s | 19 kB 00:00 (1027/3380): texlive-clrdblpg-svn47511-61.fc38. 5.0 MB/s | 294 kB 00:00 (1028/3380): texlive-clrscode3e-svn51137-61.fc3 536 kB/s | 20 kB 00:00 (1029/3380): texlive-clrstrip-svn53537-61.fc38. 4.9 MB/s | 207 kB 00:00 (1030/3380): texlive-cm-mf-extra-bold-svn54512- 561 kB/s | 23 kB 00:00 (1031/3380): texlive-cm-svn57963-61.fc38.noarch 6.1 MB/s | 323 kB 00:00 (1032/3380): texlive-cm-lgc-svn28250.0.5-61.fc3 18 MB/s | 4.9 MB 00:00 (1033/3380): texlive-cmap-svn57640-61.fc38.noar 414 kB/s | 22 kB 00:00 (1034/3380): texlive-cmathbb-svn56414-61.fc38.n 2.6 MB/s | 184 kB 00:00 (1035/3380): texlive-cmbright-svn21107.8.1-61.f 3.0 MB/s | 183 kB 00:00 (1036/3380): texlive-cm-unicode-svn58661-61.fc3 35 MB/s | 14 MB 00:00 (1037/3380): texlive-cmdstring-svn15878.1.1-61. 334 kB/s | 15 kB 00:00 (1038/3380): texlive-cmdtrack-svn28910-61.fc38. 299 kB/s | 17 kB 00:00 (1039/3380): texlive-cmexb-svn54074-61.fc38.noa 725 kB/s | 43 kB 00:00 (1040/3380): texlive-cmextra-svn57866-61.fc38.n 1.2 MB/s | 50 kB 00:00 (1041/3380): texlive-cmll-svn17964.0-61.fc38.no 6.8 MB/s | 369 kB 00:00 (1042/3380): texlive-cmpica-svn15878.0-61.fc38. 593 kB/s | 19 kB 00:00 (1043/3380): texlive-cmpj-svn58506-61.fc38.noar 1.5 MB/s | 68 kB 00:00 (1044/3380): texlive-cmsd-svn18787.0-61.fc38.no 460 kB/s | 16 kB 00:00 (1045/3380): texlive-cmtiup-svn39728-61.fc38.no 1.9 MB/s | 93 kB 00:00 (1046/3380): texlive-cmsrb-svn54706-61.fc38.noa 27 MB/s | 3.0 MB 00:00 (1047/3380): texlive-cmupint-svn54735-61.fc38.n 4.3 MB/s | 365 kB 00:00 (1048/3380): texlive-cnltx-svn55265-61.fc38.noa 1.3 MB/s | 55 kB 00:00 (1049/3380): texlive-cntformats-svn34668.0.7-61 247 kB/s | 20 kB 00:00 (1050/3380): texlive-cm-super-svn15878.0-61.fc3 65 MB/s | 62 MB 00:00 (1051/3380): texlive-cns-svn45677-61.fc38.noarc 19 MB/s | 3.4 MB 00:00 (1052/3380): texlive-cntperchap-svn37572.0.3-61 273 kB/s | 21 kB 00:00 (1053/3380): texlive-codedoc-svn17630.0.3-61.fc 756 kB/s | 25 kB 00:00 (1054/3380): texlive-codeanatomy-svn51627-61.fc 5.3 MB/s | 269 kB 00:00 (1055/3380): texlive-cochineal-svn58717-61.fc38 38 MB/s | 2.9 MB 00:00 (1056/3380): texlive-codepage-svn51502-61.fc38. 605 kB/s | 24 kB 00:00 (1057/3380): texlive-codesection-svn34481.0.1-6 517 kB/s | 20 kB 00:00 (1058/3380): texlive-coffeestains-svn59115-61.f 5.6 MB/s | 306 kB 00:00 (1059/3380): texlive-collcell-svn56291-61.fc38. 362 kB/s | 20 kB 00:00 (1060/3380): texlive-collectbox-svn56291-61.fc3 450 kB/s | 20 kB 00:00 (1061/3380): texlive-collection-basic-svn59159- 246 kB/s | 12 kB 00:00 (1062/3380): texlive-coelacanth-svn54736-61.fc3 50 MB/s | 7.0 MB 00:00 (1063/3380): texlive-collection-bibtexextra-svn 320 kB/s | 15 kB 00:00 (1064/3380): texlive-collection-fontsextra-svn5 492 kB/s | 18 kB 00:00 (1065/3380): texlive-collection-latex-svn57048- 367 kB/s | 12 kB 00:00 (1066/3380): texlive-collection-fontsrecommende 281 kB/s | 12 kB 00:00 (1067/3380): texlive-collection-latexextra-svn5 843 kB/s | 41 kB 00:00 (1068/3380): texlive-collection-latexrecommende 387 kB/s | 13 kB 00:00 (1069/3380): texlive-collection-mathscience-svn 401 kB/s | 16 kB 00:00 (1070/3380): texlive-collection-pictures-svn591 483 kB/s | 16 kB 00:00 (1071/3380): texlive-collection-publishers-svn5 399 kB/s | 16 kB 00:00 (1072/3380): texlive-collref-svn46358-61.fc38.n 581 kB/s | 19 kB 00:00 (1073/3380): texlive-colophon-svn47913-61.fc38. 6.6 MB/s | 380 kB 00:00 (1074/3380): texlive-colordoc-svn18270.0-61.fc3 403 kB/s | 17 kB 00:00 (1075/3380): texlive-color-edits-svn56707-61.fc 4.0 MB/s | 243 kB 00:00 (1076/3380): texlive-coloring-svn41042-61.fc38. 451 kB/s | 19 kB 00:00 (1077/3380): texlive-colorinfo-svn15878.0.3c-61 323 kB/s | 17 kB 00:00 (1078/3380): texlive-colorist-svn59316-61.fc38. 2.3 MB/s | 109 kB 00:00 (1079/3380): texlive-colorprofiles-svn49086-61. 3.7 MB/s | 147 kB 00:00 (1080/3380): texlive-colorspace-svn50585-61.fc3 742 kB/s | 24 kB 00:00 (1081/3380): texlive-colortab-svn22155.1.0-61.f 616 kB/s | 23 kB 00:00 (1082/3380): texlive-colortbl-svn53545-61.fc38. 549 kB/s | 18 kB 00:00 (1083/3380): texlive-colorwav-svn15878.1.0-61.f 549 kB/s | 22 kB 00:00 (1084/3380): texlive-colorweb-svn31490.1.3-61.f 481 kB/s | 21 kB 00:00 (1085/3380): texlive-colourchange-svn21741.1.22 593 kB/s | 25 kB 00:00 (1086/3380): texlive-combelow-svn18462.0.99f-61 550 kB/s | 18 kB 00:00 (1087/3380): texlive-combine-svn19361.0.7a-61.f 860 kB/s | 28 kB 00:00 (1088/3380): texlive-combinedgraphics-svn27198. 552 kB/s | 20 kB 00:00 (1089/3380): texlive-comfortaa-svn54512-61.fc38 11 MB/s | 638 kB 00:00 (1090/3380): texlive-comma-svn18259.1.2-61.fc38 498 kB/s | 16 kB 00:00 (1091/3380): texlive-comicneue-svn54891-61.fc38 13 MB/s | 959 kB 00:00 (1092/3380): texlive-commado-svn38875-61.fc38.n 540 kB/s | 21 kB 00:00 (1093/3380): texlive-commath-svn15878.0.3-61.fc 528 kB/s | 17 kB 00:00 (1094/3380): texlive-comment-svn41927-61.fc38.n 539 kB/s | 20 kB 00:00 (1095/3380): texlive-compactbib-svn15878.0-61.f 368 kB/s | 16 kB 00:00 (1096/3380): texlive-commedit-svn50116-61.fc38. 8.7 MB/s | 603 kB 00:00 (1097/3380): texlive-competences-svn47573-61.fc 574 kB/s | 21 kB 00:00 (1098/3380): texlive-complexity-svn45322-61.fc3 479 kB/s | 20 kB 00:00 (1099/3380): texlive-computational-complexity-s 1.4 MB/s | 56 kB 00:00 (1100/3380): texlive-concepts-svn29020.0.0.5_r1 669 kB/s | 22 kB 00:00 (1101/3380): texlive-concmath-fonts-svn17218.0- 1.3 MB/s | 49 kB 00:00 (1102/3380): texlive-concmath-svn17219.0-61.fc3 551 kB/s | 18 kB 00:00 (1103/3380): texlive-concprog-svn18791.0-61.fc3 458 kB/s | 20 kB 00:00 (1104/3380): texlive-concrete-svn57963-61.fc38. 817 kB/s | 32 kB 00:00 (1105/3380): texlive-confproc-svn29349.0.8-61.f 845 kB/s | 32 kB 00:00 (1106/3380): texlive-conditext-svn55387-61.fc38 10 MB/s | 539 kB 00:00 (1107/3380): texlive-constants-svn15878.1.0-61. 430 kB/s | 17 kB 00:00 (1108/3380): texlive-conteq-svn37868.0.1.1-61.f 603 kB/s | 20 kB 00:00 (1109/3380): texlive-continue-svn49449-61.fc38. 544 kB/s | 20 kB 00:00 (1110/3380): texlive-contour-svn18950.2.14-61.f 424 kB/s | 18 kB 00:00 (1111/3380): texlive-contracard-svn50217-61.fc3 618 kB/s | 23 kB 00:00 (1112/3380): texlive-conv-xkv-svn43558-61.fc38. 2.3 MB/s | 96 kB 00:00 (1113/3380): texlive-convbkmk-20210325-52.fc38. 557 kB/s | 18 kB 00:00 (1114/3380): texlive-cooking-svn15878.0.9b-61.f 547 kB/s | 18 kB 00:00 (1115/3380): texlive-cookingsymbols-svn35929.1. 562 kB/s | 19 kB 00:00 (1116/3380): texlive-cooking-units-svn53403-61. 13 MB/s | 712 kB 00:00 (1117/3380): texlive-cool-svn15878.1.35-61.fc38 792 kB/s | 31 kB 00:00 (1118/3380): texlive-coollist-svn15878.1.4-61.f 545 kB/s | 23 kB 00:00 (1119/3380): texlive-coolthms-svn29062.1.2-61.f 535 kB/s | 17 kB 00:00 (1120/3380): texlive-coolstr-svn15878.2.2-61.fc 468 kB/s | 23 kB 00:00 (1121/3380): texlive-cooltooltips-svn15878.1.0- 486 kB/s | 17 kB 00:00 (1122/3380): texlive-coordsys-svn15878.1.4-61.f 557 kB/s | 19 kB 00:00 (1123/3380): texlive-copyedit-svn37928.1.6-61.f 496 kB/s | 21 kB 00:00 (1124/3380): texlive-copyrightbox-svn24829.0.1- 497 kB/s | 16 kB 00:00 (1125/3380): texlive-correctmathalign-svn44131- 7.9 MB/s | 546 kB 00:00 (1126/3380): texlive-coseoul-svn23862.1.1-61.fc 286 kB/s | 18 kB 00:00 (1127/3380): texlive-countriesofeurope-svn54512 5.4 MB/s | 237 kB 00:00 (1128/3380): texlive-counttexruns-svn27576.1.00 535 kB/s | 18 kB 00:00 (1129/3380): texlive-courier-scaled-svn24940.0- 595 kB/s | 19 kB 00:00 (1130/3380): texlive-courier-svn35058.0-61.fc38 12 MB/s | 527 kB 00:00 (1131/3380): texlive-courierten-svn55436-61.fc3 6.7 MB/s | 280 kB 00:00 (1132/3380): texlive-cormorantgaramond-svn54696 28 MB/s | 6.0 MB 00:00 (1133/3380): texlive-courseoutline-svn15878.1.0 355 kB/s | 15 kB 00:00 (1134/3380): texlive-coursepaper-svn15878.2.0-6 424 kB/s | 16 kB 00:00 (1135/3380): texlive-coverpage-svn15878.1.01-61 617 kB/s | 20 kB 00:00 (1136/3380): texlive-cprotect-svn21209.1.0e-61. 487 kB/s | 20 kB 00:00 (1137/3380): texlive-cquthesis-svn55643-61.fc38 940 kB/s | 34 kB 00:00 (1138/3380): texlive-crbox-svn29803.0.1-61.fc38 447 kB/s | 16 kB 00:00 (1139/3380): texlive-crop-svn55424-61.fc38.noar 589 kB/s | 19 kB 00:00 (1140/3380): texlive-crimson-svn54512-61.fc38.n 18 MB/s | 1.4 MB 00:00 (1141/3380): texlive-crossreference-svn15878.0- 495 kB/s | 16 kB 00:00 (1142/3380): texlive-crimsonpro-svn54512-61.fc3 32 MB/s | 3.0 MB 00:00 (1143/3380): texlive-crossreftools-svn55879-61. 6.6 MB/s | 357 kB 00:00 (1144/3380): texlive-crossrefware-20210325-52.f 4.5 MB/s | 184 kB 00:00 (1145/3380): texlive-cryptocode-svn55920-61.fc3 1.2 MB/s | 39 kB 00:00 (1146/3380): texlive-cryst-svn15878.0-61.fc38.n 1.2 MB/s | 51 kB 00:00 (1147/3380): texlive-csquotes-svn57844-61.fc38. 745 kB/s | 36 kB 00:00 (1148/3380): texlive-csvmerge-svn51857-61.fc38. 5.3 MB/s | 232 kB 00:00 (1149/3380): texlive-csvsimple-svn51010-61.fc38 644 kB/s | 23 kB 00:00 (1150/3380): texlive-css-colors-svn54512-61.fc3 23 MB/s | 2.0 MB 00:00 (1151/3380): texlive-ctable-svn38672-61.fc38.no 567 kB/s | 18 kB 00:00 (1152/3380): texlive-ctablestack-svn38514-61.fc 577 kB/s | 19 kB 00:00 (1153/3380): texlive-ctex-svn58583-61.fc38.noar 4.3 MB/s | 204 kB 00:00 (1154/3380): texlive-cuisine-svn34453.0.7-61.fc 538 kB/s | 17 kB 00:00 (1155/3380): texlive-ctib-svn15878.0-61.fc38.no 3.4 MB/s | 147 kB 00:00 (1156/3380): texlive-cuprum-svn49909-61.fc38.no 4.0 MB/s | 151 kB 00:00 (1157/3380): texlive-currency-svn48990-61.fc38. 14 MB/s | 708 kB 00:00 (1158/3380): texlive-currfile-svn56478-61.fc38. 513 kB/s | 22 kB 00:00 (1159/3380): texlive-currvita-svn15878.0-61.fc3 570 kB/s | 18 kB 00:00 (1160/3380): texlive-curve-svn20745.1.16-61.fc3 608 kB/s | 22 kB 00:00 (1161/3380): texlive-curve2e-svn57402-61.fc38.n 608 kB/s | 27 kB 00:00 (1162/3380): texlive-curves-svn45255-61.fc38.no 616 kB/s | 20 kB 00:00 (1163/3380): texlive-custom-bib-svn24729.4.33-6 2.8 MB/s | 106 kB 00:00 (1164/3380): texlive-cutwin-svn29803.0.1-61.fc3 614 kB/s | 20 kB 00:00 (1165/3380): texlive-cv-svn15878.0-61.fc38.noar 519 kB/s | 17 kB 00:00 (1166/3380): texlive-cv4tw-svn34577.0.2-61.fc38 561 kB/s | 18 kB 00:00 (1167/3380): texlive-cweb-latex-svn28878.0-61.f 1.2 MB/s | 52 kB 00:00 (1168/3380): texlive-cyber-svn46776-61.fc38.noa 314 kB/s | 16 kB 00:00 (1169/3380): texlive-cybercic-svn37659.2.1-61.f 375 kB/s | 14 kB 00:00 (1170/3380): texlive-dancers-svn13293.0-61.fc38 440 kB/s | 16 kB 00:00 (1171/3380): texlive-cyklop-svn18651.0.915-61.f 7.6 MB/s | 360 kB 00:00 (1172/3380): texlive-dantelogo-svn38599-61.fc38 1.0 MB/s | 43 kB 00:00 (1173/3380): texlive-dashbox-svn23425.1.14-61.f 500 kB/s | 16 kB 00:00 (1174/3380): texlive-dashrule-svn29579.1.3-61.f 390 kB/s | 16 kB 00:00 (1175/3380): texlive-dashundergaps-svn58150-61. 480 kB/s | 18 kB 00:00 (1176/3380): texlive-dataref-svn42883-61.fc38.n 737 kB/s | 24 kB 00:00 (1177/3380): texlive-datatool-svn52663-61.fc38. 1.7 MB/s | 75 kB 00:00 (1178/3380): texlive-datax-svn57033-61.fc38.noa 4.4 MB/s | 202 kB 00:00 (1179/3380): texlive-dateiliste-svn27974.0.6-61 460 kB/s | 19 kB 00:00 (1180/3380): texlive-datenumber-svn18951.0.02-6 579 kB/s | 19 kB 00:00 (1181/3380): texlive-datetime2-bahasai-svn46287 605 kB/s | 20 kB 00:00 (1182/3380): texlive-datetime-svn36650.2.60-61. 1.0 MB/s | 48 kB 00:00 (1183/3380): texlive-datetime2-basque-svn47064- 471 kB/s | 20 kB 00:00 (1184/3380): texlive-datetime2-breton-svn52647- 536 kB/s | 20 kB 00:00 (1185/3380): texlive-datetime2-bulgarian-svn470 576 kB/s | 21 kB 00:00 (1186/3380): texlive-datetime2-catalan-svn47032 626 kB/s | 20 kB 00:00 (1187/3380): texlive-datetime2-croatian-svn3668 509 kB/s | 20 kB 00:00 (1188/3380): texlive-datetime2-czech-svn47033-6 527 kB/s | 20 kB 00:00 (1189/3380): texlive-datetime2-danish-svn47034- 506 kB/s | 20 kB 00:00 (1190/3380): texlive-datetime2-dutch-svn47355-6 494 kB/s | 20 kB 00:00 (1191/3380): texlive-datetime2-en-fulltext-svn3 500 kB/s | 20 kB 00:00 (1192/3380): texlive-datetime2-english-svn52479 655 kB/s | 26 kB 00:00 (1193/3380): texlive-datetime2-esperanto-svn473 626 kB/s | 20 kB 00:00 (1194/3380): texlive-datetime2-estonian-svn4756 495 kB/s | 20 kB 00:00 (1195/3380): texlive-datetime2-finnish-svn47047 546 kB/s | 21 kB 00:00 (1196/3380): texlive-datetime2-french-svn56393- 522 kB/s | 21 kB 00:00 (1197/3380): texlive-datetime2-galician-svn4763 582 kB/s | 20 kB 00:00 (1198/3380): texlive-datetime2-german-svn53125- 517 kB/s | 22 kB 00:00 (1199/3380): texlive-datetime2-greek-svn47533-6 576 kB/s | 20 kB 00:00 (1200/3380): texlive-datetime2-hebrew-svn47534- 535 kB/s | 19 kB 00:00 (1201/3380): texlive-datetime2-icelandic-svn475 528 kB/s | 20 kB 00:00 (1202/3380): texlive-datetime2-irish-svn47632-6 546 kB/s | 21 kB 00:00 (1203/3380): texlive-datetime2-it-fulltext-svn5 603 kB/s | 22 kB 00:00 (1204/3380): texlive-datetime2-italian-svn37146 487 kB/s | 21 kB 00:00 (1205/3380): texlive-datetime2-latin-svn47748-6 477 kB/s | 20 kB 00:00 (1206/3380): texlive-datetime2-lsorbian-svn4774 512 kB/s | 21 kB 00:00 (1207/3380): texlive-datetime2-magyar-svn48266- 550 kB/s | 20 kB 00:00 (1208/3380): texlive-datetime2-norsk-svn48267-6 522 kB/s | 20 kB 00:00 (1209/3380): texlive-datetime2-polish-svn48456- 404 kB/s | 20 kB 00:00 (1210/3380): texlive-datetime2-portuges-svn4845 619 kB/s | 20 kB 00:00 (1211/3380): texlive-datetime2-romanian-svn5639 512 kB/s | 21 kB 00:00 (1212/3380): texlive-datetime2-russian-svn49345 590 kB/s | 21 kB 00:00 (1213/3380): texlive-datetime2-samin-svn49346-6 529 kB/s | 20 kB 00:00 (1214/3380): texlive-datetime2-scottish-svn5210 476 kB/s | 20 kB 00:00 (1215/3380): texlive-datetime2-serbian-svn52893 715 kB/s | 26 kB 00:00 (1216/3380): texlive-datetime2-slovak-svn52281- 531 kB/s | 20 kB 00:00 (1217/3380): texlive-datetime2-slovene-svn52282 514 kB/s | 20 kB 00:00 (1218/3380): texlive-datetime2-spanish-svn45785 572 kB/s | 20 kB 00:00 (1219/3380): texlive-datetime2-svn58590-61.fc38 717 kB/s | 27 kB 00:00 (1220/3380): texlive-datetime2-swedish-svn36700 561 kB/s | 20 kB 00:00 (1221/3380): texlive-datetime2-turkish-svn52331 588 kB/s | 20 kB 00:00 (1222/3380): texlive-datetime2-ukrainian-svn475 499 kB/s | 21 kB 00:00 (1223/3380): texlive-datetime2-usorbian-svn5237 506 kB/s | 21 kB 00:00 (1224/3380): texlive-datetime2-welsh-svn52553-6 413 kB/s | 20 kB 00:00 (1225/3380): texlive-dblfloatfix-svn28983.1.0a- 428 kB/s | 20 kB 00:00 (1226/3380): texlive-dccpaper-svn57522-61.fc38. 1.1 MB/s | 44 kB 00:00 (1227/3380): texlive-dcpic-svn30206.5.0.0-61.fc 827 kB/s | 31 kB 00:00 (1228/3380): texlive-decimal-svn23374.0-61.fc38 454 kB/s | 16 kB 00:00 (1229/3380): texlive-decision-table-svn57094-61 2.9 MB/s | 124 kB 00:00 (1230/3380): texlive-decorule-svn55230-61.fc38. 585 kB/s | 19 kB 00:00 (1231/3380): texlive-dehyph-svn48599-61.fc38.no 1.0 MB/s | 66 kB 00:00 (1232/3380): texlive-dejavu-otf-svn45991-61.fc3 6.5 MB/s | 344 kB 00:00 (1233/3380): texlive-delim-svn23974.1.0-61.fc38 512 kB/s | 17 kB 00:00 (1234/3380): texlive-delimseasy-svn39589-61.fc3 514 kB/s | 22 kB 00:00 (1235/3380): texlive-delimset-svn49544-61.fc38. 7.1 MB/s | 328 kB 00:00 (1236/3380): texlive-delimtxt-svn16549.0-61.fc3 500 kB/s | 17 kB 00:00 (1237/3380): texlive-denisbdoc-svn56664-61.fc38 861 kB/s | 33 kB 00:00 (1238/3380): texlive-derivative-svn55890-61.fc3 4.7 MB/s | 216 kB 00:00 (1239/3380): texlive-dejavu-svn31771.2.34-61.fc 55 MB/s | 11 MB 00:00 (1240/3380): texlive-dhua-svn24035.0.11-61.fc38 627 kB/s | 22 kB 00:00 (1241/3380): texlive-diabetes-logbook-svn54810- 6.8 MB/s | 295 kB 00:00 (1242/3380): texlive-diagmac2-svn15878.2.1-61.f 784 kB/s | 26 kB 00:00 (1243/3380): texlive-diagbox-svn54080-61.fc38.n 540 kB/s | 21 kB 00:00 (1244/3380): texlive-diagnose-svn19387.0.2-61.f 527 kB/s | 17 kB 00:00 (1245/3380): texlive-dice-svn28501.0-61.fc38.no 540 kB/s | 18 kB 00:00 (1246/3380): texlive-dialogl-svn28946.0-61.fc38 933 kB/s | 37 kB 00:00 (1247/3380): texlive-dichokey-svn17192.0-61.fc3 424 kB/s | 14 kB 00:00 (1248/3380): texlive-dictsym-svn20031.0-61.fc38 1.1 MB/s | 48 kB 00:00 (1249/3380): texlive-digiconfigs-svn15878.0.5-6 515 kB/s | 17 kB 00:00 (1250/3380): texlive-diffcoeff-svn53244-61.fc38 11 MB/s | 627 kB 00:00 (1251/3380): texlive-dimnum-svn58774-61.fc38.no 4.5 MB/s | 221 kB 00:00 (1252/3380): texlive-din1505-svn19441.0-61.fc38 696 kB/s | 28 kB 00:00 (1253/3380): texlive-dijkstra-svn55661-61.fc38. 5.9 MB/s | 387 kB 00:00 (1254/3380): texlive-dingbat-svn27918.1.0-61.fc 547 kB/s | 25 kB 00:00 (1255/3380): texlive-directory-svn15878.1.20-61 668 kB/s | 28 kB 00:00 (1256/3380): texlive-dinbrief-svn15878.0-61.fc3 468 kB/s | 28 kB 00:00 (1257/3380): texlive-dirtree-svn42428-61.fc38.n 526 kB/s | 17 kB 00:00 (1258/3380): texlive-dirtytalk-svn20520.1.0-61. 318 kB/s | 13 kB 00:00 (1259/3380): texlive-ditaa-svn48932-61.fc38.noa 3.0 MB/s | 122 kB 00:00 (1260/3380): texlive-dithesis-svn34295.0.2-61.f 582 kB/s | 20 kB 00:00 (1261/3380): texlive-dk-bib-svn15878.0.6-61.fc3 698 kB/s | 27 kB 00:00 (1262/3380): texlive-dlfltxb-svn17337.0-61.fc38 1.1 MB/s | 37 kB 00:00 (1263/3380): texlive-dnaseq-svn17194.0.01-61.fc 505 kB/s | 16 kB 00:00 (1264/3380): texlive-doc-pictex-doc-svn24927.0- 393 kB/s | 13 kB 00:00 (1265/3380): texlive-doclicense-svn58350-61.fc3 6.6 MB/s | 295 kB 00:00 (1266/3380): texlive-docmfp-svn15878.1.2d-61.fc 506 kB/s | 16 kB 00:00 (1267/3380): texlive-docmute-svn25741.1.4-61.fc 565 kB/s | 18 kB 00:00 (1268/3380): texlive-documentation-svn34521.0.1 547 kB/s | 18 kB 00:00 (1269/3380): texlive-doctools-svn34474.0.1-61.f 611 kB/s | 23 kB 00:00 (1270/3380): texlive-docutils-svn56594-61.fc38. 514 kB/s | 21 kB 00:00 (1271/3380): texlive-doi-svn48634-61.fc38.noarc 516 kB/s | 17 kB 00:00 (1272/3380): texlive-doipubmed-svn15878.1.01-61 508 kB/s | 16 kB 00:00 (1273/3380): texlive-dot2texi-svn26237.3.0-61.f 600 kB/s | 20 kB 00:00 (1274/3380): texlive-dotarrow-svn15878.0.01a-61 485 kB/s | 16 kB 00:00 (1275/3380): texlive-domitian-svn55286-61.fc38. 26 MB/s | 1.6 MB 00:00 (1276/3380): texlive-dotlessi-svn51476-61.fc38. 332 kB/s | 13 kB 00:00 (1277/3380): texlive-dotseqn-svn17195.1.1-61.fc 266 kB/s | 13 kB 00:00 (1278/3380): texlive-dottex-svn15878.0.6-61.fc3 571 kB/s | 18 kB 00:00 (1279/3380): texlive-doublestroke-svn15878.1.11 1.9 MB/s | 86 kB 00:00 (1280/3380): texlive-dowith-svn38860-61.fc38.no 563 kB/s | 24 kB 00:00 (1281/3380): texlive-doulossil-svn56407-61.fc38 8.0 MB/s | 518 kB 00:00 (1282/3380): texlive-download-svn52257-61.fc38. 592 kB/s | 19 kB 00:00 (1283/3380): texlive-dox-svn46011-61.fc38.noarc 515 kB/s | 17 kB 00:00 (1284/3380): texlive-dozenal-svn47680-61.fc38.n 3.3 MB/s | 154 kB 00:00 (1285/3380): texlive-dpcircling-svn54994-61.fc3 1.0 MB/s | 44 kB 00:00 (1286/3380): texlive-dpfloat-svn17196.0-61.fc38 410 kB/s | 16 kB 00:00 (1287/3380): texlive-dprogress-svn15878.0.1-61. 422 kB/s | 16 kB 00:00 (1288/3380): texlive-drac-svn15878.1-61.fc38.no 428 kB/s | 16 kB 00:00 (1289/3380): texlive-draftcopy-svn15878.2.16-61 585 kB/s | 19 kB 00:00 (1290/3380): texlive-draftwatermark-svn57099-61 497 kB/s | 21 kB 00:00 (1291/3380): texlive-draftfigure-svn44854-61.fc 3.1 MB/s | 178 kB 00:00 (1292/3380): texlive-dratex-svn15878.0-61.fc38. 1.0 MB/s | 60 kB 00:00 (1293/3380): texlive-drawmatrix-svn44471-61.fc3 394 kB/s | 14 kB 00:00 (1294/3380): texlive-drawstack-svn28582.0-61.fc 601 kB/s | 19 kB 00:00 (1295/3380): texlive-drs-svn19232.1.1b-61.fc38. 488 kB/s | 22 kB 00:00 (1296/3380): texlive-dsserif-svn54512-61.fc38.n 7.4 MB/s | 343 kB 00:00 (1297/3380): texlive-dtk-svn56696-61.fc38.noarc 1.0 MB/s | 37 kB 00:00 (1298/3380): texlive-droid-svn54512-61.fc38.noa 22 MB/s | 3.2 MB 00:00 (1299/3380): texlive-dtxdescribe-svn51652-61.fc 7.2 MB/s | 342 kB 00:00 (1300/3380): texlive-dtxgallery-doc-svn49504-61 7.0 MB/s | 358 kB 00:00 (1301/3380): texlive-ducksay-svn56800-61.fc38.n 10 MB/s | 508 kB 00:00 (1302/3380): texlive-duckuments-svn52271-61.fc3 14 MB/s | 973 kB 00:00 (1303/3380): texlive-duerer-latex-svn15878.1.1- 522 kB/s | 18 kB 00:00 (1304/3380): texlive-duerer-svn20741.0-61.fc38. 887 kB/s | 29 kB 00:00 (1305/3380): texlive-duotenzor-svn18728.1.00-61 692 kB/s | 22 kB 00:00 (1306/3380): texlive-dutchcal-svn54080-61.fc38. 1.2 MB/s | 53 kB 00:00 (1307/3380): texlive-dvdcoll-svn15878.v1.1a-61. 973 kB/s | 40 kB 00:00 (1308/3380): texlive-drm-svn38157.4.4-61.fc38.n 34 MB/s | 13 MB 00:00 (1309/3380): texlive-dvips-20210325-52.fc38.x86 8.6 MB/s | 729 kB 00:00 (1310/3380): texlive-dynamicnumber-svn38726-61. 346 kB/s | 14 kB 00:00 (1311/3380): texlive-dvisvgm-20210325-52.fc38.x 14 MB/s | 1.5 MB 00:00 (1312/3380): texlive-dvipdfmx-20210325-52.fc38. 22 MB/s | 3.0 MB 00:00 (1313/3380): texlive-dynblocks-svn35193.0.2b-61 596 kB/s | 19 kB 00:00 (1314/3380): texlive-dyntree-svn15878.1.0-61.fc 550 kB/s | 23 kB 00:00 (1315/3380): texlive-ean-svn20851.0-61.fc38.noa 578 kB/s | 19 kB 00:00 (1316/3380): texlive-dynkin-diagrams-svn58758-6 12 MB/s | 864 kB 00:00 (1317/3380): texlive-ean13isbn-svn57514-61.fc38 506 kB/s | 16 kB 00:00 (1318/3380): texlive-easy-svn19440.0.99-61.fc38 766 kB/s | 28 kB 00:00 (1319/3380): texlive-easy-todo-svn32677.0-61.fc 510 kB/s | 17 kB 00:00 (1320/3380): texlive-easybook-svn59306-61.fc38. 6.6 MB/s | 327 kB 00:00 (1321/3380): texlive-easyfig-svn56291-61.fc38.n 600 kB/s | 19 kB 00:00 (1322/3380): texlive-easyfloats-svn57204-61.fc3 12 MB/s | 605 kB 00:00 (1323/3380): texlive-easyformat-svn44543-61.fc3 1.8 MB/s | 73 kB 00:00 (1324/3380): texlive-easylist-svn32661.1.3-61.f 431 kB/s | 20 kB 00:00 (1325/3380): texlive-easyreview-svn38352.1.0-61 498 kB/s | 16 kB 00:00 (1326/3380): texlive-ebezier-svn15878.4-61.fc38 573 kB/s | 19 kB 00:00 (1327/3380): texlive-ebgaramond-maths-svn52168- 586 kB/s | 22 kB 00:00 (1328/3380): texlive-ebook-svn29466.0-61.fc38.n 350 kB/s | 13 kB 00:00 (1329/3380): texlive-ebproof-svn57544-61.fc38.n 539 kB/s | 22 kB 00:00 (1330/3380): texlive-ebsthesis-svn15878.1.0-61. 468 kB/s | 21 kB 00:00 (1331/3380): texlive-ecc-svn15878.0-61.fc38.noa 1.6 MB/s | 54 kB 00:00 (1332/3380): texlive-ecclesiastic-svn38172.0.3- 506 kB/s | 20 kB 00:00 (1333/3380): texlive-ec-svn25033.1.0-61.fc38.no 4.8 MB/s | 682 kB 00:00 (1334/3380): texlive-eco-svn29349.1.3-61.fc38.n 4.4 MB/s | 352 kB 00:00 (1335/3380): texlive-ecgdraw-svn41617-61.fc38.n 5.9 MB/s | 724 kB 00:00 (1336/3380): texlive-ecobiblatex-svn39233-61.fc 591 kB/s | 19 kB 00:00 (1337/3380): texlive-econ-bst-svn54191-61.fc38. 13 MB/s | 857 kB 00:00 (1338/3380): texlive-econometrics-svn39396-61.f 533 kB/s | 20 kB 00:00 (1339/3380): texlive-econlipsum-svn58390-61.fc3 8.2 MB/s | 648 kB 00:00 (1340/3380): texlive-ebgaramond-svn54721-61.fc3 28 MB/s | 12 MB 00:00 (1341/3380): texlive-economic-svn32639.0-61.fc3 1.3 MB/s | 56 kB 00:00 (1342/3380): texlive-ecv-svn24928.0.3-61.fc38.n 485 kB/s | 16 kB 00:00 (1343/3380): texlive-ecothesis-svn48007-61.fc38 6.6 MB/s | 356 kB 00:00 (1344/3380): texlive-ed-svn25231.1.8-61.fc38.no 534 kB/s | 17 kB 00:00 (1345/3380): texlive-eczar-svn57716-61.fc38.noa 9.6 MB/s | 506 kB 00:00 (1346/3380): texlive-edichokey-svn56223-61.fc38 3.8 MB/s | 153 kB 00:00 (1347/3380): texlive-edmargin-svn27599.1.2-61.f 592 kB/s | 19 kB 00:00 (1348/3380): texlive-eemeir-svn15878.1.1b-61.fc 510 kB/s | 16 kB 00:00 (1349/3380): texlive-efbox-svn33236.1.0-61.fc38 581 kB/s | 19 kB 00:00 (1350/3380): texlive-eepic-svn15878.1.1e-61.fc3 372 kB/s | 24 kB 00:00 (1351/3380): texlive-egplot-svn20617.1.02a-61.f 597 kB/s | 19 kB 00:00 (1352/3380): texlive-ehhline-svn54676-61.fc38.n 1.1 MB/s | 42 kB 00:00 (1353/3380): texlive-eiad-ltx-svn15878.1.0-61.f 573 kB/s | 19 kB 00:00 (1354/3380): texlive-eiad-svn15878.0-61.fc38.no 1.8 MB/s | 61 kB 00:00 (1355/3380): texlive-ejpecp-svn56728-61.fc38.no 660 kB/s | 22 kB 00:00 (1356/3380): texlive-ekaia-svn49594-61.fc38.noa 569 kB/s | 19 kB 00:00 (1357/3380): texlive-einfart-svn59321-61.fc38.n 8.1 MB/s | 385 kB 00:00 (1358/3380): texlive-elbioimp-svn21758.1.2-61.f 518 kB/s | 17 kB 00:00 (1359/3380): texlive-electrum-svn19705.1.005_b- 8.8 MB/s | 829 kB 00:00 (1360/3380): texlive-ektype-tanka-svn56070-61.f 18 MB/s | 2.1 MB 00:00 (1361/3380): texlive-elegantbook-svn59053-61.fc 21 MB/s | 1.8 MB 00:00 (1362/3380): texlive-elegantnote-svn54758-61.fc 18 MB/s | 1.2 MB 00:00 (1363/3380): texlive-elements-svn52398-61.fc38. 607 kB/s | 28 kB 00:00 (1364/3380): texlive-elegantpaper-svn54758-61.f 13 MB/s | 870 kB 00:00 (1365/3380): texlive-ellipse-svn39025-61.fc38.n 620 kB/s | 20 kB 00:00 (1366/3380): texlive-ellipsis-svn55418-61.fc38. 500 kB/s | 16 kB 00:00 (1367/3380): texlive-elmath-svn15878.v1.2-61.fc 516 kB/s | 17 kB 00:00 (1368/3380): texlive-elocalloc-svn42712-61.fc38 484 kB/s | 16 kB 00:00 (1369/3380): texlive-elpres-svn58015-61.fc38.no 597 kB/s | 19 kB 00:00 (1370/3380): texlive-elteikthesis-svn55928-61.f 661 kB/s | 22 kB 00:00 (1371/3380): texlive-elsarticle-svn56999-61.fc3 936 kB/s | 35 kB 00:00 (1372/3380): texlive-els-cas-templates-svn54317 11 MB/s | 578 kB 00:00 (1373/3380): texlive-elvish-svn15878.0-61.fc38. 681 kB/s | 23 kB 00:00 (1374/3380): texlive-elzcards-svn51894-61.fc38. 684 kB/s | 23 kB 00:00 (1375/3380): texlive-eltex-svn15878.2.0-61.fc38 723 kB/s | 28 kB 00:00 (1376/3380): texlive-embedall-svn51177-61.fc38. 544 kB/s | 18 kB 00:00 (1377/3380): texlive-emarks-svn24504.1.0-61.fc3 585 kB/s | 19 kB 00:00 (1378/3380): texlive-embedfile-svn54865-61.fc38 7.6 MB/s | 403 kB 00:00 (1379/3380): texlive-embrac-svn57814-61.fc38.no 654 kB/s | 21 kB 00:00 (1380/3380): texlive-emf-svn42023-61.fc38.noarc 7.2 MB/s | 329 kB 00:00 (1381/3380): texlive-emisa-svn57013-61.fc38.noa 789 kB/s | 33 kB 00:00 (1382/3380): texlive-emptypage-svn18064.1.2-61. 516 kB/s | 17 kB 00:00 (1383/3380): texlive-emulateapj-svn28469.0-61.f 816 kB/s | 32 kB 00:00 (1384/3380): texlive-endfloat-svn57090-61.fc38. 654 kB/s | 21 kB 00:00 (1385/3380): texlive-enctex-svn34957.0-61.fc38. 1.4 MB/s | 54 kB 00:00 (1386/3380): texlive-endheads-svn43750-61.fc38. 418 kB/s | 17 kB 00:00 (1387/3380): texlive-endiagram-svn34486.0.1d-61 662 kB/s | 26 kB 00:00 (1388/3380): texlive-endnotes-hy-svn54758-61.fc 6.4 MB/s | 313 kB 00:00 (1389/3380): texlive-endnotes-svn53319-61.fc38. 484 kB/s | 21 kB 00:00 (1390/3380): texlive-endofproofwd-svn55643-61.f 528 kB/s | 20 kB 00:00 (1391/3380): texlive-engpron-svn16558.2-61.fc38 465 kB/s | 19 kB 00:00 (1392/3380): texlive-engrec-svn15878.1.1-61.fc3 504 kB/s | 16 kB 00:00 (1393/3380): texlive-engtlc-svn28571.3.2-61.fc3 651 kB/s | 21 kB 00:00 (1394/3380): texlive-enotez-svn57130-61.fc38.no 596 kB/s | 25 kB 00:00 (1395/3380): texlive-enumitem-svn51423-61.fc38. 685 kB/s | 28 kB 00:00 (1396/3380): texlive-enumitem-zref-svn21472.1.8 637 kB/s | 25 kB 00:00 (1397/3380): texlive-envbig-svn15878.0-61.fc38. 486 kB/s | 16 kB 00:00 (1398/3380): texlive-environ-svn56615-61.fc38.n 511 kB/s | 17 kB 00:00 (1399/3380): texlive-envlab-svn15878.1.2-61.fc3 621 kB/s | 20 kB 00:00 (1400/3380): texlive-epigrafica-svn17210.1.01-6 7.8 MB/s | 374 kB 00:00 (1401/3380): texlive-epigraph-svn54857-61.fc38. 569 kB/s | 19 kB 00:00 (1402/3380): texlive-epigraph-keys-svn54851-61. 7.2 MB/s | 322 kB 00:00 (1403/3380): texlive-epsdice-svn15878.2.1-61.fc 626 kB/s | 20 kB 00:00 (1404/3380): texlive-epsf-svn21461.2.7.4-61.fc3 590 kB/s | 19 kB 00:00 (1405/3380): texlive-epiolmec-svn15878.0-61.fc3 2.8 MB/s | 121 kB 00:00 (1406/3380): texlive-epspdfconversion-svn18703. 533 kB/s | 18 kB 00:00 (1407/3380): texlive-epstopdf-20210325-52.fc38. 719 kB/s | 29 kB 00:00 (1408/3380): texlive-epspdf-20210325-52.fc38.no 5.4 MB/s | 284 kB 00:00 (1409/3380): texlive-epstopdf-pkg-svn53546-61.f 7.9 MB/s | 365 kB 00:00 (1410/3380): texlive-eqell-svn22931.0-61.fc38.n 432 kB/s | 17 kB 00:00 (1411/3380): texlive-eq-pin2corr-svn57815-61.fc 13 MB/s | 644 kB 00:00 (1412/3380): texlive-eqexpl-svn54080-61.fc38.no 2.2 MB/s | 99 kB 00:00 (1413/3380): texlive-eqlist-svn32257.2.1-61.fc3 363 kB/s | 16 kB 00:00 (1414/3380): texlive-eqnalign-svn43278-61.fc38. 6.0 MB/s | 299 kB 00:00 (1415/3380): texlive-eqnarray-svn20641.1.3-61.f 765 kB/s | 25 kB 00:00 (1416/3380): texlive-eqname-svn20678.0-61.fc38. 304 kB/s | 12 kB 00:00 (1417/3380): texlive-eqnnumwarn-svn45511-61.fc3 3.2 MB/s | 111 kB 00:00 (1418/3380): texlive-eqparbox-svn45215-61.fc38. 621 kB/s | 20 kB 00:00 (1419/3380): texlive-erdc-svn15878.1.1-61.fc38. 642 kB/s | 21 kB 00:00 (1420/3380): texlive-errata-svn42428-61.fc38.no 492 kB/s | 16 kB 00:00 (1421/3380): texlive-erewhon-math-svn58903-61.f 23 MB/s | 1.7 MB 00:00 (1422/3380): texlive-esami-svn47639-61.fc38.noa 1.1 MB/s | 37 kB 00:00 (1423/3380): texlive-erw-l3-svn55414-61.fc38.no 12 MB/s | 675 kB 00:00 (1424/3380): texlive-erewhon-svn58722-61.fc38.n 27 MB/s | 2.9 MB 00:00 (1425/3380): texlive-esdiff-svn21385.1.2-61.fc3 497 kB/s | 16 kB 00:00 (1426/3380): texlive-esindex-svn52342-61.fc38.n 1.9 MB/s | 81 kB 00:00 (1427/3380): texlive-esint-svn52240-61.fc38.noa 574 kB/s | 19 kB 00:00 (1428/3380): texlive-esint-type1-svn15878.0-61. 1.1 MB/s | 44 kB 00:00 (1429/3380): texlive-esk-svn18115.1.0-61.fc38.n 611 kB/s | 20 kB 00:00 (1430/3380): texlive-eso-pic-svn56658-61.fc38.n 607 kB/s | 20 kB 00:00 (1431/3380): texlive-esrelation-svn37236.0-61.f 1.4 MB/s | 52 kB 00:00 (1432/3380): texlive-estcpmm-svn17335.0.4-61.fc 547 kB/s | 18 kB 00:00 (1433/3380): texlive-esstix-svn22426.1.0-61.fc3 5.3 MB/s | 232 kB 00:00 (1434/3380): texlive-esvect-svn32098.1.3-61.fc3 2.2 MB/s | 91 kB 00:00 (1435/3380): texlive-etaremune-svn15878.v1.2-61 505 kB/s | 16 kB 00:00 (1436/3380): texlive-etex-pkg-svn41784-61.fc38. 531 kB/s | 17 kB 00:00 (1437/3380): texlive-etex-svn56291-61.fc38.noar 890 kB/s | 29 kB 00:00 (1438/3380): texlive-etbb-svn56390-61.fc38.noar 21 MB/s | 1.5 MB 00:00 (1439/3380): texlive-etexcmds-svn53171-61.fc38. 6.5 MB/s | 311 kB 00:00 (1440/3380): texlive-etoc-svn55156-61.fc38.noar 758 kB/s | 28 kB 00:00 (1441/3380): texlive-etextools-svn20694.3.14159 542 kB/s | 26 kB 00:00 (1442/3380): texlive-etoolbox-svn56554-61.fc38. 805 kB/s | 26 kB 00:00 (1443/3380): texlive-etsvthor-svn48186-61.fc38. 462 kB/s | 19 kB 00:00 (1444/3380): texlive-euclideangeometry-svn54897 16 MB/s | 1.0 MB 00:00 (1445/3380): texlive-euenc-svn19795.0.1h-61.fc3 569 kB/s | 23 kB 00:00 (1446/3380): texlive-euflag-svn55265-61.fc38.no 3.0 MB/s | 121 kB 00:00 (1447/3380): texlive-eukdate-svn15878.1.04-61.f 497 kB/s | 16 kB 00:00 (1448/3380): texlive-euler-svn42428-61.fc38.noa 558 kB/s | 18 kB 00:00 (1449/3380): texlive-eulerpx-svn43735-61.fc38.n 550 kB/s | 21 kB 00:00 (1450/3380): texlive-eulervm-svn15878.4.0-61.fc 1.1 MB/s | 41 kB 00:00 (1451/3380): texlive-euro-ce-svn25714-61.fc38.n 752 kB/s | 32 kB 00:00 (1452/3380): texlive-euro-svn22191.1.1-61.fc38. 532 kB/s | 17 kB 00:00 (1453/3380): texlive-europasscv-svn56829-61.fc3 1.7 MB/s | 60 kB 00:00 (1454/3380): texlive-europecv-svn59162-61.fc38. 1.8 MB/s | 66 kB 00:00 (1455/3380): texlive-eurosym-svn17265.1.4_subrf 3.4 MB/s | 157 kB 00:00 (1456/3380): texlive-euxm-svn54074-61.fc38.noar 1.2 MB/s | 39 kB 00:00 (1457/3380): texlive-everyhook-svn35675.1.2-61. 602 kB/s | 19 kB 00:00 (1458/3380): texlive-everypage-svn56694-61.fc38 510 kB/s | 16 kB 00:00 (1459/3380): texlive-everysel-svn57489-61.fc38. 9.3 MB/s | 449 kB 00:00 (1460/3380): texlive-everyshi-svn57001-61.fc38. 3.2 MB/s | 148 kB 00:00 (1461/3380): texlive-exam-n-svn42755-61.fc38.no 634 kB/s | 26 kB 00:00 (1462/3380): texlive-exam-svn58023-61.fc38.noar 2.0 MB/s | 67 kB 00:00 (1463/3380): texlive-exam-randomizechoices-svn4 6.1 MB/s | 292 kB 00:00 (1464/3380): texlive-examdesign-svn15878.1.02-6 823 kB/s | 27 kB 00:00 (1465/3380): texlive-example-svn33398.0-61.fc38 515 kB/s | 21 kB 00:00 (1466/3380): texlive-examplep-svn55265-61.fc38. 1.2 MB/s | 50 kB 00:00 (1467/3380): texlive-exceltex-20210325-52.fc38. 548 kB/s | 22 kB 00:00 (1468/3380): texlive-excludeonly-svn17262.1.0-6 417 kB/s | 14 kB 00:00 (1469/3380): texlive-exercise-svn35417.1.6-61.f 617 kB/s | 25 kB 00:00 (1470/3380): texlive-exercisebank-svn50448-61.f 6.8 MB/s | 329 kB 00:00 (1471/3380): texlive-exercises-svn55188-61.fc38 557 kB/s | 18 kB 00:00 (1472/3380): texlive-exercisepoints-svn49590-61 5.2 MB/s | 241 kB 00:00 (1473/3380): texlive-exesheet-svn55916-61.fc38. 4.3 MB/s | 196 kB 00:00 (1474/3380): texlive-exp-testopt-svn15878.0.3-6 505 kB/s | 16 kB 00:00 (1475/3380): texlive-exframe-svn53911-61.fc38.n 8.2 MB/s | 418 kB 00:00 (1476/3380): texlive-expdlist-svn15878.2.4-61.f 516 kB/s | 17 kB 00:00 (1477/3380): texlive-expkv-svn58853-61.fc38.noa 8.7 MB/s | 445 kB 00:00 (1478/3380): texlive-export-svn27206.1.8-61.fc3 508 kB/s | 21 kB 00:00 (1479/3380): texlive-exsheets-svn52227-61.fc38. 1.0 MB/s | 42 kB 00:00 (1480/3380): texlive-exsol-svn48977-61.fc38.noa 643 kB/s | 21 kB 00:00 (1481/3380): texlive-extarrows-svn54400-61.fc38 607 kB/s | 21 kB 00:00 (1482/3380): texlive-extpfeil-svn16243.0.4-61.f 590 kB/s | 19 kB 00:00 (1483/3380): texlive-extract-svn52117-61.fc38.n 593 kB/s | 19 kB 00:00 (1484/3380): texlive-extsizes-svn17263.1.4a-61. 852 kB/s | 28 kB 00:00 (1485/3380): texlive-facsimile-svn21328.1.0-61. 601 kB/s | 19 kB 00:00 (1486/3380): texlive-factura-svn56948-61.fc38.n 974 kB/s | 45 kB 00:00 (1487/3380): texlive-faktor-svn15878.0.1b-61.fc 493 kB/s | 16 kB 00:00 (1488/3380): texlive-facture-belge-simple-sans- 5.5 MB/s | 288 kB 00:00 (1489/3380): texlive-fancybox-svn18304.1.4-61.f 718 kB/s | 23 kB 00:00 (1490/3380): texlive-fancyhandout-svn46411-61.f 2.2 MB/s | 90 kB 00:00 (1491/3380): texlive-fancyhdr-svn57672-61.fc38. 611 kB/s | 20 kB 00:00 (1492/3380): texlive-fancylabel-svn46736-61.fc3 643 kB/s | 21 kB 00:00 (1493/3380): texlive-fancynum-svn15878.0.92-61. 353 kB/s | 13 kB 00:00 (1494/3380): texlive-fancypar-svn58895-61.fc38. 617 kB/s | 20 kB 00:00 (1495/3380): texlive-fancyref-svn15878.0.9c-61. 600 kB/s | 20 kB 00:00 (1496/3380): texlive-fancyslides-svn36263.1.0-6 600 kB/s | 19 kB 00:00 (1497/3380): texlive-fancytabs-svn41549-61.fc38 576 kB/s | 19 kB 00:00 (1498/3380): texlive-fancytooltips-svn56291-61. 741 kB/s | 25 kB 00:00 (1499/3380): texlive-fancyvrb-svn57488-61.fc38. 822 kB/s | 27 kB 00:00 (1500/3380): texlive-fast-diagram-svn29264.1.1- 429 kB/s | 22 kB 00:00 (1501/3380): texlive-fascicules-svn54080-61.fc3 24 MB/s | 2.0 MB 00:00 (1502/3380): texlive-fbithesis-svn21340.1.2m-61 524 kB/s | 18 kB 00:00 (1503/3380): texlive-fbb-svn55728-61.fc38.noarc 23 MB/s | 1.4 MB 00:00 (1504/3380): texlive-fbs-svn15878.0-61.fc38.noa 605 kB/s | 20 kB 00:00 (1505/3380): texlive-fbox-svn55627-61.fc38.noar 1.4 MB/s | 64 kB 00:00 (1506/3380): texlive-fcavtex-svn38074.1.1-61.fc 963 kB/s | 34 kB 00:00 (1507/3380): texlive-fcltxdoc-svn24500.1.0-61.f 790 kB/s | 29 kB 00:00 (1508/3380): texlive-fcolumn-svn57428-61.fc38.n 607 kB/s | 20 kB 00:00 (1509/3380): texlive-fdsymbol-svn26722.0.8-61.f 16 MB/s | 966 kB 00:00 (1510/3380): texlive-fei-svn55960-61.fc38.noarc 749 kB/s | 24 kB 00:00 (1511/3380): texlive-fetchcls-svn45245-61.fc38. 3.0 MB/s | 139 kB 00:00 (1512/3380): texlive-fetamont-svn43812-61.fc38. 32 MB/s | 3.7 MB 00:00 (1513/3380): texlive-fewerfloatpages-svn58058-6 12 MB/s | 887 kB 00:00 (1514/3380): texlive-fandol-svn37889.0.3-61.fc3 51 MB/s | 22 MB 00:00 (1515/3380): texlive-feyn-svn55777-61.fc38.noar 998 kB/s | 41 kB 00:00 (1516/3380): texlive-feynmf-svn17259.1.08-61.fc 1.0 MB/s | 36 kB 00:00 (1517/3380): texlive-ffslides-svn38895-61.fc38. 616 kB/s | 22 kB 00:00 (1518/3380): texlive-fge-svn37628.1.25-61.fc38. 1.2 MB/s | 55 kB 00:00 (1519/3380): texlive-fgruler-svn56854-61.fc38.n 9.2 MB/s | 429 kB 00:00 (1520/3380): texlive-fibeamer-svn53146-61.fc38. 9.5 MB/s | 445 kB 00:00 (1521/3380): texlive-fifo-stack-svn33288.1.0-61 514 kB/s | 17 kB 00:00 (1522/3380): texlive-fig4latex-20210325-52.fc38 1.8 MB/s | 75 kB 00:00 (1523/3380): texlive-figbib-svn19388.0-61.fc38. 565 kB/s | 18 kB 00:00 (1524/3380): texlive-figsize-svn18784.0.1-61.fc 503 kB/s | 16 kB 00:00 (1525/3380): texlive-figchild-svn58964-61.fc38. 14 MB/s | 677 kB 00:00 (1526/3380): texlive-filecontents-svn52142-61.f 523 kB/s | 17 kB 00:00 (1527/3380): texlive-filecontentsdef-svn52208-6 3.6 MB/s | 146 kB 00:00 (1528/3380): texlive-filedate-svn29529.0-61.fc3 532 kB/s | 21 kB 00:00 (1529/3380): texlive-filehook-svn56479-61.fc38. 718 kB/s | 23 kB 00:00 (1530/3380): texlive-fileinfo-svn28421.0.81a-61 612 kB/s | 24 kB 00:00 (1531/3380): texlive-fink-svn24329.2.2.1-61.fc3 501 kB/s | 17 kB 00:00 (1532/3380): texlive-filemod-svn56291-61.fc38.n 558 kB/s | 22 kB 00:00 (1533/3380): texlive-finstrut-svn21719.0.5-61.f 477 kB/s | 21 kB 00:00 (1534/3380): texlive-firamath-otf-svn50732-61.f 3.0 MB/s | 140 kB 00:00 (1535/3380): texlive-firamath-svn56672-61.fc38. 12 MB/s | 656 kB 00:00 (1536/3380): texlive-firstaid-svn58440-61.fc38. 4.2 MB/s | 219 kB 00:00 (1537/3380): texlive-fitbox-svn50088-61.fc38.no 283 kB/s | 19 kB 00:00 (1538/3380): texlive-fithesis-svn54483-61.fc38. 7.7 MB/s | 683 kB 00:00 (1539/3380): texlive-fix2col-svn38770-61.fc38.n 390 kB/s | 17 kB 00:00 (1540/3380): texlive-fixcmex-svn51825-61.fc38.n 531 kB/s | 19 kB 00:00 (1541/3380): texlive-fixfoot-svn17131.0.3a-61.f 536 kB/s | 18 kB 00:00 (1542/3380): texlive-fixltxhyph-svn25832.0.4-61 400 kB/s | 19 kB 00:00 (1543/3380): texlive-fixme-svn49591-61.fc38.noa 599 kB/s | 31 kB 00:00 (1544/3380): texlive-fixmetodonotes-svn30168.0. 350 kB/s | 13 kB 00:00 (1545/3380): texlive-fira-svn55437-61.fc38.noar 47 MB/s | 16 MB 00:00 (1546/3380): texlive-fjodor-svn53207-61.fc38.no 367 kB/s | 17 kB 00:00 (1547/3380): texlive-flabels-svn17272.1.0-61.fc 506 kB/s | 17 kB 00:00 (1548/3380): texlive-flacards-svn19440.0.1.1b-6 556 kB/s | 18 kB 00:00 (1549/3380): texlive-flashcards-svn19667.1.0.1- 606 kB/s | 20 kB 00:00 (1550/3380): texlive-flagderiv-svn15878.0.10-61 545 kB/s | 18 kB 00:00 (1551/3380): texlive-flipbook-svn25584.0.2-61.f 489 kB/s | 17 kB 00:00 (1552/3380): texlive-flashmovie-svn25768.0.4-61 948 kB/s | 37 kB 00:00 (1553/3380): texlive-flippdf-svn56782-61.fc38.n 377 kB/s | 16 kB 00:00 (1554/3380): texlive-float-svn15878.1.3d-61.fc3 522 kB/s | 17 kB 00:00 (1555/3380): texlive-floatflt-svn25540.1.31-61. 346 kB/s | 14 kB 00:00 (1556/3380): texlive-floatrow-svn15878.0.3b-61. 902 kB/s | 31 kB 00:00 (1557/3380): texlive-flowchart-svn36572.3.3-61. 595 kB/s | 19 kB 00:00 (1558/3380): texlive-fltpoint-svn56594-61.fc38. 322 kB/s | 15 kB 00:00 (1559/3380): texlive-flowfram-svn35291.1.17-61. 808 kB/s | 42 kB 00:00 (1560/3380): texlive-fmp-svn15878.0-61.fc38.noa 498 kB/s | 16 kB 00:00 (1561/3380): texlive-fn2end-svn15878.1.1-61.fc3 398 kB/s | 13 kB 00:00 (1562/3380): texlive-fmtcount-svn53912-61.fc38. 1.3 MB/s | 52 kB 00:00 (1563/3380): texlive-fnbreak-svn25003.1.30-61.f 501 kB/s | 16 kB 00:00 (1564/3380): texlive-fncychap-svn20710.v1.34-61 684 kB/s | 22 kB 00:00 (1565/3380): texlive-fncylab-svn52090-61.fc38.n 499 kB/s | 16 kB 00:00 (1566/3380): texlive-fnpara-svn25607.0-61.fc38. 487 kB/s | 20 kB 00:00 (1567/3380): texlive-fnpct-svn57508-61.fc38.noa 717 kB/s | 27 kB 00:00 (1568/3380): texlive-fnumprint-svn29173.1.1a-61 585 kB/s | 19 kB 00:00 (1569/3380): texlive-fnspe-svn45360-61.fc38.noa 3.7 MB/s | 186 kB 00:00 (1570/3380): texlive-foilhtml-svn21855.1.2-61.f 561 kB/s | 18 kB 00:00 (1571/3380): texlive-foekfont-svn15878.0-61.fc3 616 kB/s | 26 kB 00:00 (1572/3380): texlive-foliono-svn58877-61.fc38.n 5.8 MB/s | 244 kB 00:00 (1573/3380): texlive-fonetika-svn21326.0-61.fc3 2.5 MB/s | 84 kB 00:00 (1574/3380): texlive-fontawesome-svn48145-61.fc 6.1 MB/s | 298 kB 00:00 (1575/3380): texlive-fontaxes-svn55920-61.fc38. 553 kB/s | 21 kB 00:00 (1576/3380): texlive-fontawesome5-svn54517-61.f 23 MB/s | 1.6 MB 00:00 (1577/3380): texlive-fontmfizz-svn43546-61.fc38 1.4 MB/s | 54 kB 00:00 (1578/3380): texlive-fonts-churchslavonic-svn56 22 MB/s | 1.7 MB 00:00 (1579/3380): texlive-fontsetup-svn59079-61.fc38 7.6 MB/s | 481 kB 00:00 (1580/3380): texlive-fontspec-svn56594-61.fc38. 1.0 MB/s | 45 kB 00:00 (1581/3380): texlive-fonts-tlwg-svn54994-61.fc3 39 MB/s | 5.0 MB 00:00 (1582/3380): texlive-fontsize-svn58906-61.fc38. 6.6 MB/s | 411 kB 00:00 (1583/3380): texlive-fonttable-svn44799-61.fc38 572 kB/s | 23 kB 00:00 (1584/3380): texlive-footmisc-svn23330.5.5b-61. 519 kB/s | 23 kB 00:00 (1585/3380): texlive-footbib-svn17115.2.0.7-61. 438 kB/s | 21 kB 00:00 (1586/3380): texlive-footmisx-svn42621-61.fc38. 571 kB/s | 24 kB 00:00 (1587/3380): texlive-footnotebackref-svn27034.1 521 kB/s | 19 kB 00:00 (1588/3380): texlive-footnotehyper-svn57618-61. 518 kB/s | 21 kB 00:00 (1589/3380): texlive-footnoterange-svn52910-61. 570 kB/s | 20 kB 00:00 (1590/3380): texlive-footnpag-svn15878.0-61.fc3 650 kB/s | 23 kB 00:00 (1591/3380): texlive-forarray-svn15878.1.01-61. 464 kB/s | 20 kB 00:00 (1592/3380): texlive-foreign-svn27819.2.7-61.fc 467 kB/s | 19 kB 00:00 (1593/3380): texlive-forest-svn57398-61.fc38.no 2.1 MB/s | 74 kB 00:00 (1594/3380): texlive-forloop-svn15878.3.0-61.fc 588 kB/s | 21 kB 00:00 (1595/3380): texlive-formlett-svn21480.2.3-61.f 611 kB/s | 27 kB 00:00 (1596/3380): texlive-formular-svn15878.1.0a-61. 536 kB/s | 18 kB 00:00 (1597/3380): texlive-forms16be-svn51305-61.fc38 22 MB/s | 1.4 MB 00:00 (1598/3380): texlive-forum-svn56025-61.fc38.noa 14 MB/s | 747 kB 00:00 (1599/3380): texlive-fouridx-svn32214.2.00-61.f 399 kB/s | 16 kB 00:00 (1600/3380): texlive-fourier-svn54090-61.fc38.n 8.6 MB/s | 376 kB 00:00 (1601/3380): texlive-fouriernc-svn29646.0-61.fc 974 kB/s | 37 kB 00:00 (1602/3380): texlive-fp-svn49719-61.fc38.noarch 792 kB/s | 36 kB 00:00 (1603/3380): texlive-fragments-svn15878.0-61.fc 433 kB/s | 14 kB 00:00 (1604/3380): texlive-fpl-svn54512-61.fc38.noarc 5.3 MB/s | 303 kB 00:00 (1605/3380): texlive-frame-svn18312.1.0-61.fc38 384 kB/s | 16 kB 00:00 (1606/3380): texlive-framed-svn26789.0.96-61.fc 451 kB/s | 19 kB 00:00 (1607/3380): texlive-francais-bst-svn38922-61.f 634 kB/s | 26 kB 00:00 (1608/3380): texlive-frankenstein-svn15878.0-61 3.6 MB/s | 159 kB 00:00 (1609/3380): texlive-frederika2016-svn42157-61. 8.0 MB/s | 382 kB 00:00 (1610/3380): texlive-frege-svn27417.1.3-61.fc38 438 kB/s | 20 kB 00:00 (1611/3380): texlive-frcursive-svn24559.0-61.fc 23 MB/s | 1.7 MB 00:00 (1612/3380): texlive-frimurer-svn56704-61.fc38. 4.4 MB/s | 215 kB 00:00 (1613/3380): texlive-froufrou-svn59103-61.fc38. 1.9 MB/s | 85 kB 00:00 (1614/3380): texlive-ftcap-svn17275.1.4-61.fc38 512 kB/s | 18 kB 00:00 (1615/3380): texlive-ftnxtra-svn29652.0.1-61.fc 506 kB/s | 16 kB 00:00 (1616/3380): texlive-fullblck-svn25434.1.03-61. 425 kB/s | 16 kB 00:00 (1617/3380): texlive-fullminipage-svn34545.0.1. 750 kB/s | 24 kB 00:00 (1618/3380): texlive-ftc-notebook-svn50043-61.f 34 MB/s | 3.5 MB 00:00 (1619/3380): texlive-fullwidth-svn24684.0.1-61. 499 kB/s | 18 kB 00:00 (1620/3380): texlive-functan-svn15878.0-61.fc38 496 kB/s | 17 kB 00:00 (1621/3380): texlive-fundus-calligra-svn26018.1 313 kB/s | 13 kB 00:00 (1622/3380): texlive-fundus-sueterlin-svn26030. 582 kB/s | 19 kB 00:00 (1623/3380): texlive-fundus-cyr-svn26019.0-61.f 498 kB/s | 19 kB 00:00 (1624/3380): texlive-fwlw-svn29803.0-61.fc38.no 382 kB/s | 14 kB 00:00 (1625/3380): texlive-fvextra-svn49947-61.fc38.n 14 MB/s | 822 kB 00:00 (1626/3380): texlive-g-brief-svn50415-61.fc38.n 543 kB/s | 20 kB 00:00 (1627/3380): texlive-galois-svn15878.1.5-61.fc3 517 kB/s | 17 kB 00:00 (1628/3380): texlive-gaceta-svn15878.1.06-61.fc 788 kB/s | 32 kB 00:00 (1629/3380): texlive-gammas-svn56403-61.fc38.no 665 kB/s | 30 kB 00:00 (1630/3380): texlive-garuda-c90-svn37677.0-61.f 392 kB/s | 16 kB 00:00 (1631/3380): texlive-garamond-math-svn52820-61. 11 MB/s | 707 kB 00:00 (1632/3380): texlive-gastex-svn58505-61.fc38.no 815 kB/s | 33 kB 00:00 (1633/3380): texlive-gatech-thesis-svn19886.1.8 1.2 MB/s | 50 kB 00:00 (1634/3380): texlive-garamond-libre-svn55166-61 48 MB/s | 7.3 MB 00:00 (1635/3380): texlive-gatherenum-svn52209-61.fc3 7.5 MB/s | 407 kB 00:00 (1636/3380): texlive-gauss-svn32934.0-61.fc38.n 700 kB/s | 31 kB 00:00 (1637/3380): texlive-gcard-svn15878.0-61.fc38.n 506 kB/s | 16 kB 00:00 (1638/3380): texlive-gbt7714-svn57157-61.fc38.n 7.0 MB/s | 369 kB 00:00 (1639/3380): texlive-gb4e-svn19216.0-61.fc38.no 403 kB/s | 23 kB 00:00 (1640/3380): texlive-gcite-svn15878.1.0.1-61.fc 595 kB/s | 19 kB 00:00 (1641/3380): texlive-gender-svn36464.1.0-61.fc3 592 kB/s | 19 kB 00:00 (1642/3380): texlive-gene-logic-svn15878.1.4-61 346 kB/s | 13 kB 00:00 (1643/3380): texlive-genealogy-svn25112.0-61.fc 548 kB/s | 18 kB 00:00 (1644/3380): texlive-genealogytree-svn55978-61. 1.4 MB/s | 59 kB 00:00 (1645/3380): texlive-genmpage-svn15878.0.3.1-61 513 kB/s | 17 kB 00:00 (1646/3380): texlive-geometry-svn54080-61.fc38. 608 kB/s | 24 kB 00:00 (1647/3380): texlive-geschichtsfrkl-svn42121-61 524 kB/s | 20 kB 00:00 (1648/3380): texlive-gentium-tug-svn54512-61.fc 41 MB/s | 3.9 MB 00:00 (1649/3380): texlive-getfiledate-svn16189.1.2-6 473 kB/s | 17 kB 00:00 (1650/3380): texlive-getitems-svn39365-61.fc38. 453 kB/s | 19 kB 00:00 (1651/3380): texlive-gettitlestring-svn53170-61 6.7 MB/s | 328 kB 00:00 (1652/3380): texlive-getmap-20210325-52.fc38.no 23 MB/s | 1.9 MB 00:00 (1653/3380): texlive-gfsartemisia-svn19469.1.0- 13 MB/s | 1.0 MB 00:00 (1654/3380): texlive-gfsbodoni-svn28484.1.01-61 16 MB/s | 961 kB 00:00 (1655/3380): texlive-gfscomplutum-svn19469.1.0- 3.3 MB/s | 137 kB 00:00 (1656/3380): texlive-gfsdidot-svn54080-61.fc38. 25 MB/s | 1.4 MB 00:00 (1657/3380): texlive-gfsdidotclassic-svn52778-6 1.3 MB/s | 44 kB 00:00 (1658/3380): texlive-gfssolomos-svn18651.1.0-61 2.7 MB/s | 117 kB 00:00 (1659/3380): texlive-gfsneohellenic-svn54080-61 15 MB/s | 1.0 MB 00:00 (1660/3380): texlive-gfsneohellenicmath-svn5257 8.0 MB/s | 453 kB 00:00 (1661/3380): texlive-gillcm-svn19878.1.1-61.fc3 934 kB/s | 38 kB 00:00 (1662/3380): texlive-gillius-svn32068.0-61.fc38 20 MB/s | 1.1 MB 00:00 (1663/3380): texlive-gincltex-svn56291-61.fc38. 500 kB/s | 19 kB 00:00 (1664/3380): texlive-ghsystem-svn53822-61.fc38. 22 MB/s | 1.9 MB 00:00 (1665/3380): texlive-gindex-svn52311-61.fc38.no 1.0 MB/s | 46 kB 00:00 (1666/3380): texlive-ginpenc-svn24980.1.0-61.fc 644 kB/s | 23 kB 00:00 (1667/3380): texlive-gitfile-info-svn51928-61.f 11 MB/s | 573 kB 00:00 (1668/3380): texlive-gitinfo-svn34049.1.0-61.fc 515 kB/s | 19 kB 00:00 (1669/3380): texlive-gitinfo2-svn38913-61.fc38. 535 kB/s | 21 kB 00:00 (1670/3380): texlive-gitlog-svn38932-61.fc38.no 492 kB/s | 20 kB 00:00 (1671/3380): texlive-gitver-svn56810-61.fc38.no 3.6 MB/s | 137 kB 00:00 (1672/3380): texlive-globalvals-svn49962-61.fc3 3.7 MB/s | 165 kB 00:00 (1673/3380): texlive-gloss-svn15878.1.5.2-61.fc 619 kB/s | 21 kB 00:00 (1674/3380): texlive-glosmathtools-svn55920-61. 10 MB/s | 537 kB 00:00 (1675/3380): texlive-glossaries-dutch-svn35685. 575 kB/s | 19 kB 00:00 (1676/3380): texlive-glossaries-danish-svn35665 461 kB/s | 19 kB 00:00 (1677/3380): texlive-glossaries-english-svn3566 579 kB/s | 19 kB 00:00 (1678/3380): texlive-glossaries-estonian-svn499 999 kB/s | 41 kB 00:00 (1679/3380): texlive-glossaries-20210325-52.fc3 49 MB/s | 5.8 MB 00:00 (1680/3380): texlive-glossaries-extra-svn54688- 2.3 MB/s | 88 kB 00:00 (1681/3380): texlive-glossaries-finnish-svn5408 3.4 MB/s | 154 kB 00:00 (1682/3380): texlive-glossaries-french-svn42873 583 kB/s | 19 kB 00:00 (1683/3380): texlive-glossaries-german-svn35665 585 kB/s | 19 kB 00:00 (1684/3380): texlive-glossaries-irish-svn35665. 602 kB/s | 20 kB 00:00 (1685/3380): texlive-glossaries-italian-svn3566 587 kB/s | 19 kB 00:00 (1686/3380): texlive-glossaries-magyar-svn35665 604 kB/s | 20 kB 00:00 (1687/3380): texlive-glossaries-polish-svn35665 563 kB/s | 20 kB 00:00 (1688/3380): texlive-glossaries-nynorsk-svn5518 3.3 MB/s | 139 kB 00:00 (1689/3380): texlive-glossaries-portuges-svn360 613 kB/s | 20 kB 00:00 (1690/3380): texlive-glossaries-serbian-svn3566 603 kB/s | 20 kB 00:00 (1691/3380): texlive-glossaries-slovene-svn5121 3.2 MB/s | 139 kB 00:00 (1692/3380): texlive-glossaries-spanish-svn3566 597 kB/s | 20 kB 00:00 (1693/3380): texlive-glyphlist-20210325-52.fc38 1.1 MB/s | 38 kB 00:00 (1694/3380): texlive-gmdoc-enhance-svn15878.v0. 562 kB/s | 18 kB 00:00 (1695/3380): texlive-gmdoc-svn21292.0.993-61.fc 1.6 MB/s | 61 kB 00:00 (1696/3380): texlive-gmiflink-svn15878.v0.97-61 555 kB/s | 18 kB 00:00 (1697/3380): texlive-gmp-svn21691.1.0-61.fc38.n 653 kB/s | 21 kB 00:00 (1698/3380): texlive-gmutils-svn24287.v0.996-61 1.8 MB/s | 82 kB 00:00 (1699/3380): texlive-gmverb-svn24288.v0.98-61.f 715 kB/s | 31 kB 00:00 (1700/3380): texlive-gnuplottex-svn54758-61.fc3 644 kB/s | 21 kB 00:00 (1701/3380): texlive-gofonts-svn54512-61.fc38.n 23 MB/s | 1.7 MB 00:00 (1702/3380): texlive-gothic-svn49869-61.fc38.no 2.7 MB/s | 167 kB 00:00 (1703/3380): texlive-gnu-freefont-svn29349.0-61 49 MB/s | 7.4 MB 00:00 (1704/3380): texlive-gotoh-svn44764-61.fc38.noa 6.2 MB/s | 296 kB 00:00 (1705/3380): texlive-grabbox-svn51052-61.fc38.n 7.4 MB/s | 362 kB 00:00 (1706/3380): texlive-gradientframe-svn21387.0.2 568 kB/s | 19 kB 00:00 (1707/3380): texlive-gradstudentresume-svn38832 584 kB/s | 19 kB 00:00 (1708/3380): texlive-grafcet-svn22509.1.3.5-61. 567 kB/s | 18 kB 00:00 (1709/3380): texlive-graphbox-svn46360-61.fc38. 593 kB/s | 19 kB 00:00 (1710/3380): texlive-grant-svn56852-61.fc38.noa 2.9 MB/s | 126 kB 00:00 (1711/3380): texlive-graph35-svn47522-61.fc38.n 30 MB/s | 2.1 MB 00:00 (1712/3380): texlive-graphics-cfg-svn41448-61.f 306 kB/s | 13 kB 00:00 (1713/3380): texlive-graphics-def-svn58539-61.f 630 kB/s | 29 kB 00:00 (1714/3380): texlive-graphics-svn56514-61.fc38. 1.2 MB/s | 40 kB 00:00 (1715/3380): texlive-graphicx-psmin-svn56931-61 537 kB/s | 17 kB 00:00 (1716/3380): texlive-graphicxbox-svn32630.1.0-6 493 kB/s | 16 kB 00:00 (1717/3380): texlive-graphviz-svn31517.0.94-61. 585 kB/s | 19 kB 00:00 (1718/3380): texlive-graphpaper-svn58661-61.fc3 8.7 MB/s | 423 kB 00:00 (1719/3380): texlive-graphicxpsd-svn57341-61.fc 6.5 MB/s | 431 kB 00:00 (1720/3380): texlive-grayhints-svn49052-61.fc38 11 MB/s | 503 kB 00:00 (1721/3380): texlive-greek-fontenc-svn56851-61. 1.1 MB/s | 49 kB 00:00 (1722/3380): texlive-greek-inputenc-svn51612-61 547 kB/s | 25 kB 00:00 (1723/3380): texlive-greenpoint-svn15878.0-61.f 550 kB/s | 18 kB 00:00 (1724/3380): texlive-grfext-svn53024-61.fc38.no 8.3 MB/s | 318 kB 00:00 (1725/3380): texlive-grffile-svn52756-61.fc38.n 8.7 MB/s | 361 kB 00:00 (1726/3380): texlive-grfpaste-svn17354.0.2-61.f 539 kB/s | 18 kB 00:00 (1727/3380): texlive-grid-svn15878.1.0-61.fc38. 548 kB/s | 18 kB 00:00 (1728/3380): texlive-grid-system-svn32981.0.3.0 513 kB/s | 17 kB 00:00 (1729/3380): texlive-gridpapers-svn58723-61.fc3 6.3 MB/s | 247 kB 00:00 (1730/3380): texlive-gridset-svn53762-61.fc38.n 524 kB/s | 17 kB 00:00 (1731/3380): texlive-gridslides-svn54512-61.fc3 13 MB/s | 582 kB 00:00 (1732/3380): texlive-grotesq-svn35859.0-61.fc38 1.9 MB/s | 74 kB 00:00 (1733/3380): texlive-grundgesetze-svn58997-61.f 495 kB/s | 20 kB 00:00 (1734/3380): texlive-gsemthesis-svn56291-61.fc3 635 kB/s | 20 kB 00:00 (1735/3380): texlive-gtl-svn49527-61.fc38.noarc 570 kB/s | 18 kB 00:00 (1736/3380): texlive-gsftopk-20210325-52.fc38.x 872 kB/s | 35 kB 00:00 (1737/3380): texlive-gtrlib-largetrees-svn49062 7.1 MB/s | 322 kB 00:00 (1738/3380): texlive-gu-svn15878.0-61.fc38.noar 644 kB/s | 21 kB 00:00 (1739/3380): texlive-gudea-svn57359-61.fc38.noa 5.7 MB/s | 266 kB 00:00 (1740/3380): texlive-guitlogo-svn55741-61.fc38. 473 kB/s | 18 kB 00:00 (1741/3380): texlive-gzt-svn57765-61.fc38.noarc 1.0 MB/s | 53 kB 00:00 (1742/3380): texlive-h2020proposal-svn38428-61. 669 kB/s | 31 kB 00:00 (1743/3380): texlive-hackthefootline-svn46494-6 4.5 MB/s | 199 kB 00:00 (1744/3380): texlive-hacm-svn27671.0.1-61.fc38. 5.3 MB/s | 254 kB 00:00 (1745/3380): texlive-halloweenmath-svn52602-61. 16 MB/s | 887 kB 00:00 (1746/3380): texlive-handin-svn48255-61.fc38.no 10 MB/s | 448 kB 00:00 (1747/3380): texlive-hagenberg-thesis-svn56798- 35 MB/s | 3.8 MB 00:00 (1748/3380): texlive-handout-svn43962-61.fc38.n 526 kB/s | 19 kB 00:00 (1749/3380): texlive-hands-svn13293.0-61.fc38.n 412 kB/s | 15 kB 00:00 (1750/3380): texlive-hang-svn43280-61.fc38.noar 563 kB/s | 18 kB 00:00 (1751/3380): texlive-har2nat-svn54080-61.fc38.n 491 kB/s | 16 kB 00:00 (1752/3380): texlive-hanging-svn15878.1.2b-61.f 451 kB/s | 19 kB 00:00 (1753/3380): texlive-hardwrap-svn21396.0.2-61.f 618 kB/s | 20 kB 00:00 (1754/3380): texlive-harnon-cv-svn26543.1.0-61. 360 kB/s | 14 kB 00:00 (1755/3380): texlive-harpoon-svn21327.1.0-61.fc 270 kB/s | 13 kB 00:00 (1756/3380): texlive-harvard-svn15878.2.0.5-61. 994 kB/s | 32 kB 00:00 (1757/3380): texlive-harveyballs-svn32003.1.1-6 730 kB/s | 24 kB 00:00 (1758/3380): texlive-harvmac-svn15878.0-61.fc38 512 kB/s | 17 kB 00:00 (1759/3380): texlive-hc-svn15878.0-61.fc38.noar 709 kB/s | 25 kB 00:00 (1760/3380): texlive-he-she-svn41359-61.fc38.no 625 kB/s | 20 kB 00:00 (1761/3380): texlive-hecthese-svn56181-61.fc38. 18 MB/s | 882 kB 00:00 (1762/3380): texlive-helmholtz-ellis-ji-notatio 2.4 MB/s | 105 kB 00:00 (1763/3380): texlive-helvetic-svn31835.0-61.fc3 14 MB/s | 659 kB 00:00 (1764/3380): texlive-hep-svn15878.1.0-61.fc38.n 526 kB/s | 17 kB 00:00 (1765/3380): texlive-hepnames-svn35722.2.0-61.f 677 kB/s | 22 kB 00:00 (1766/3380): texlive-hep-paper-svn57045-61.fc38 23 MB/s | 1.3 MB 00:00 (1767/3380): texlive-hepparticles-svn35723.2.0- 598 kB/s | 19 kB 00:00 (1768/3380): texlive-hepthesis-svn46054-61.fc38 677 kB/s | 22 kB 00:00 (1769/3380): texlive-hepunits-svn54758-61.fc38. 511 kB/s | 16 kB 00:00 (1770/3380): texlive-here-svn16135.0-61.fc38.no 297 kB/s | 13 kB 00:00 (1771/3380): texlive-hf-tikz-svn34733.0.3a-61.f 623 kB/s | 21 kB 00:00 (1772/3380): texlive-heuristica-svn51362-61.fc3 21 MB/s | 1.2 MB 00:00 (1773/3380): texlive-hfbright-svn29349.0-61.fc3 18 MB/s | 842 kB 00:00 (1774/3380): texlive-hfoldsty-svn29349.1.15-61. 10 MB/s | 432 kB 00:00 (1775/3380): texlive-hhtensor-svn54080-61.fc38. 486 kB/s | 16 kB 00:00 (1776/3380): texlive-highlightlatex-svn58392-61 5.4 MB/s | 231 kB 00:00 (1777/3380): texlive-histogr-svn15878.1.01-61.f 528 kB/s | 19 kB 00:00 (1778/3380): texlive-hindmadurai-svn57360-61.fc 23 MB/s | 1.3 MB 00:00 (1779/3380): texlive-historische-zeitschrift-sv 626 kB/s | 20 kB 00:00 (1780/3380): texlive-hitec-svn15878.0.0_beta_-6 626 kB/s | 20 kB 00:00 (1781/3380): texlive-hitreport-svn58357-61.fc38 20 MB/s | 1.3 MB 00:00 (1782/3380): texlive-hithesis-svn53362-61.fc38. 12 MB/s | 1.0 MB 00:00 (1783/3380): texlive-hitszbeamer-svn54381-61.fc 15 MB/s | 1.1 MB 00:00 (1784/3380): texlive-hletter-svn30002.4.2-61.fc 560 kB/s | 26 kB 00:00 (1785/3380): texlive-hobby-svn44474-61.fc38.noa 637 kB/s | 27 kB 00:00 (1786/3380): texlive-hobete-svn27036.0-61.fc38. 658 kB/s | 22 kB 00:00 (1787/3380): texlive-hobsub-svn52810-61.fc38.no 2.3 MB/s | 78 kB 00:00 (1788/3380): texlive-hitszthesis-svn55643-61.fc 18 MB/s | 1.6 MB 00:00 (1789/3380): texlive-hpsdiss-svn15878.1.0-61.fc 672 kB/s | 22 kB 00:00 (1790/3380): texlive-hologo-svn53048-61.fc38.no 11 MB/s | 563 kB 00:00 (1791/3380): texlive-hopatch-svn56106-61.fc38.n 6.3 MB/s | 313 kB 00:00 (1792/3380): texlive-hrefhide-svn22255.1.0f-61. 602 kB/s | 20 kB 00:00 (1793/3380): texlive-hu-berlin-bundle-svn57580- 5.2 MB/s | 240 kB 00:00 (1794/3380): texlive-huawei-svn59328-61.fc38.no 12 MB/s | 625 kB 00:00 (1795/3380): texlive-hvfloat-svn59104-61.fc38.n 716 kB/s | 23 kB 00:00 (1796/3380): texlive-hustthesis-svn42547-61.fc3 14 MB/s | 789 kB 00:00 (1797/3380): texlive-hvindex-svn46051-61.fc38.n 499 kB/s | 16 kB 00:00 (1798/3380): texlive-hvqrurl-svn52993-61.fc38.n 2.7 MB/s | 113 kB 00:00 (1799/3380): texlive-hycolor-svn53584-61.fc38.n 8.1 MB/s | 384 kB 00:00 (1800/3380): texlive-hypdestopt-svn56253-61.fc3 7.8 MB/s | 337 kB 00:00 (1801/3380): texlive-hyper-svn17357.4.2d-61.fc3 1.1 MB/s | 39 kB 00:00 (1802/3380): texlive-hypdvips-svn53197-61.fc38. 997 kB/s | 41 kB 00:00 (1803/3380): texlive-hyperbar-svn48147-61.fc38. 10 MB/s | 450 kB 00:00 (1804/3380): texlive-hypernat-svn17358.1.0b-61. 555 kB/s | 18 kB 00:00 (1805/3380): texlive-hyperref-svn58024-61.fc38. 2.8 MB/s | 120 kB 00:00 (1806/3380): texlive-hyperxmp-20210325-52.fc38. 21 MB/s | 1.1 MB 00:00 (1807/3380): texlive-hyph-utf8-svn58619-61.fc38 710 kB/s | 30 kB 00:00 (1808/3380): texlive-hyphen-base-svn58630-61.fc 806 kB/s | 34 kB 00:00 (1809/3380): texlive-hyphen-polish-svn58609-61. 730 kB/s | 27 kB 00:00 (1810/3380): texlive-hyphenat-svn15878.2.3c-61. 475 kB/s | 19 kB 00:00 (1811/3380): texlive-hyphenex-svn57387-61.fc38. 543 kB/s | 20 kB 00:00 (1812/3380): texlive-icite-svn54512-61.fc38.noa 6.0 MB/s | 290 kB 00:00 (1813/3380): texlive-icsv-svn15878.0.2-61.fc38. 400 kB/s | 18 kB 00:00 (1814/3380): texlive-ibarra-svn55820-61.fc38.no 17 MB/s | 1.4 MB 00:00 (1815/3380): texlive-identkey-svn49018-61.fc38. 735 kB/s | 24 kB 00:00 (1816/3380): texlive-idxcmds-svn54554-61.fc38.n 495 kB/s | 20 kB 00:00 (1817/3380): texlive-idxlayout-svn25821.0.4d-61 407 kB/s | 17 kB 00:00 (1818/3380): texlive-ieeepes-svn17359.4.0-61.fc 500 kB/s | 24 kB 00:00 (1819/3380): texlive-ietfbibs-doc-svn41332-61.f 395 kB/s | 16 kB 00:00 (1820/3380): texlive-iffont-svn38823-61.fc38.no 461 kB/s | 18 kB 00:00 (1821/3380): texlive-ifmslide-svn20727.0.47-61. 7.0 MB/s | 288 kB 00:00 (1822/3380): texlive-ifmtarg-svn47544-61.fc38.n 418 kB/s | 15 kB 00:00 (1823/3380): texlive-ifnextok-svn23379.0.3-61.f 688 kB/s | 28 kB 00:00 (1824/3380): texlive-ifoddpage-svn56291-61.fc38 526 kB/s | 19 kB 00:00 (1825/3380): texlive-ifplatform-svn45533-61.fc3 431 kB/s | 17 kB 00:00 (1826/3380): texlive-iftex-svn56594-61.fc38.noa 656 kB/s | 21 kB 00:00 (1827/3380): texlive-ifsym-svn24868.0-61.fc38.n 862 kB/s | 34 kB 00:00 (1828/3380): texlive-ifthenx-svn25819.0.1a-61.f 568 kB/s | 18 kB 00:00 (1829/3380): texlive-ijqc-svn15878.1.2-61.fc38. 627 kB/s | 20 kB 00:00 (1830/3380): texlive-ijmart-svn30958.1.7-61.fc3 552 kB/s | 26 kB 00:00 (1831/3380): texlive-iitem-svn29613.1.0-61.fc38 276 kB/s | 16 kB 00:00 (1832/3380): texlive-ijsra-svn44886-61.fc38.noa 16 MB/s | 706 kB 00:00 (1833/3380): texlive-imac-svn17347.0-61.fc38.no 693 kB/s | 23 kB 00:00 (1834/3380): texlive-image-gallery-svn15878.v1. 418 kB/s | 17 kB 00:00 (1835/3380): texlive-imakeidx-svn42287-61.fc38. 661 kB/s | 22 kB 00:00 (1836/3380): texlive-import-svn54683-61.fc38.no 348 kB/s | 14 kB 00:00 (1837/3380): texlive-imtekda-svn17667.1.7-61.fc 450 kB/s | 19 kB 00:00 (1838/3380): texlive-incgraph-svn36500.1.12-61. 658 kB/s | 22 kB 00:00 (1839/3380): texlive-imfellenglish-svn38547-61. 31 MB/s | 2.9 MB 00:00 (1840/3380): texlive-includernw-svn47557-61.fc3 4.1 MB/s | 173 kB 00:00 (1841/3380): texlive-index-svn24099.4.1beta-61. 714 kB/s | 23 kB 00:00 (1842/3380): texlive-inconsolata-svn54512-61.fc 9.3 MB/s | 366 kB 00:00 (1843/3380): texlive-indextools-svn38931-61.fc3 681 kB/s | 22 kB 00:00 (1844/3380): texlive-infwarerr-svn53023-61.fc38 6.3 MB/s | 296 kB 00:00 (1845/3380): texlive-inkpaper-svn54080-61.fc38. 5.9 MB/s | 297 kB 00:00 (1846/3380): texlive-initials-svn54080-61.fc38. 35 MB/s | 3.0 MB 00:00 (1847/3380): texlive-inline-images-svn54080-61. 3.0 MB/s | 136 kB 00:00 (1848/3380): texlive-inlinebib-svn22018.0-61.fc 664 kB/s | 22 kB 00:00 (1849/3380): texlive-inlinedef-svn15878.1.0-61. 448 kB/s | 18 kB 00:00 (1850/3380): texlive-inputtrc-svn28019.0.3-61.f 624 kB/s | 20 kB 00:00 (1851/3380): texlive-inputenx-svn52986-61.fc38. 14 MB/s | 828 kB 00:00 (1852/3380): texlive-intcalc-svn53168-61.fc38.n 6.5 MB/s | 433 kB 00:00 (1853/3380): texlive-inriafonts-svn54512-61.fc3 26 MB/s | 2.6 MB 00:00 (1854/3380): texlive-interactiveworkbook-svn158 583 kB/s | 20 kB 00:00 (1855/3380): texlive-interval-svn50265-61.fc38. 528 kB/s | 19 kB 00:00 (1856/3380): texlive-interfaces-svn21474.3.1-61 1.1 MB/s | 54 kB 00:00 (1857/3380): texlive-inter-svn58892-61.fc38.noa 39 MB/s | 6.8 MB 00:00 (1858/3380): texlive-intopdf-svn58743-61.fc38.n 6.8 MB/s | 387 kB 00:00 (1859/3380): texlive-inversepath-svn15878.0.2-6 380 kB/s | 19 kB 00:00 (1860/3380): texlive-invoice-class-svn49749-61. 2.9 MB/s | 121 kB 00:00 (1861/3380): texlive-invoice-svn48359-61.fc38.n 557 kB/s | 25 kB 00:00 (1862/3380): texlive-invoice2-svn46364-61.fc38. 10 MB/s | 625 kB 00:00 (1863/3380): texlive-ionumbers-svn33457.0.3.3-6 513 kB/s | 21 kB 00:00 (1864/3380): texlive-iopart-num-svn15878.2.1-61 519 kB/s | 22 kB 00:00 (1865/3380): texlive-iodhbwm-svn57773-61.fc38.n 29 MB/s | 3.0 MB 00:00 (1866/3380): texlive-is-bst-svn52623-61.fc38.no 993 kB/s | 36 kB 00:00 (1867/3380): texlive-iscram-svn45801-61.fc38.no 2.5 MB/s | 283 kB 00:00 (1868/3380): texlive-iso-svn15878.2.4-61.fc38.n 663 kB/s | 30 kB 00:00 (1869/3380): texlive-iso10303-svn15878.1.5-61.f 1.1 MB/s | 73 kB 00:00 (1870/3380): texlive-ipaex-type1-svn47700-61.fc 41 MB/s | 13 MB 00:00 (1871/3380): texlive-isodate-svn16613.2.28-61.f 503 kB/s | 23 kB 00:00 (1872/3380): texlive-isodoc-svn57811-61.fc38.no 665 kB/s | 27 kB 00:00 (1873/3380): texlive-isomath-svn27654.0.6.1-61. 425 kB/s | 19 kB 00:00 (1874/3380): texlive-ipaex-svn52032-61.fc38.noa 36 MB/s | 16 MB 00:00 (1875/3380): texlive-isonums-svn17362.1.0-61.fc 313 kB/s | 17 kB 00:00 (1876/3380): texlive-isopt-svn45509-61.fc38.noa 2.1 MB/s | 79 kB 00:00 (1877/3380): texlive-isorot-svn15878.0-61.fc38. 483 kB/s | 17 kB 00:00 (1878/3380): texlive-isotope-svn23711.v0.3-61.f 344 kB/s | 16 kB 00:00 (1879/3380): texlive-issuulinks-svn25742.1.1-61 437 kB/s | 20 kB 00:00 (1880/3380): texlive-itnumpar-svn15878.1.0-61.f 519 kB/s | 17 kB 00:00 (1881/3380): texlive-iwhdp-svn37552.0.50-61.fc3 839 kB/s | 27 kB 00:00 (1882/3380): texlive-istgame-svn49848-61.fc38.n 15 MB/s | 763 kB 00:00 (1883/3380): texlive-jablantile-svn16364.0-61.f 366 kB/s | 16 kB 00:00 (1884/3380): texlive-jacow-svn50870-61.fc38.noa 571 kB/s | 23 kB 00:00 (1885/3380): texlive-jamtimes-svn20408.1.12-61. 2.1 MB/s | 98 kB 00:00 (1886/3380): texlive-japanese-otf-svn57826-61.f 14 MB/s | 928 kB 00:00 (1887/3380): texlive-iwona-svn19611.0.995b-61.f 34 MB/s | 4.1 MB 00:00 (1888/3380): texlive-japanese-otf-uptex-svn5693 10 MB/s | 500 kB 00:00 (1889/3380): texlive-jbact-svn52717-61.fc38.noa 642 kB/s | 21 kB 00:00 (1890/3380): texlive-jkmath-svn47109-61.fc38.no 3.2 MB/s | 143 kB 00:00 (1891/3380): texlive-jknapltx-svn19440.0-61.fc3 696 kB/s | 27 kB 00:00 (1892/3380): texlive-jlabels-svn24858.0-61.fc38 339 kB/s | 13 kB 00:00 (1893/3380): texlive-jmb-svn52718-61.fc38.noarc 669 kB/s | 22 kB 00:00 (1894/3380): texlive-jneurosci-svn17346.1.00-61 660 kB/s | 21 kB 00:00 (1895/3380): texlive-jmlr-svn56395-61.fc38.noar 1.1 MB/s | 42 kB 00:00 (1896/3380): texlive-jnuexam-svn56867-61.fc38.n 13 MB/s | 609 kB 00:00 (1897/3380): texlive-jpsj-svn15878.1.2.2-61.fc3 665 kB/s | 22 kB 00:00 (1898/3380): texlive-jslectureplanner-svn57095- 848 kB/s | 31 kB 00:00 (1899/3380): texlive-josefin-svn57152-61.fc38.n 22 MB/s | 1.3 MB 00:00 (1900/3380): texlive-jumplines-svn37553.0.2-61. 505 kB/s | 21 kB 00:00 (1901/3380): texlive-jupynotex-svn56715-61.fc38 940 kB/s | 44 kB 00:00 (1902/3380): texlive-junicode-svn53954-61.fc38. 21 MB/s | 1.1 MB 00:00 (1903/3380): texlive-jurabib-svn15878.0.6-61.fc 1.6 MB/s | 75 kB 00:00 (1904/3380): texlive-jvlisting-svn24638.0.7-61. 481 kB/s | 17 kB 00:00 (1905/3380): texlive-kantlipsum-svn51727-61.fc3 1.2 MB/s | 41 kB 00:00 (1906/3380): texlive-kalendarium-svn48744-61.fc 8.6 MB/s | 376 kB 00:00 (1907/3380): texlive-karnaugh-map-svn44131-61.f 5.7 MB/s | 248 kB 00:00 (1908/3380): texlive-karnaugh-svn21338.0-61.fc3 510 kB/s | 19 kB 00:00 (1909/3380): texlive-karnaughmap-svn36989.2.0-6 430 kB/s | 19 kB 00:00 (1910/3380): texlive-kastrup-svn15878.0-61.fc38 407 kB/s | 13 kB 00:00 (1911/3380): texlive-kblocks-svn57617-61.fc38.n 9.0 MB/s | 350 kB 00:00 (1912/3380): texlive-kdgdocs-svn24498.1.0-61.fc 660 kB/s | 21 kB 00:00 (1913/3380): texlive-kerntest-svn15878.1.32-61. 655 kB/s | 28 kB 00:00 (1914/3380): texlive-keycommand-svn18042.3.1415 468 kB/s | 20 kB 00:00 (1915/3380): texlive-keyfloat-svn52160-61.fc38. 16 MB/s | 1.0 MB 00:00 (1916/3380): texlive-keyindex-svn50828-61.fc38. 3.8 MB/s | 160 kB 00:00 (1917/3380): texlive-keyreader-svn28195.0.5b-61 690 kB/s | 26 kB 00:00 (1918/3380): texlive-keystroke-svn17992.v1.6-61 726 kB/s | 24 kB 00:00 (1919/3380): texlive-keyval2e-svn23698.0.0.2-61 632 kB/s | 20 kB 00:00 (1920/3380): texlive-keyvaltable-svn54677-61.fc 593 kB/s | 24 kB 00:00 (1921/3380): texlive-kix-svn21606.0-61.fc38.noa 493 kB/s | 16 kB 00:00 (1922/3380): texlive-kixfont-svn18488.0-61.fc38 321 kB/s | 13 kB 00:00 (1923/3380): texlive-kluwer-svn54074-61.fc38.no 1.8 MB/s | 73 kB 00:00 (1924/3380): texlive-knitting-svn50782-61.fc38. 13 MB/s | 606 kB 00:00 (1925/3380): texlive-knittingpattern-svn17205.0 580 kB/s | 19 kB 00:00 (1926/3380): texlive-knowledge-svn58724-61.fc38 15 MB/s | 787 kB 00:00 (1927/3380): texlive-knuth-lib-svn57963-61.fc38 1.4 MB/s | 53 kB 00:00 (1928/3380): texlive-knuth-local-svn57963-61.fc 1.0 MB/s | 46 kB 00:00 (1929/3380): texlive-koma-moderncvclassic-svn25 668 kB/s | 22 kB 00:00 (1930/3380): texlive-koma-script-sfs-svn26137.1 440 kB/s | 18 kB 00:00 (1931/3380): texlive-komacv-svn57721-61.fc38.no 782 kB/s | 25 kB 00:00 (1932/3380): texlive-komacv-rg-svn49064-61.fc38 9.8 MB/s | 849 kB 00:00 (1933/3380): texlive-kpathsea-20210325-52.fc38. 19 MB/s | 1.1 MB 00:00 (1934/3380): texlive-koma-script-svn58585-61.fc 35 MB/s | 6.0 MB 00:00 (1935/3380): texlive-ksfh_nat-svn24825.1.1-61.f 541 kB/s | 21 kB 00:00 (1936/3380): texlive-kpfonts-svn55643-61.fc38.n 29 MB/s | 2.7 MB 00:00 (1937/3380): texlive-kpfonts-otf-svn59304-61.fc 27 MB/s | 3.2 MB 00:00 (1938/3380): texlive-ksp-thesis-svn39080-61.fc3 670 kB/s | 22 kB 00:00 (1939/3380): texlive-ktv-texdata-svn27369.05.34 475 kB/s | 19 kB 00:00 (1940/3380): texlive-ku-template-svn45935-61.fc 5.1 MB/s | 234 kB 00:00 (1941/3380): texlive-kvdefinekeys-svn53193-61.f 6.1 MB/s | 307 kB 00:00 (1942/3380): texlive-kvmap-svn56361-61.fc38.noa 2.5 MB/s | 111 kB 00:00 (1943/3380): texlive-kurier-svn19612.0.995b-61. 44 MB/s | 4.0 MB 00:00 (1944/3380): texlive-kvsetkeys-svn53166-61.fc38 9.9 MB/s | 381 kB 00:00 (1945/3380): texlive-kvoptions-svn56609-61.fc38 9.9 MB/s | 481 kB 00:00 (1946/3380): texlive-l3backend-svn59118-61.fc38 17 MB/s | 852 kB 00:00 (1947/3380): texlive-l3experimental-svn57789-61 1.3 MB/s | 43 kB 00:00 (1948/3380): texlive-l3build-20210325-52.fc38.n 16 MB/s | 746 kB 00:00 (1949/3380): texlive-l3packages-svn59118-61.fc3 1.2 MB/s | 41 kB 00:00 (1950/3380): texlive-l3kernel-svn59118-61.fc38. 4.7 MB/s | 196 kB 00:00 (1951/3380): texlive-labbook-svn15878.0-61.fc38 520 kB/s | 19 kB 00:00 (1952/3380): texlive-labels-svn15878.13-61.fc38 503 kB/s | 19 kB 00:00 (1953/3380): texlive-labels4easylist-svn51124-6 888 kB/s | 37 kB 00:00 (1954/3380): texlive-labelschanged-svn46040-61. 4.4 MB/s | 202 kB 00:00 (1955/3380): texlive-lambda-lists-svn31402.0-61 494 kB/s | 16 kB 00:00 (1956/3380): texlive-ladder-svn44394-61.fc38.no 4.6 MB/s | 220 kB 00:00 (1957/3380): texlive-langcode-svn27764.0.2-61.f 628 kB/s | 20 kB 00:00 (1958/3380): texlive-langsci-avm-svn55846-61.fc 3.0 MB/s | 130 kB 00:00 (1959/3380): texlive-lapdf-svn23806.1.1-61.fc38 688 kB/s | 28 kB 00:00 (1960/3380): texlive-langsci-svn58820-61.fc38.n 2.0 MB/s | 108 kB 00:00 (1961/3380): texlive-lastpage-svn36680.1.2m-61. 641 kB/s | 21 kB 00:00 (1962/3380): texlive-lastpackage-svn34481.0.1-6 425 kB/s | 18 kB 00:00 (1963/3380): texlive-latex-firstaid-dev-svn5798 4.2 MB/s | 233 kB 00:00 (1964/3380): texlive-latex-fonts-svn28888.0-61. 927 kB/s | 50 kB 00:00 (1965/3380): texlive-latex-make-svn57349-61.fc3 782 kB/s | 40 kB 00:00 (1966/3380): texlive-latex-uni8-svn49729-61.fc3 445 kB/s | 26 kB 00:00 (1967/3380): texlive-latexbug-svn58151-61.fc38. 3.3 MB/s | 203 kB 00:00 (1968/3380): texlive-latexcolors-svn49888-61.fc 4.3 MB/s | 389 kB 00:00 (1969/3380): texlive-latexconfig-svn53525-61.fc 336 kB/s | 16 kB 00:00 (1970/3380): texlive-latex-20210325-52.fc38.noa 44 MB/s | 22 MB 00:00 (1971/3380): texlive-latexdemo-svn55265-61.fc38 309 kB/s | 20 kB 00:00 (1972/3380): texlive-latex-base-dev-svn59080-61 48 MB/s | 26 MB 00:00 (1973/3380): texlive-latexgit-svn54811-61.fc38. 7.2 MB/s | 447 kB 00:00 (1974/3380): texlive-layouts-svn42428-61.fc38.n 858 kB/s | 31 kB 00:00 (1975/3380): texlive-lazylist-svn17691.1.0a-61. 531 kB/s | 18 kB 00:00 (1976/3380): texlive-lcd-svn16549.0.3-61.fc38.n 538 kB/s | 18 kB 00:00 (1977/3380): texlive-lccaps-svn46432-61.fc38.no 3.9 MB/s | 165 kB 00:00 (1978/3380): texlive-lcg-svn31474.1.3-61.fc38.n 518 kB/s | 17 kB 00:00 (1979/3380): texlive-leading-svn15878.0.3-61.fc 471 kB/s | 16 kB 00:00 (1980/3380): texlive-leaflet-svn56878-61.fc38.n 497 kB/s | 20 kB 00:00 (1981/3380): texlive-lato-svn54512-61.fc38.noar 58 MB/s | 13 MB 00:00 (1982/3380): texlive-lebhart-svn59322-61.fc38.n 7.2 MB/s | 448 kB 00:00 (1983/3380): texlive-lectures-svn53642-61.fc38. 597 kB/s | 19 kB 00:00 (1984/3380): texlive-lectureslides-svn58393-61. 1.4 MB/s | 59 kB 00:00 (1985/3380): texlive-leftidx-svn15878.0-61.fc38 387 kB/s | 15 kB 00:00 (1986/3380): texlive-leftindex-svn56182-61.fc38 2.3 MB/s | 91 kB 00:00 (1987/3380): texlive-leipzig-svn52450-61.fc38.n 714 kB/s | 23 kB 00:00 (1988/3380): texlive-lengthconvert-svn55064-61. 588 kB/s | 19 kB 00:00 (1989/3380): texlive-letltxmacro-svn53022-61.fc 7.7 MB/s | 300 kB 00:00 (1990/3380): texlive-lettre-svn54722-61.fc38.no 1.3 MB/s | 44 kB 00:00 (1991/3380): texlive-lettrine-svn54560-61.fc38. 783 kB/s | 26 kB 00:00 (1992/3380): texlive-letterswitharrows-svn53709 9.2 MB/s | 462 kB 00:00 (1993/3380): texlive-lewis-svn15878.0.1-61.fc38 298 kB/s | 13 kB 00:00 (1994/3380): texlive-lfb-svn15878.1.0-61.fc38.n 1.4 MB/s | 53 kB 00:00 (1995/3380): texlive-lexend-svn57564-61.fc38.no 7.5 MB/s | 374 kB 00:00 (1996/3380): texlive-lhelp-svn23638.2.0-61.fc38 678 kB/s | 22 kB 00:00 (1997/3380): texlive-libertinegc-svn44616-61.fc 2.6 MB/s | 95 kB 00:00 (1998/3380): texlive-lib-20210325-52.fc38.x86_6 7.3 MB/s | 485 kB 00:00 (1999/3380): texlive-libertinus-otf-svn57398-61 8.0 MB/s | 600 kB 00:00 (2000/3380): texlive-libertinus-fonts-svn57948- 26 MB/s | 2.3 MB 00:00 (2001/3380): texlive-libertinus-svn55064-61.fc3 407 kB/s | 14 kB 00:00 (2002/3380): texlive-libertinust1math-svn56861- 14 MB/s | 1.0 MB 00:00 (2003/3380): texlive-libgreek-svn27789.1.0-61.f 344 kB/s | 20 kB 00:00 (2004/3380): texlive-libertine-svn54583-61.fc38 41 MB/s | 14 MB 00:00 (2005/3380): texlive-libertinus-type1-svn57754- 46 MB/s | 10 MB 00:00 (2006/3380): texlive-librebaskerville-svn56018- 9.0 MB/s | 644 kB 00:00 (2007/3380): texlive-librebodoni-svn39375-61.fc 12 MB/s | 615 kB 00:00 (2008/3380): texlive-librecaslon-svn56003-61.fc 10 MB/s | 833 kB 00:00 (2009/3380): texlive-libris-svn19409.1.007-61.f 5.6 MB/s | 279 kB 00:00 (2010/3380): texlive-librefranklin-svn54512-61. 30 MB/s | 3.1 MB 00:00 (2011/3380): texlive-limap-svn44863-61.fc38.noa 441 kB/s | 19 kB 00:00 (2012/3380): texlive-lie-hasse-svn53653-61.fc38 12 MB/s | 588 kB 00:00 (2013/3380): texlive-limecv-svn54329-61.fc38.no 8.9 MB/s | 388 kB 00:00 (2014/3380): texlive-linegoal-svn21523.2.9-61.f 585 kB/s | 19 kB 00:00 (2015/3380): texlive-lineara-svn15878.0-61.fc38 4.1 MB/s | 165 kB 00:00 (2016/3380): texlive-lineno-svn57866-61.fc38.no 2.2 MB/s | 76 kB 00:00 (2017/3380): texlive-linguex-svn30815.4.3-61.fc 507 kB/s | 22 kB 00:00 (2018/3380): texlive-linop-svn41304-61.fc38.noa 557 kB/s | 19 kB 00:00 (2019/3380): texlive-linguisticspro-svn54512-61 22 MB/s | 1.5 MB 00:00 (2020/3380): texlive-lipsum-svn58123-61.fc38.no 1.9 MB/s | 90 kB 00:00 (2021/3380): texlive-lion-msc-svn55415-61.fc38. 22 MB/s | 1.4 MB 00:00 (2022/3380): texlive-lisp-on-tex-svn38722-61.fc 702 kB/s | 27 kB 00:00 (2023/3380): texlive-listbib-20210325-52.fc38.n 8.0 MB/s | 357 kB 00:00 (2024/3380): texlive-listings-svn55265-61.fc38. 4.1 MB/s | 167 kB 00:00 (2025/3380): texlive-listing-svn17373.1.2-61.fc 333 kB/s | 17 kB 00:00 (2026/3380): texlive-listingsutf8-svn53097-61.f 7.0 MB/s | 309 kB 00:00 (2027/3380): texlive-listlbls-svn34893.1.03-61. 496 kB/s | 19 kB 00:00 (2028/3380): texlive-listliketab-svn15878.0-61. 445 kB/s | 16 kB 00:00 (2029/3380): texlive-listofsymbols-svn16134.0.2 379 kB/s | 17 kB 00:00 (2030/3380): texlive-listofitems-svn51923-61.fc 12 MB/s | 807 kB 00:00 (2031/3380): texlive-lkproof-svn20021.3.1-61.fc 310 kB/s | 18 kB 00:00 (2032/3380): texlive-llncsconf-svn55117-61.fc38 3.2 MB/s | 142 kB 00:00 (2033/3380): texlive-lm-math-svn36915.1.959-61. 7.6 MB/s | 448 kB 00:00 (2034/3380): texlive-lmake-svn25552.1.0-61.fc38 256 kB/s | 18 kB 00:00 (2035/3380): texlive-lni-svn58061-61.fc38.noarc 7.6 MB/s | 388 kB 00:00 (2036/3380): texlive-lobster2-svn56019-61.fc38. 11 MB/s | 573 kB 00:00 (2037/3380): texlive-locality-svn20422.0.2-61.f 506 kB/s | 20 kB 00:00 (2038/3380): texlive-logbox-svn24499.1.0-61.fc3 564 kB/s | 19 kB 00:00 (2039/3380): texlive-logical-markup-utils-svn15 581 kB/s | 25 kB 00:00 (2040/3380): texlive-logicproof-svn33254.0-61.f 567 kB/s | 19 kB 00:00 (2041/3380): texlive-logpap-svn15878.0.6-61.fc3 581 kB/s | 21 kB 00:00 (2042/3380): texlive-lm-svn58637-61.fc38.noarch 43 MB/s | 12 MB 00:00 (2043/3380): texlive-logix-svn57457-61.fc38.noa 32 MB/s | 3.3 MB 00:00 (2044/3380): texlive-logreq-svn53003-61.fc38.no 484 kB/s | 21 kB 00:00 (2045/3380): texlive-longdivision-svn55117-61.f 3.7 MB/s | 166 kB 00:00 (2046/3380): texlive-longfbox-svn39028-61.fc38. 934 kB/s | 34 kB 00:00 (2047/3380): texlive-longfigure-svn34302.1.0-61 488 kB/s | 22 kB 00:00 (2048/3380): texlive-longnamefilelist-svn27889. 581 kB/s | 21 kB 00:00 (2049/3380): texlive-loops-svn30704.1.3-61.fc38 721 kB/s | 29 kB 00:00 (2050/3380): texlive-lpform-svn36918.0-61.fc38. 445 kB/s | 16 kB 00:00 (2051/3380): texlive-lpic-svn20843.0.8-61.fc38. 602 kB/s | 23 kB 00:00 (2052/3380): texlive-lplfitch-svn31077.0.9-61.f 492 kB/s | 19 kB 00:00 (2053/3380): texlive-lps-svn21322.0.7-61.fc38.n 424 kB/s | 17 kB 00:00 (2054/3380): texlive-lroundrect-svn39804-61.fc3 469 kB/s | 20 kB 00:00 (2055/3380): texlive-lsc-svn15878.0-61.fc38.noa 887 kB/s | 29 kB 00:00 (2056/3380): texlive-lstaddons-svn56291-61.fc38 549 kB/s | 20 kB 00:00 (2057/3380): texlive-lstbayes-svn48160-61.fc38. 529 kB/s | 20 kB 00:00 (2058/3380): texlive-lstfiracode-svn49503-61.fc 1.8 MB/s | 75 kB 00:00 (2059/3380): texlive-lt3graph-svn45913-61.fc38. 870 kB/s | 28 kB 00:00 (2060/3380): texlive-ltablex-svn34923.1.1-61.fc 526 kB/s | 17 kB 00:00 (2061/3380): texlive-ltabptch-svn17533.1.74d-61 527 kB/s | 19 kB 00:00 (2062/3380): texlive-ltb2bib-svn43746-61.fc38.n 1.4 MB/s | 61 kB 00:00 (2063/3380): texlive-ltxcmds-svn56421-61.fc38.n 9.1 MB/s | 401 kB 00:00 (2064/3380): texlive-ltxdockit-svn21869.1.2d-61 557 kB/s | 22 kB 00:00 (2065/3380): texlive-ltxguidex-svn50992-61.fc38 2.2 MB/s | 87 kB 00:00 (2066/3380): texlive-ltxkeys-svn28332.0.0.3c-61 1.0 MB/s | 40 kB 00:00 (2067/3380): texlive-ltxnew-svn21586.1.3-61.fc3 623 kB/s | 20 kB 00:00 (2068/3380): texlive-ltxmisc-svn21927.0-61.fc38 641 kB/s | 28 kB 00:00 (2069/3380): texlive-ltxtools-svn24897.0.0.1a-6 1.8 MB/s | 70 kB 00:00 (2070/3380): texlive-lua-alt-getopt-svn56414-61 403 kB/s | 13 kB 00:00 (2071/3380): texlive-lua-check-hyphen-svn47527- 388 kB/s | 16 kB 00:00 (2072/3380): texlive-luabibentry-svn55777-61.fc 388 kB/s | 20 kB 00:00 (2073/3380): texlive-lua-physical-svn59138-61.f 14 MB/s | 787 kB 00:00 (2074/3380): texlive-luabidi-svn54512-61.fc38.n 419 kB/s | 20 kB 00:00 (2075/3380): texlive-luacode-svn25193.1.2a-61.f 496 kB/s | 20 kB 00:00 (2076/3380): texlive-luacolor-svn57829-61.fc38. 6.9 MB/s | 339 kB 00:00 (2077/3380): texlive-luainputenc-svn20491.0.973 465 kB/s | 17 kB 00:00 (2078/3380): texlive-lualatex-math-svn56541-61. 643 kB/s | 21 kB 00:00 (2079/3380): texlive-luahbtex-20210325-52.fc38. 25 MB/s | 2.0 MB 00:00 (2080/3380): texlive-lualibs-svn57277-61.fc38.n 2.5 MB/s | 151 kB 00:00 (2081/3380): texlive-luamesh-svn55475-61.fc38.n 3.3 MB/s | 256 kB 00:00 (2082/3380): texlive-luasseq-svn37877.0-61.fc38 670 kB/s | 25 kB 00:00 (2083/3380): texlive-luaotfload-20210325-52.fc3 14 MB/s | 1.2 MB 00:00 (2084/3380): texlive-luatexbase-svn52663-61.fc3 397 kB/s | 17 kB 00:00 (2085/3380): texlive-luatexja-svn58542-61.fc38. 4.9 MB/s | 240 kB 00:00 (2086/3380): texlive-luatodonotes-svn53825-61.f 1.3 MB/s | 43 kB 00:00 (2087/3380): texlive-luatextra-svn20747.1.0.1-6 307 kB/s | 13 kB 00:00 (2088/3380): texlive-luatex-20210325-52.fc38.x8 33 MB/s | 3.8 MB 00:00 (2089/3380): texlive-lxfonts-svn32354.2.0b-61.f 15 MB/s | 950 kB 00:00 (2090/3380): texlive-ly1-svn47848-61.fc38.noarc 1.8 MB/s | 102 kB 00:00 (2091/3380): texlive-lwarp-20210325-52.fc38.noa 34 MB/s | 3.3 MB 00:00 (2092/3380): texlive-magaz-svn24694.0.4-61.fc38 480 kB/s | 16 kB 00:00 (2093/3380): texlive-macroswap-svn31498.1.1-61. 389 kB/s | 17 kB 00:00 (2094/3380): texlive-magra-svn57373-61.fc38.noa 6.3 MB/s | 243 kB 00:00 (2095/3380): texlive-magicnum-svn52983-61.fc38. 7.7 MB/s | 334 kB 00:00 (2096/3380): texlive-mailing-svn15878.0-61.fc38 468 kB/s | 16 kB 00:00 (2097/3380): texlive-mailmerge-svn15878.1.0-61. 135 kB/s | 18 kB 00:00 (2098/3380): texlive-makebarcode-svn15878.1.0-6 140 kB/s | 20 kB 00:00 (2099/3380): texlive-make4ht-20210325-52.fc38.n 1.4 MB/s | 231 kB 00:00 (2100/3380): texlive-makebox-svn15878.0.1-61.fc 417 kB/s | 15 kB 00:00 (2101/3380): texlive-makebase-svn41012-61.fc38. 386 kB/s | 19 kB 00:00 (2102/3380): texlive-makecell-svn15878.0.1e-61. 454 kB/s | 19 kB 00:00 (2103/3380): texlive-makecmds-svn15878.0-61.fc3 45 kB/s | 16 kB 00:00 (2104/3380): texlive-makecirc-svn15878.0-61.fc3 74 kB/s | 27 kB 00:00 (2105/3380): texlive-makecookbook-svn49311-61.f 1.2 MB/s | 476 kB 00:00 (2106/3380): texlive-makedtx-20210325-52.fc38.n 4.0 MB/s | 282 kB 00:00 (2107/3380): texlive-makeglos-svn15878.0-61.fc3 231 kB/s | 17 kB 00:00 (2108/3380): texlive-makeindex-20210325-52.fc38 8.3 MB/s | 435 kB 00:00 (2109/3380): texlive-maker-svn44823-61.fc38.noa 526 kB/s | 22 kB 00:00 (2110/3380): texlive-makerobust-svn52811-61.fc3 2.1 MB/s | 83 kB 00:00 (2111/3380): texlive-makeshape-svn28973.2.1-61. 593 kB/s | 19 kB 00:00 (2112/3380): texlive-mandi-svn49720-61.fc38.noa 648 kB/s | 33 kB 00:00 (2113/3380): texlive-manfnt-svn54684-61.fc38.no 490 kB/s | 16 kB 00:00 (2114/3380): texlive-manfnt-font-svn54684-61.fc 807 kB/s | 37 kB 00:00 (2115/3380): texlive-manuscript-svn36110.1.7-61 501 kB/s | 16 kB 00:00 (2116/3380): texlive-manyind-svn49874-61.fc38.n 4.0 MB/s | 173 kB 00:00 (2117/3380): texlive-marcellus-svn56016-61.fc38 4.7 MB/s | 235 kB 00:00 (2118/3380): texlive-margbib-svn15878.1.0c-61.f 452 kB/s | 17 kB 00:00 (2119/3380): texlive-marginfit-svn48281-61.fc38 5.4 MB/s | 227 kB 00:00 (2120/3380): texlive-marginfix-svn55064-61.fc38 477 kB/s | 18 kB 00:00 (2121/3380): texlive-marginnote-svn48383-61.fc3 427 kB/s | 18 kB 00:00 (2122/3380): texlive-markdown-svn56414-61.fc38. 1.3 MB/s | 52 kB 00:00 (2123/3380): texlive-marvosym-svn29349.2.2a-61. 3.4 MB/s | 147 kB 00:00 (2124/3380): texlive-matc3-svn29845.1.0.1-61.fc 586 kB/s | 19 kB 00:00 (2125/3380): texlive-matc3mem-svn35773.1.1-61.f 613 kB/s | 20 kB 00:00 (2126/3380): texlive-mathabx-svn15878.0-61.fc38 3.4 MB/s | 148 kB 00:00 (2127/3380): texlive-mathalpha-svn52305-61.fc38 554 kB/s | 22 kB 00:00 (2128/3380): texlive-mathabx-type1-svn21129.0-6 23 MB/s | 1.8 MB 00:00 (2129/3380): texlive-mathastext-svn52840-61.fc3 765 kB/s | 34 kB 00:00 (2130/3380): texlive-mathcommand-svn53044-61.fc 9.8 MB/s | 462 kB 00:00 (2131/3380): texlive-mathcomp-svn15878.0.1f-61. 405 kB/s | 16 kB 00:00 (2132/3380): texlive-mathexam-svn15878.1.00-61. 430 kB/s | 16 kB 00:00 (2133/3380): texlive-mathfam256-svn53519-61.fc3 2.5 MB/s | 102 kB 00:00 (2134/3380): texlive-mathdesign-svn31639.2.31-6 30 MB/s | 2.6 MB 00:00 (2135/3380): texlive-mathfixs-svn49547-61.fc38. 6.4 MB/s | 305 kB 00:00 (2136/3380): texlive-mathfont-svn53035-61.fc38. 16 MB/s | 838 kB 00:00 (2137/3380): texlive-mathlig-svn54244-61.fc38.n 291 kB/s | 14 kB 00:00 (2138/3380): texlive-mathpartir-svn39864-61.fc3 523 kB/s | 22 kB 00:00 (2139/3380): texlive-mathpazo-svn52663-61.fc38. 2.4 MB/s | 91 kB 00:00 (2140/3380): texlive-mathpunctspace-svn46754-61 4.5 MB/s | 198 kB 00:00 (2141/3380): texlive-mathspec-svn42773-61.fc38. 643 kB/s | 25 kB 00:00 (2142/3380): texlive-mathtools-svn58856-61.fc38 811 kB/s | 38 kB 00:00 (2143/3380): texlive-matlab-prettifier-svn34323 657 kB/s | 21 kB 00:00 (2144/3380): texlive-mathspic-20210325-52.fc38. 26 MB/s | 2.4 MB 00:00 (2145/3380): texlive-mattens-svn17582.1.3-61.fc 491 kB/s | 17 kB 00:00 (2146/3380): texlive-matrix-skeleton-svn54080-6 3.9 MB/s | 156 kB 00:00 (2147/3380): texlive-maybemath-svn15878.0-61.fc 472 kB/s | 16 kB 00:00 (2148/3380): texlive-mcaption-svn15878.3.0-61.f 477 kB/s | 16 kB 00:00 (2149/3380): texlive-mceinleger-svn15878.0-61.f 392 kB/s | 17 kB 00:00 (2150/3380): texlive-mcite-svn18173.1.6-61.fc38 565 kB/s | 18 kB 00:00 (2151/3380): texlive-mcexam-svn46155-61.fc38.no 6.1 MB/s | 262 kB 00:00 (2152/3380): texlive-mciteplus-svn31648.1.2-61. 1.5 MB/s | 49 kB 00:00 (2153/3380): texlive-mcmthesis-svn57333-61.fc38 664 kB/s | 22 kB 00:00 (2154/3380): texlive-mdputu-svn20298.1.2-61.fc3 966 kB/s | 33 kB 00:00 (2155/3380): texlive-mdframed-svn31075.1.9b-61. 929 kB/s | 37 kB 00:00 (2156/3380): texlive-mdwtools-svn15878.1.05.4-6 794 kB/s | 36 kB 00:00 (2157/3380): texlive-mdsymbol-svn28399.0.5-61.f 16 MB/s | 951 kB 00:00 (2158/3380): texlive-media4svg-svn57528-61.fc38 3.2 MB/s | 150 kB 00:00 (2159/3380): texlive-medstarbeamer-svn38828-61. 380 kB/s | 20 kB 00:00 (2160/3380): texlive-meetingmins-svn31878.1.6-6 368 kB/s | 20 kB 00:00 (2161/3380): texlive-media9-svn58025-61.fc38.no 24 MB/s | 1.8 MB 00:00 (2162/3380): texlive-membranecomputing-svn55918 6.3 MB/s | 287 kB 00:00 (2163/3380): texlive-memexsupp-svn15878.0.1-61. 392 kB/s | 16 kB 00:00 (2164/3380): texlive-memoir-svn58666-61.fc38.no 2.5 MB/s | 94 kB 00:00 (2165/3380): texlive-memory-svn30452.1.2-61.fc3 561 kB/s | 18 kB 00:00 (2166/3380): texlive-memorygraphs-svn49631-61.f 4.7 MB/s | 204 kB 00:00 (2167/3380): texlive-mensa-tex-svn45997-61.fc38 4.2 MB/s | 185 kB 00:00 (2168/3380): texlive-mentis-svn15878.1.5-61.fc3 530 kB/s | 19 kB 00:00 (2169/3380): texlive-menu-svn15878.0.994-61.fc3 321 kB/s | 13 kB 00:00 (2170/3380): texlive-menucard-svn55643-61.fc38. 4.5 MB/s | 189 kB 00:00 (2171/3380): texlive-menukeys-svn57172-61.fc38. 601 kB/s | 22 kB 00:00 (2172/3380): texlive-metafont-20210325-52.fc38. 6.0 MB/s | 264 kB 00:00 (2173/3380): texlive-metalogo-svn18611.0.12-61. 480 kB/s | 17 kB 00:00 (2174/3380): texlive-metalogox-svn49774-61.fc38 5.2 MB/s | 437 kB 00:00 (2175/3380): texlive-merriweather-svn56365-61.f 30 MB/s | 5.9 MB 00:00 (2176/3380): texlive-metanorma-svn55010-61.fc38 2.2 MB/s | 102 kB 00:00 (2177/3380): texlive-metastr-svn56246-61.fc38.n 7.4 MB/s | 343 kB 00:00 (2178/3380): texlive-method-svn17485.2.0b-61.fc 515 kB/s | 17 kB 00:00 (2179/3380): texlive-metre-svn18489.1.0-61.fc38 643 kB/s | 26 kB 00:00 (2180/3380): texlive-mfirstuc-svn45803-61.fc38. 530 kB/s | 22 kB 00:00 (2181/3380): texlive-mflogo-svn42428-61.fc38.no 508 kB/s | 17 kB 00:00 (2182/3380): texlive-mflogo-font-svn54512-61.fc 848 kB/s | 32 kB 00:00 (2183/3380): texlive-mfnfss-svn46036-61.fc38.no 505 kB/s | 18 kB 00:00 (2184/3380): texlive-mftinc-svn15878.1.0a-61.fc 505 kB/s | 18 kB 00:00 (2185/3380): texlive-mfware-20210325-52.fc38.x8 2.3 MB/s | 100 kB 00:00 (2186/3380): texlive-mercatormap-svn56060-61.fc 48 MB/s | 23 MB 00:00 (2187/3380): texlive-mgltex-svn41676-61.fc38.no 15 MB/s | 1.7 MB 00:00 (2188/3380): texlive-mhchem-svn52662-61.fc38.no 1.0 MB/s | 70 kB 00:00 (2189/3380): texlive-mhequ-svn38224.1.7-61.fc38 319 kB/s | 15 kB 00:00 (2190/3380): texlive-mi-solns-svn49651-61.fc38. 7.6 MB/s | 467 kB 00:00 (2191/3380): texlive-miama-svn54512-61.fc38.noa 7.5 MB/s | 559 kB 00:00 (2192/3380): texlive-microtype-svn58394-61.fc38 2.1 MB/s | 72 kB 00:00 (2193/3380): texlive-midpage-svn17484.1.1a-61.f 473 kB/s | 15 kB 00:00 (2194/3380): texlive-miller-svn18789.1.2-61.fc3 472 kB/s | 16 kB 00:00 (2195/3380): texlive-mindflow-svn59346-61.fc38. 5.7 MB/s | 208 kB 00:00 (2196/3380): texlive-minibox-svn30914.0.2a-61.f 348 kB/s | 16 kB 00:00 (2197/3380): texlive-milsymb-svn54361-61.fc38.n 20 MB/s | 1.5 MB 00:00 (2198/3380): texlive-minidocument-svn43752-61.f 5.1 MB/s | 201 kB 00:00 (2199/3380): texlive-minimalist-svn59317-61.fc3 3.1 MB/s | 106 kB 00:00 (2200/3380): texlive-minifp-svn32559.0.96-61.fc 694 kB/s | 28 kB 00:00 (2201/3380): texlive-minipage-marginpar-svn1587 511 kB/s | 17 kB 00:00 (2202/3380): texlive-miniplot-svn17483.0-61.fc3 546 kB/s | 21 kB 00:00 (2203/3380): texlive-minorrevision-svn32165.1.1 533 kB/s | 18 kB 00:00 (2204/3380): texlive-minitoc-svn48196-61.fc38.n 2.9 MB/s | 122 kB 00:00 (2205/3380): texlive-minted-svn44855-61.fc38.no 829 kB/s | 27 kB 00:00 (2206/3380): texlive-minutes-svn42186-61.fc38.n 506 kB/s | 20 kB 00:00 (2207/3380): texlive-mintspirit-svn32069.0-61.f 20 MB/s | 1.3 MB 00:00 (2208/3380): texlive-mismath-svn56949-61.fc38.n 12 MB/s | 535 kB 00:00 (2209/3380): texlive-mkpic-20210325-52.fc38.noa 4.8 MB/s | 215 kB 00:00 (2210/3380): texlive-mla-paper-svn54080-61.fc38 363 kB/s | 17 kB 00:00 (2211/3380): texlive-mleftright-svn53021-61.fc3 6.4 MB/s | 318 kB 00:00 (2212/3380): texlive-mlist-svn15878.0.6a-61.fc3 440 kB/s | 18 kB 00:00 (2213/3380): texlive-mlacls-svn56878-61.fc38.no 25 MB/s | 2.9 MB 00:00 (2214/3380): texlive-missaali-svn58771-61.fc38. 54 MB/s | 14 MB 00:00 (2215/3380): texlive-mluexercise-svn56927-61.fc 6.4 MB/s | 460 kB 00:00 (2216/3380): texlive-mmap-svn15878.1.03-61.fc38 1.4 MB/s | 50 kB 00:00 (2217/3380): texlive-mnotes-svn35521.0.8-61.fc3 576 kB/s | 19 kB 00:00 (2218/3380): texlive-mlmodern-svn57458-61.fc38. 51 MB/s | 7.5 MB 00:00 (2219/3380): texlive-mnras-svn55729-61.fc38.noa 1.0 MB/s | 43 kB 00:00 (2220/3380): texlive-modeles-factures-belges-as 5.8 MB/s | 273 kB 00:00 (2221/3380): texlive-moderncv-svn57496-61.fc38. 1.8 MB/s | 61 kB 00:00 (2222/3380): texlive-mnsymbol-svn18651.1.4-61.f 41 MB/s | 4.4 MB 00:00 (2223/3380): texlive-moderntimeline-svn55518-61 568 kB/s | 20 kB 00:00 (2224/3380): texlive-modernposter-svn47269-61.f 8.4 MB/s | 394 kB 00:00 (2225/3380): texlive-modiagram-svn56886-61.fc38 641 kB/s | 26 kB 00:00 (2226/3380): texlive-modes-svn56303-61.fc38.noa 7.1 MB/s | 306 kB 00:00 (2227/3380): texlive-modref-svn15878.1.0-61.fc3 411 kB/s | 17 kB 00:00 (2228/3380): texlive-modroman-svn29803.1-61.fc3 427 kB/s | 17 kB 00:00 (2229/3380): texlive-modular-svn44142-61.fc38.n 3.5 MB/s | 161 kB 00:00 (2230/3380): texlive-monofill-svn28140.0.2-61.f 474 kB/s | 21 kB 00:00 (2231/3380): texlive-moodle-svn57683-61.fc38.no 937 kB/s | 46 kB 00:00 (2232/3380): texlive-moreenum-svn24479.1.03-61. 475 kB/s | 20 kB 00:00 (2233/3380): texlive-morehype-svn38815-61.fc38. 1.3 MB/s | 81 kB 00:00 (2234/3380): texlive-moresize-svn17513.1.9-61.f 492 kB/s | 16 kB 00:00 (2235/3380): texlive-morefloats-svn37927.1.0h-6 234 kB/s | 22 kB 00:00 (2236/3380): texlive-moreverb-svn22126.2.3a-61. 470 kB/s | 17 kB 00:00 (2237/3380): texlive-morewrites-svn49531-61.fc3 396 kB/s | 23 kB 00:00 (2238/3380): texlive-mparhack-svn59066-61.fc38. 438 kB/s | 18 kB 00:00 (2239/3380): texlive-montserrat-svn54512-61.fc3 48 MB/s | 14 MB 00:00 (2240/3380): texlive-mpostinl-svn49559-61.fc38. 4.6 MB/s | 342 kB 00:00 (2241/3380): texlive-ms-svn57473-61.fc38.noarch 539 kB/s | 18 kB 00:00 (2242/3380): texlive-mptopdf-20210325-52.fc38.n 1.2 MB/s | 52 kB 00:00 (2243/3380): texlive-msc-svn15878.1.16-61.fc38. 835 kB/s | 33 kB 00:00 (2244/3380): texlive-msg-svn49578-61.fc38.noarc 704 kB/s | 23 kB 00:00 (2245/3380): texlive-mpfonts-svn54512-61.fc38.n 33 MB/s | 6.0 MB 00:00 (2246/3380): texlive-mslapa-svn54080-61.fc38.no 509 kB/s | 20 kB 00:00 (2247/3380): texlive-msu-thesis-svn59146-61.fc3 603 kB/s | 26 kB 00:00 (2248/3380): texlive-mtgreek-svn17967.1.1+-61.f 444 kB/s | 16 kB 00:00 (2249/3380): texlive-mugsthesis-svn34878.0-61.f 438 kB/s | 20 kB 00:00 (2250/3380): texlive-mucproc-svn43445-61.fc38.n 4.9 MB/s | 347 kB 00:00 (2251/3380): texlive-muling-svn56991-61.fc38.no 5.7 MB/s | 398 kB 00:00 (2252/3380): texlive-multenum-svn21775.0-61.fc3 406 kB/s | 16 kB 00:00 (2253/3380): texlive-multiaudience-svn38035.1.0 492 kB/s | 19 kB 00:00 (2254/3380): texlive-multibbl-svn15878.v1.1-61. 508 kB/s | 16 kB 00:00 (2255/3380): texlive-multibib-svn15878.1.4-61.f 979 kB/s | 32 kB 00:00 (2256/3380): texlive-multibibliography-20210325 9.9 MB/s | 466 kB 00:00 (2257/3380): texlive-multicap-svn15878.0-61.fc3 352 kB/s | 16 kB 00:00 (2258/3380): texlive-multicolrule-svn56366-61.f 11 MB/s | 586 kB 00:00 (2259/3380): texlive-multidef-svn40637-61.fc38. 431 kB/s | 20 kB 00:00 (2260/3380): texlive-multido-svn18302.1.42-61.f 425 kB/s | 18 kB 00:00 (2261/3380): texlive-multienv-svn56291-61.fc38. 516 kB/s | 19 kB 00:00 (2262/3380): texlive-multiexpand-svn45943-61.fc 517 kB/s | 19 kB 00:00 (2263/3380): texlive-multilang-svn49065-61.fc38 9.1 MB/s | 420 kB 00:00 (2264/3380): texlive-multiobjective-svn15878.1. 380 kB/s | 16 kB 00:00 (2265/3380): texlive-multirow-svn58396-61.fc38. 465 kB/s | 18 kB 00:00 (2266/3380): texlive-munich-svn15878.0-61.fc38. 656 kB/s | 21 kB 00:00 (2267/3380): texlive-musuos-svn24857.1.1d-61.fc 476 kB/s | 18 kB 00:00 (2268/3380): texlive-muthesis-svn23861.0-61.fc3 575 kB/s | 22 kB 00:00 (2269/3380): texlive-mversion-svn29370.1.0.1-61 442 kB/s | 17 kB 00:00 (2270/3380): texlive-mwe-svn56291-61.fc38.noarc 16 MB/s | 841 kB 00:00 (2271/3380): texlive-mweights-svn53520-61.fc38. 371 kB/s | 16 kB 00:00 (2272/3380): texlive-mycv-svn26807.1.5.6-61.fc3 636 kB/s | 27 kB 00:00 (2273/3380): texlive-mylatex-svn56751-61.fc38.n 664 kB/s | 22 kB 00:00 (2274/3380): texlive-mylatexformat-svn21392.3.4 590 kB/s | 22 kB 00:00 (2275/3380): texlive-mynsfc-svn41996-61.fc38.no 494 kB/s | 21 kB 00:00 (2276/3380): texlive-nag-svn24741.0.7-61.fc38.n 574 kB/s | 23 kB 00:00 (2277/3380): texlive-nameauth-svn58026-61.fc38. 635 kB/s | 24 kB 00:00 (2278/3380): texlive-namespc-svn15878.0-61.fc38 481 kB/s | 16 kB 00:00 (2279/3380): texlive-nar-svn38100.3.19-61.fc38. 554 kB/s | 18 kB 00:00 (2280/3380): texlive-natbib-svn20668.8.31b-61.f 881 kB/s | 32 kB 00:00 (2281/3380): texlive-natded-svn32693.0.1-61.fc3 453 kB/s | 20 kB 00:00 (2282/3380): texlive-nath-svn15878.0-61.fc38.no 1.1 MB/s | 38 kB 00:00 (2283/3380): texlive-nature-svn21819.1.0-61.fc3 522 kB/s | 21 kB 00:00 (2284/3380): texlive-ncclatex-svn15878.1.5-61.f 1.2 MB/s | 41 kB 00:00 (2285/3380): texlive-navydocs-svn41643-61.fc38. 19 MB/s | 1.0 MB 00:00 (2286/3380): texlive-ncctools-svn51810-61.fc38. 1.1 MB/s | 48 kB 00:00 (2287/3380): texlive-ncntrsbk-svn31835.0-61.fc3 7.5 MB/s | 367 kB 00:00 (2288/3380): texlive-nddiss-svn45107-61.fc38.no 739 kB/s | 27 kB 00:00 (2289/3380): texlive-ndsu-thesis-svn46639-61.fc 544 kB/s | 21 kB 00:00 (2290/3380): texlive-needspace-svn29601.1.3d-61 473 kB/s | 15 kB 00:00 (2291/3380): texlive-nestquot-svn27323.0-61.fc3 326 kB/s | 14 kB 00:00 (2292/3380): texlive-neuralnetwork-svn31500.1.0 601 kB/s | 20 kB 00:00 (2293/3380): texlive-newcommand-doc-svn18704.2. 8.5 MB/s | 410 kB 00:00 (2294/3380): texlive-newenviron-svn29331.1.0-61 461 kB/s | 20 kB 00:00 (2295/3380): texlive-newfile-svn15878.1.0c-61.f 458 kB/s | 17 kB 00:00 (2296/3380): texlive-newfloat-svn52906-61.fc38. 2.7 MB/s | 120 kB 00:00 (2297/3380): texlive-newlfm-svn15878.9.4-61.fc3 968 kB/s | 37 kB 00:00 (2298/3380): texlive-newcomputermodern-svn59041 57 MB/s | 8.8 MB 00:00 (2299/3380): texlive-newspaper-svn15878.1.0-61. 308 kB/s | 17 kB 00:00 (2300/3380): texlive-newpx-svn58838-61.fc38.noa 27 MB/s | 1.8 MB 00:00 (2301/3380): texlive-newtxsf-svn56527-61.fc38.n 3.7 MB/s | 127 kB 00:00 (2302/3380): texlive-newtxtt-svn54512-61.fc38.n 3.5 MB/s | 181 kB 00:00 (2303/3380): texlive-newunicodechar-svn47382-61 470 kB/s | 19 kB 00:00 (2304/3380): texlive-newverbs-svn58256-61.fc38. 596 kB/s | 20 kB 00:00 (2305/3380): texlive-newvbtm-svn23996.1.1-61.fc 314 kB/s | 18 kB 00:00 (2306/3380): texlive-newtx-svn58748-61.fc38.noa 43 MB/s | 5.7 MB 00:00 (2307/3380): texlive-nextpage-svn15878.1.1a-61. 448 kB/s | 16 kB 00:00 (2308/3380): texlive-nfssext-cfr-svn43640-61.fc 503 kB/s | 22 kB 00:00 (2309/3380): texlive-nicefilelist-svn28527.0.7a 732 kB/s | 24 kB 00:00 (2310/3380): texlive-niceframe-svn36086.1.1c-61 1.3 MB/s | 52 kB 00:00 (2311/3380): texlive-niceframe-type1-svn44671-6 6.0 MB/s | 288 kB 00:00 (2312/3380): texlive-nicematrix-svn59105-61.fc3 25 MB/s | 1.6 MB 00:00 (2313/3380): texlive-nicetext-svn38914-61.fc38. 1.8 MB/s | 77 kB 00:00 (2314/3380): texlive-nidanfloat-svn48295-61.fc3 5.4 MB/s | 235 kB 00:00 (2315/3380): texlive-nihbiosketch-svn54191-61.f 478 kB/s | 20 kB 00:00 (2316/3380): texlive-nih-svn15878.0-61.fc38.noa 402 kB/s | 17 kB 00:00 (2317/3380): texlive-nkarta-svn16437.0.2-61.fc3 1.0 MB/s | 42 kB 00:00 (2318/3380): texlive-ninecolors-svn59330-61.fc3 1.2 MB/s | 52 kB 00:00 (2319/3380): texlive-nimbus15-svn58839-61.fc38. 41 MB/s | 3.9 MB 00:00 (2320/3380): texlive-nlctdoc-svn53768-61.fc38.n 653 kB/s | 21 kB 00:00 (2321/3380): texlive-nl-interval-svn58328-61.fc 2.0 MB/s | 81 kB 00:00 (2322/3380): texlive-nmbib-svn37984.1.04-61.fc3 659 kB/s | 27 kB 00:00 (2323/3380): texlive-noconflict-svn30140.1.0-61 476 kB/s | 19 kB 00:00 (2324/3380): texlive-noindentafter-svn35709.0.2 438 kB/s | 20 kB 00:00 (2325/3380): texlive-noitcrul-svn15878.0.2-61.f 382 kB/s | 16 kB 00:00 (2326/3380): texlive-nolbreaks-svn26786.1.2-61. 366 kB/s | 14 kB 00:00 (2327/3380): texlive-nomencl-svn57263-61.fc38.n 567 kB/s | 18 kB 00:00 (2328/3380): texlive-nonfloat-svn17598.1.0-61.f 405 kB/s | 13 kB 00:00 (2329/3380): texlive-nonumonpart-svn22114.1-61. 517 kB/s | 17 kB 00:00 (2330/3380): texlive-nomentbl-svn16549.0.4-61.f 431 kB/s | 17 kB 00:00 (2331/3380): texlive-nopageno-svn18128.0-61.fc3 487 kB/s | 16 kB 00:00 (2332/3380): texlive-norasi-c90-svn37675.0-61.f 692 kB/s | 22 kB 00:00 (2333/3380): texlive-normalcolor-svn40125-61.fc 581 kB/s | 19 kB 00:00 (2334/3380): texlive-nostarch-svn15878.1.3-61.f 716 kB/s | 23 kB 00:00 (2335/3380): texlive-notes-svn42428-61.fc38.noa 699 kB/s | 23 kB 00:00 (2336/3380): texlive-notes2bib-svn52231-61.fc38 454 kB/s | 19 kB 00:00 (2337/3380): texlive-notestex-svn45396-61.fc38. 9.5 MB/s | 545 kB 00:00 (2338/3380): texlive-notespages-svn41906-61.fc3 13 MB/s | 884 kB 00:00 (2339/3380): texlive-notex-bst-svn42361-61.fc38 282 kB/s | 15 kB 00:00 (2340/3380): texlive-notoccite-svn18129.0-61.fc 233 kB/s | 13 kB 00:00 (2341/3380): texlive-notomath-svn58726-61.fc38. 5.4 MB/s | 648 kB 00:00 (2342/3380): texlive-noto-emoji-svn53968-61.fc3 42 MB/s | 8.6 MB 00:00 (2343/3380): texlive-nowidow-svn24066.1.0-61.fc 407 kB/s | 18 kB 00:00 (2344/3380): texlive-novel-svn54512-61.fc38.noa 39 MB/s | 4.7 MB 00:00 (2345/3380): texlive-nox-svn30991.1.0-61.fc38.n 367 kB/s | 19 kB 00:00 (2346/3380): texlive-ntgclass-svn56959-61.fc38. 770 kB/s | 35 kB 00:00 (2347/3380): texlive-nrc-svn29027.2.01a-61.fc38 518 kB/s | 29 kB 00:00 (2348/3380): texlive-nth-svn54252-61.fc38.noarc 402 kB/s | 13 kB 00:00 (2349/3380): texlive-ntheorem-svn27609.1.33-61. 550 kB/s | 24 kB 00:00 (2350/3380): texlive-nuc-svn22256.0.1-61.fc38.n 505 kB/s | 17 kB 00:00 (2351/3380): texlive-nucleardata-svn47307-61.fc 3.4 MB/s | 152 kB 00:00 (2352/3380): texlive-numberedblock-svn33109.1.1 592 kB/s | 20 kB 00:00 (2353/3380): texlive-numericplots-svn31729.2.0. 987 kB/s | 32 kB 00:00 (2354/3380): texlive-numerica-svn57759-61.fc38. 24 MB/s | 1.8 MB 00:00 (2355/3380): texlive-numname-svn18130.0-61.fc38 398 kB/s | 17 kB 00:00 (2356/3380): texlive-numprint-svn27498.1.39-61. 581 kB/s | 26 kB 00:00 (2357/3380): texlive-numspell-svn56912-61.fc38. 5.9 MB/s | 246 kB 00:00 (2358/3380): texlive-nwejm-svn54392-61.fc38.noa 18 MB/s | 3.9 MB 00:00 (2359/3380): texlive-oberdiek-20210325-52.fc38. 32 MB/s | 8.6 MB 00:00 (2360/3380): texlive-objectz-svn19389.0-61.fc38 471 kB/s | 24 kB 00:00 (2361/3380): texlive-ocg-p-svn28803.0.4-61.fc38 561 kB/s | 19 kB 00:00 (2362/3380): texlive-obnov-svn33355.0.11-61.fc3 2.3 MB/s | 89 kB 00:00 (2363/3380): texlive-ocgx-svn54512-61.fc38.noar 513 kB/s | 17 kB 00:00 (2364/3380): texlive-ocgx2-svn57531-61.fc38.noa 810 kB/s | 31 kB 00:00 (2365/3380): texlive-ocherokee-svn25689.0-61.fc 2.7 MB/s | 94 kB 00:00 (2366/3380): texlive-ocr-b-outline-svn20969.0-6 5.3 MB/s | 250 kB 00:00 (2367/3380): texlive-ocr-b-svn20852.0-61.fc38.n 858 kB/s | 29 kB 00:00 (2368/3380): texlive-ocr-latex-svn15878.0-61.fc 446 kB/s | 19 kB 00:00 (2369/3380): texlive-octavo-svn15878.1.2-61.fc3 505 kB/s | 22 kB 00:00 (2370/3380): texlive-ogham-svn24876.0-61.fc38.n 409 kB/s | 13 kB 00:00 (2371/3380): texlive-noto-svn54512-61.fc38.noar 60 MB/s | 67 MB 00:01 (2372/3380): texlive-oinuit-svn28668.0-61.fc38. 1.6 MB/s | 169 kB 00:00 (2373/3380): texlive-old-arrows-svn42872-61.fc3 742 kB/s | 65 kB 00:00 (2374/3380): texlive-oldstyle-svn15878.0.2-61.f 222 kB/s | 16 kB 00:00 (2375/3380): texlive-oldlatin-svn17932.1.00-61. 787 kB/s | 80 kB 00:00 (2376/3380): texlive-onlyamsmath-svn42927-61.fc 489 kB/s | 16 kB 00:00 (2377/3380): texlive-oldstandard-svn57213-61.fc 15 MB/s | 1.8 MB 00:00 (2378/3380): texlive-onrannual-svn17474.1.1-61. 627 kB/s | 20 kB 00:00 (2379/3380): texlive-opcit-svn15878.1.1-61.fc38 672 kB/s | 22 kB 00:00 (2380/3380): texlive-oplotsymbl-svn44951-61.fc3 3.8 MB/s | 244 kB 00:00 (2381/3380): texlive-opteng-svn27331.1.0-61.fc3 316 kB/s | 20 kB 00:00 (2382/3380): texlive-opensans-svn54512-61.fc38. 24 MB/s | 2.4 MB 00:00 (2383/3380): texlive-optidef-svn50941-61.fc38.n 689 kB/s | 23 kB 00:00 (2384/3380): texlive-optional-svn18131.2.2b-61. 550 kB/s | 18 kB 00:00 (2385/3380): texlive-options-svn39030-61.fc38.n 866 kB/s | 28 kB 00:00 (2386/3380): texlive-orientation-svn57390-61.fc 395 kB/s | 13 kB 00:00 (2387/3380): texlive-orcidlink-svn58432-61.fc38 4.4 MB/s | 179 kB 00:00 (2388/3380): texlive-orkhun-svn15878.0-61.fc38. 584 kB/s | 19 kB 00:00 (2389/3380): texlive-oscola-svn54328-61.fc38.no 1.2 MB/s | 40 kB 00:00 (2390/3380): texlive-oswald-svn57253-61.fc38.no 20 MB/s | 1.0 MB 00:00 (2391/3380): texlive-ot-tableau-svn44889-61.fc3 466 kB/s | 17 kB 00:00 (2392/3380): texlive-oubraces-svn21833.0-61.fc3 308 kB/s | 13 kB 00:00 (2393/3380): texlive-outline-svn18360.0-61.fc38 494 kB/s | 16 kB 00:00 (2394/3380): texlive-outliner-svn21095.0.94-61. 456 kB/s | 19 kB 00:00 (2395/3380): texlive-outlines-svn25192.1.1-61.f 514 kB/s | 17 kB 00:00 (2396/3380): texlive-outlining-svn45601-61.fc38 3.7 MB/s | 153 kB 00:00 (2397/3380): texlive-overlays-svn57866-61.fc38. 3.0 MB/s | 120 kB 00:00 (2398/3380): texlive-overlock-svn56079-61.fc38. 17 MB/s | 684 kB 00:00 (2399/3380): texlive-pacioli-svn24947.0-61.fc38 968 kB/s | 31 kB 00:00 (2400/3380): texlive-overpic-svn53889-61.fc38.n 375 kB/s | 16 kB 00:00 (2401/3380): texlive-padcount-svn47621-61.fc38. 3.6 MB/s | 156 kB 00:00 (2402/3380): texlive-pagecont-svn15878.1.0-61.f 487 kB/s | 16 kB 00:00 (2403/3380): texlive-pagecolor-svn44487-61.fc38 530 kB/s | 21 kB 00:00 (2404/3380): texlive-pagenote-svn15878.1.1a-61. 596 kB/s | 19 kB 00:00 (2405/3380): texlive-pagerange-svn16915.0.5-61. 487 kB/s | 19 kB 00:00 (2406/3380): texlive-pagesel-svn56105-61.fc38.n 8.8 MB/s | 341 kB 00:00 (2407/3380): texlive-pageslts-svn39164-61.fc38. 580 kB/s | 27 kB 00:00 (2408/3380): texlive-palatino-svn31835.0-61.fc3 10 MB/s | 428 kB 00:00 (2409/3380): texlive-paper-svn34521.1.0l-61.fc3 564 kB/s | 25 kB 00:00 (2410/3380): texlive-papercdcase-svn15878.0-61. 457 kB/s | 18 kB 00:00 (2411/3380): texlive-papermas-svn23667.1.0h-61. 587 kB/s | 21 kB 00:00 (2412/3380): texlive-papertex-svn19230.1.2b-61. 504 kB/s | 21 kB 00:00 (2413/3380): texlive-paracol-svn49560-61.fc38.n 765 kB/s | 33 kB 00:00 (2414/3380): texlive-parades-svn40042-61.fc38.n 445 kB/s | 19 kB 00:00 (2415/3380): texlive-paralist-svn43021-61.fc38. 496 kB/s | 18 kB 00:00 (2416/3380): texlive-parallel-svn15878.0-61.fc3 568 kB/s | 18 kB 00:00 (2417/3380): texlive-paresse-svn56621-61.fc38.n 446 kB/s | 18 kB 00:00 (2418/3380): texlive-parnotes-svn51720-61.fc38. 484 kB/s | 21 kB 00:00 (2419/3380): texlive-parsa-svn54840-61.fc38.noa 2.7 MB/s | 149 kB 00:00 (2420/3380): texlive-paratype-svn32859.0-61.fc3 42 MB/s | 4.6 MB 00:00 (2421/3380): texlive-parselines-svn21475.1.4-61 488 kB/s | 19 kB 00:00 (2422/3380): texlive-pas-cv-svn32263.2.01-61.fc 799 kB/s | 26 kB 00:00 (2423/3380): texlive-parskip-svn58358-61.fc38.n 411 kB/s | 17 kB 00:00 (2424/3380): texlive-pas-cours-svn55859-61.fc38 402 kB/s | 17 kB 00:00 (2425/3380): texlive-patchcmd-svn41379-61.fc38. 408 kB/s | 14 kB 00:00 (2426/3380): texlive-pas-tableur-svn39542-61.fc 464 kB/s | 18 kB 00:00 (2427/3380): texlive-path-svn22045.3.05-61.fc38 475 kB/s | 17 kB 00:00 (2428/3380): texlive-pax-20210325-52.fc38.noarc 1.0 MB/s | 33 kB 00:00 (2429/3380): texlive-pawpict-svn21629.1.0-61.fc 364 kB/s | 17 kB 00:00 (2430/3380): texlive-pauldoc-svn16005.0.5-61.fc 331 kB/s | 17 kB 00:00 (2431/3380): texlive-pb-diagram-svn15878.5.0-61 681 kB/s | 27 kB 00:00 (2432/3380): texlive-pbalance-svn59331-61.fc38. 6.4 MB/s | 261 kB 00:00 (2433/3380): texlive-pbox-svn24807.1.2-61.fc38. 423 kB/s | 19 kB 00:00 (2434/3380): texlive-pbsheet-svn24830.0.1-61.fc 563 kB/s | 20 kB 00:00 (2435/3380): texlive-pdf14-svn17583.0.1-61.fc38 446 kB/s | 19 kB 00:00 (2436/3380): texlive-pdfcolmk-svn52912-61.fc38. 379 kB/s | 19 kB 00:00 (2437/3380): texlive-pdfcomment-svn49047-61.fc3 578 kB/s | 29 kB 00:00 (2438/3380): texlive-pdfcprot-svn18735.1.7a-61. 556 kB/s | 22 kB 00:00 (2439/3380): texlive-pdfescape-svn53082-61.fc38 8.0 MB/s | 353 kB 00:00 (2440/3380): texlive-pdflscape-svn53047-61.fc38 7.3 MB/s | 308 kB 00:00 (2441/3380): texlive-pdfmarginpar-svn23492.0.92 433 kB/s | 18 kB 00:00 (2442/3380): texlive-pdfoverlay-svn57923-61.fc3 12 MB/s | 551 kB 00:00 (2443/3380): texlive-pdfpagediff-svn37946.1.4-6 378 kB/s | 17 kB 00:00 (2444/3380): texlive-pdfpages-svn58212-61.fc38. 1.0 MB/s | 32 kB 00:00 (2445/3380): texlive-pdfmanagement-testphase-sv 49 MB/s | 6.4 MB 00:00 (2446/3380): texlive-pdfpc-movie-svn48245-61.fc 1.9 MB/s | 92 kB 00:00 (2447/3380): texlive-pdfpc-svn57735-61.fc38.noa 3.7 MB/s | 194 kB 00:00 (2448/3380): texlive-pdfprivacy-svn45985-61.fc3 6.0 MB/s | 269 kB 00:00 (2449/3380): texlive-pdfreview-svn50100-61.fc38 12 MB/s | 585 kB 00:00 (2450/3380): texlive-pdfscreen-svn42428-61.fc38 2.5 MB/s | 100 kB 00:00 (2451/3380): texlive-pdfslide-svn15878.0-61.fc3 1.5 MB/s | 59 kB 00:00 (2452/3380): texlive-pdfsync-svn20373.0-61.fc38 559 kB/s | 18 kB 00:00 (2453/3380): texlive-pdftexcmds-svn55777-61.fc3 7.3 MB/s | 391 kB 00:00 (2454/3380): texlive-pdfwin-svn54074-61.fc38.no 759 kB/s | 27 kB 00:00 (2455/3380): texlive-pdftex-20210325-52.fc38.x8 26 MB/s | 2.0 MB 00:00 (2456/3380): texlive-pdfx-svn50338-61.fc38.noar 3.2 MB/s | 121 kB 00:00 (2457/3380): texlive-pecha-svn15878.0.1-61.fc38 659 kB/s | 26 kB 00:00 (2458/3380): texlive-penrose-svn57508-61.fc38.n 16 MB/s | 963 kB 00:00 (2459/3380): texlive-perception-svn48861-61.fc3 475 kB/s | 21 kB 00:00 (2460/3380): texlive-perfectcut-svn54080-61.fc3 538 kB/s | 22 kB 00:00 (2461/3380): texlive-perltex-20210325-52.fc38.n 6.6 MB/s | 287 kB 00:00 (2462/3380): texlive-petiteannonce-svn25915.1.0 553 kB/s | 18 kB 00:00 (2463/3380): texlive-permute-svn15878.0-61.fc38 402 kB/s | 17 kB 00:00 (2464/3380): texlive-pgf-blur-svn54512-61.fc38. 526 kB/s | 17 kB 00:00 (2465/3380): texlive-petri-nets-20210325-52.fc3 4.5 MB/s | 208 kB 00:00 (2466/3380): texlive-pgf-cmykshadings-svn52635- 9.7 MB/s | 530 kB 00:00 (2467/3380): texlive-pgf-pie-svn59075-61.fc38.n 5.2 MB/s | 233 kB 00:00 (2468/3380): texlive-pgf-soroban-svn32269.1.1-6 381 kB/s | 17 kB 00:00 (2469/3380): texlive-pgf-spectra-svn58467-61.fc 6.0 MB/s | 276 kB 00:00 (2470/3380): texlive-pgf-svn57240-61.fc38.noarc 18 MB/s | 902 kB 00:00 (2471/3380): texlive-pgf-umlcd-svn55342-61.fc38 420 kB/s | 19 kB 00:00 (2472/3380): texlive-pgf-umlsd-svn55342-61.fc38 464 kB/s | 19 kB 00:00 (2473/3380): texlive-pgfgantt-svn52662-61.fc38. 640 kB/s | 25 kB 00:00 (2474/3380): texlive-pgfkeyx-svn26093.0.0.1-61. 544 kB/s | 24 kB 00:00 (2475/3380): texlive-pgfmolbio-svn35152.0.21-61 770 kB/s | 32 kB 00:00 (2476/3380): texlive-pgfmorepages-svn54770-61.f 2.1 MB/s | 84 kB 00:00 (2477/3380): texlive-pgfopts-svn56615-61.fc38.n 596 kB/s | 19 kB 00:00 (2478/3380): texlive-pgfornament-svn55326-61.fc 16 MB/s | 731 kB 00:00 (2479/3380): texlive-phaistos-svn18651.1.0-61.f 3.9 MB/s | 197 kB 00:00 (2480/3380): texlive-phffullpagefigure-svn41857 5.6 MB/s | 256 kB 00:00 (2481/3380): texlive-pgfplots-svn59132-61.fc38. 7.2 MB/s | 600 kB 00:00 (2482/3380): texlive-phfnote-svn41858-61.fc38.n 12 MB/s | 652 kB 00:00 (2483/3380): texlive-phfparen-svn41859-61.fc38. 6.6 MB/s | 316 kB 00:00 (2484/3380): texlive-phfqit-svn45084-61.fc38.no 9.0 MB/s | 405 kB 00:00 (2485/3380): texlive-phfquotetext-svn41869-61.f 4.7 MB/s | 213 kB 00:00 (2486/3380): texlive-phfsvnwatermark-svn41870-6 4.7 MB/s | 218 kB 00:00 (2487/3380): texlive-phfthm-svn41871-61.fc38.no 6.3 MB/s | 388 kB 00:00 (2488/3380): texlive-philex-svn36396.1.3-61.fc3 493 kB/s | 19 kB 00:00 (2489/3380): texlive-philosophersimprint-svn569 553 kB/s | 20 kB 00:00 (2490/3380): texlive-photo-svn18739.0-61.fc38.n 537 kB/s | 17 kB 00:00 (2491/3380): texlive-phonetic-svn56468-61.fc38. 1.0 MB/s | 49 kB 00:00 (2492/3380): texlive-phonenumbers-svn51933-61.f 17 MB/s | 1.2 MB 00:00 (2493/3380): texlive-physics-svn28590.1.3-61.fc 628 kB/s | 20 kB 00:00 (2494/3380): texlive-physconst-svn58727-61.fc38 4.9 MB/s | 227 kB 00:00 (2495/3380): texlive-physunits-svn58728-61.fc38 3.0 MB/s | 121 kB 00:00 (2496/3380): texlive-picinpar-svn57349-61.fc38. 507 kB/s | 21 kB 00:00 (2497/3380): texlive-pict2e-svn56504-61.fc38.no 590 kB/s | 24 kB 00:00 (2498/3380): texlive-pictex-svn21943.1.1-61.fc3 1.4 MB/s | 58 kB 00:00 (2499/3380): texlive-pictex2-svn15878.0-61.fc38 504 kB/s | 18 kB 00:00 (2500/3380): texlive-picture-svn54867-61.fc38.n 7.5 MB/s | 313 kB 00:00 (2501/3380): texlive-piff-svn21894.0-61.fc38.no 435 kB/s | 15 kB 00:00 (2502/3380): texlive-pigpen-svn15878.0.2-61.fc3 713 kB/s | 23 kB 00:00 (2503/3380): texlive-pinlabel-svn24769.1.2-61.f 782 kB/s | 25 kB 00:00 (2504/3380): texlive-pinoutikz-svn55966-61.fc38 2.3 MB/s | 93 kB 00:00 (2505/3380): texlive-pittetd-svn15878.1.618-61. 733 kB/s | 24 kB 00:00 (2506/3380): texlive-pixelart-svn57508-61.fc38. 4.2 MB/s | 162 kB 00:00 (2507/3380): texlive-pkgloader-svn47486-61.fc38 707 kB/s | 29 kB 00:00 (2508/3380): texlive-pkuthss-svn58729-61.fc38.n 696 kB/s | 30 kB 00:00 (2509/3380): texlive-placeins-svn19848.2.2-61.f 343 kB/s | 14 kB 00:00 (2510/3380): texlive-pl-svn58661-61.fc38.noarch 30 MB/s | 2.0 MB 00:00 (2511/3380): texlive-plain-svn57963-61.fc38.noa 972 kB/s | 49 kB 00:00 (2512/3380): texlive-plainpkg-svn27765.0.4a-61. 488 kB/s | 21 kB 00:00 (2513/3380): texlive-plainyr-svn52783-61.fc38.n 376 kB/s | 16 kB 00:00 (2514/3380): texlive-plantslabels-svn29803.1.0- 420 kB/s | 16 kB 00:00 (2515/3380): texlive-plates-svn15878.0.1-61.fc3 529 kB/s | 21 kB 00:00 (2516/3380): texlive-platex-svn58842-61.fc38.no 1.6 MB/s | 69 kB 00:00 (2517/3380): texlive-platex-tools-svn57729-61.f 11 MB/s | 588 kB 00:00 (2518/3380): texlive-plex-otf-svn47562-61.fc38. 10 MB/s | 557 kB 00:00 (2519/3380): texlive-playfair-svn56005-61.fc38. 27 MB/s | 1.8 MB 00:00 (2520/3380): texlive-plweb-svn15878.3.0-61.fc38 467 kB/s | 15 kB 00:00 (2521/3380): texlive-plimsoll-svn56605-61.fc38. 4.8 MB/s | 232 kB 00:00 (2522/3380): texlive-pm-isomath-svn59077-61.fc3 13 MB/s | 625 kB 00:00 (2523/3380): texlive-pmboxdraw-svn53046-61.fc38 12 MB/s | 603 kB 00:00 (2524/3380): texlive-pmgraph-svn15878.1.0-61.fc 791 kB/s | 26 kB 00:00 (2525/3380): texlive-pnas2009-svn16287.1.0-61.f 359 kB/s | 15 kB 00:00 (2526/3380): texlive-poiretone-svn59125-61.fc38 4.8 MB/s | 218 kB 00:00 (2527/3380): texlive-plex-svn54512-61.fc38.noar 50 MB/s | 11 MB 00:00 (2528/3380): texlive-polexpr-svn59088-61.fc38.n 1.9 MB/s | 94 kB 00:00 (2529/3380): texlive-polski-svn44213-61.fc38.no 835 kB/s | 27 kB 00:00 (2530/3380): texlive-polyglossia-svn58869-61.fc 4.2 MB/s | 212 kB 00:00 (2531/3380): texlive-polynom-svn44832-61.fc38.n 418 kB/s | 26 kB 00:00 (2532/3380): texlive-polynomial-svn15878.1.0-61 525 kB/s | 17 kB 00:00 (2533/3380): texlive-polytable-svn55837-61.fc38 633 kB/s | 21 kB 00:00 (2534/3380): texlive-postage-svn55920-61.fc38.n 7.0 MB/s | 319 kB 00:00 (2535/3380): texlive-postcards-svn21641.0-61.fc 424 kB/s | 17 kB 00:00 (2536/3380): texlive-poltawski-svn20075.1.101-6 45 MB/s | 7.0 MB 00:00 (2537/3380): texlive-poster-mac-svn18305.1.1-61 420 kB/s | 18 kB 00:00 (2538/3380): texlive-powerdot-fuberlin-svn52922 6.1 MB/s | 292 kB 00:00 (2539/3380): texlive-powerdot-svn58730-61.fc38. 1.1 MB/s | 51 kB 00:00 (2540/3380): texlive-ppr-prv-svn15878.0.13c-61. 626 kB/s | 20 kB 00:00 (2541/3380): texlive-powerdot-tuliplab-svn47963 21 MB/s | 1.3 MB 00:00 (2542/3380): texlive-pracjourn-svn15878.0.4n-61 625 kB/s | 21 kB 00:00 (2543/3380): texlive-practicalreports-svn52312- 4.8 MB/s | 201 kB 00:00 (2544/3380): texlive-preprint-svn30447.2011-61. 417 kB/s | 19 kB 00:00 (2545/3380): texlive-prelim2e-svn57000-61.fc38. 6.9 MB/s | 433 kB 00:00 (2546/3380): texlive-prerex-svn54512-61.fc38.no 740 kB/s | 24 kB 00:00 (2547/3380): texlive-pressrelease-svn35147.1.0- 571 kB/s | 22 kB 00:00 (2548/3380): texlive-prettyref-svn15878.3.0-61. 399 kB/s | 13 kB 00:00 (2549/3380): texlive-prftree-svn54080-61.fc38.n 756 kB/s | 28 kB 00:00 (2550/3380): texlive-principia-svn58927-61.fc38 6.3 MB/s | 281 kB 00:00 (2551/3380): texlive-printlen-svn19847.1.1a-61. 402 kB/s | 17 kB 00:00 (2552/3380): texlive-proba-svn15878.0-61.fc38.n 453 kB/s | 16 kB 00:00 (2553/3380): texlive-probsoln-svn44783-61.fc38. 507 kB/s | 22 kB 00:00 (2554/3380): texlive-prodint-svn21893.0-61.fc38 374 kB/s | 16 kB 00:00 (2555/3380): texlive-procIAGssymp-svn51771-61.f 303 kB/s | 17 kB 00:00 (2556/3380): texlive-productbox-svn20886.1.1-61 433 kB/s | 16 kB 00:00 (2557/3380): texlive-program-svn44214-61.fc38.n 934 kB/s | 34 kB 00:00 (2558/3380): texlive-progress-svn19519.1.10-61. 438 kB/s | 19 kB 00:00 (2559/3380): texlive-progressbar-svn33822.v1.0b 479 kB/s | 17 kB 00:00 (2560/3380): texlive-projlib-svn59347-61.fc38.n 8.8 MB/s | 361 kB 00:00 (2561/3380): texlive-proof-at-the-end-svn51194- 7.9 MB/s | 383 kB 00:00 (2562/3380): texlive-proofread-svn50938-61.fc38 333 kB/s | 20 kB 00:00 (2563/3380): texlive-prooftrees-svn52221-61.fc3 594 kB/s | 28 kB 00:00 (2564/3380): texlive-properties-svn15878.0.2-61 370 kB/s | 16 kB 00:00 (2565/3380): texlive-proposal-svn40538-61.fc38. 1.0 MB/s | 34 kB 00:00 (2566/3380): texlive-prosper-svn33033.1.0h-61.f 3.0 MB/s | 132 kB 00:00 (2567/3380): texlive-protex-svn41633-61.fc38.no 898 kB/s | 29 kB 00:00 (2568/3380): texlive-protocol-svn25562.1.13-61. 540 kB/s | 20 kB 00:00 (2569/3380): texlive-prtec-svn51919-61.fc38.noa 7.8 MB/s | 374 kB 00:00 (2570/3380): texlive-pseudo-svn52582-61.fc38.no 11 MB/s | 611 kB 00:00 (2571/3380): texlive-pseudocode-svn54080-61.fc3 434 kB/s | 17 kB 00:00 (2572/3380): texlive-psfrag-svn15878.3.04-61.fc 399 kB/s | 16 kB 00:00 (2573/3380): texlive-psfragx-svn26243.1.1-61.fc 533 kB/s | 19 kB 00:00 (2574/3380): texlive-pslatex-svn57434-61.fc38.n 574 kB/s | 23 kB 00:00 (2575/3380): texlive-psnfss-svn54694-61.fc38.no 1.3 MB/s | 60 kB 00:00 (2576/3380): texlive-pspicture-svn15878.0-61.fc 440 kB/s | 17 kB 00:00 (2577/3380): texlive-pst-3d-svn17257.1.10-61.fc 576 kB/s | 19 kB 00:00 (2578/3380): texlive-pst-blur-svn15878.2.0-61.f 437 kB/s | 17 kB 00:00 (2579/3380): texlive-pst-coil-svn37377.1.07-61. 447 kB/s | 19 kB 00:00 (2580/3380): texlive-pst-eps-svn15878.1.0-61.fc 395 kB/s | 18 kB 00:00 (2581/3380): texlive-pst-fill-svn15878.1.01-61. 460 kB/s | 19 kB 00:00 (2582/3380): texlive-pst-math-svn49425-61.fc38. 637 kB/s | 21 kB 00:00 (2583/3380): texlive-pst-grad-svn15878.1.06-61. 438 kB/s | 19 kB 00:00 (2584/3380): texlive-pst-node-svn54687-61.fc38. 1.0 MB/s | 41 kB 00:00 (2585/3380): texlive-pst-plot-svn54080-61.fc38. 998 kB/s | 38 kB 00:00 (2586/3380): texlive-pst-ovl-svn54963-61.fc38.n 363 kB/s | 17 kB 00:00 (2587/3380): texlive-pst-slpe-svn24391.1.31-61. 515 kB/s | 18 kB 00:00 (2588/3380): texlive-pst-text-svn49542-61.fc38. 483 kB/s | 19 kB 00:00 (2589/3380): texlive-pst-tools-svn54518-61.fc38 504 kB/s | 21 kB 00:00 (2590/3380): texlive-pst-tree-svn43272-61.fc38. 649 kB/s | 21 kB 00:00 (2591/3380): texlive-pstool-svn46393-61.fc38.no 558 kB/s | 22 kB 00:00 (2592/3380): texlive-pstricks-add-svn53763-61.f 858 kB/s | 37 kB 00:00 (2593/3380): texlive-pstricks-svn58371-61.fc38. 2.6 MB/s | 105 kB 00:00 (2594/3380): texlive-pstring-svn42857-61.fc38.n 3.4 MB/s | 139 kB 00:00 (2595/3380): texlive-ptex-base-svn56487-61.fc38 700 kB/s | 23 kB 00:00 (2596/3380): texlive-ptex-20210325-52.fc38.x86_ 17 MB/s | 902 kB 00:00 (2597/3380): texlive-ptex-fonts-svn46940-61.fc3 1.6 MB/s | 71 kB 00:00 (2598/3380): texlive-ptolemaicastronomy-svn5081 6.0 MB/s | 257 kB 00:00 (2599/3380): texlive-ptptex-svn19440.0.91-61.fc 541 kB/s | 24 kB 00:00 (2600/3380): texlive-punk-latex-svn27389.1.1-61 480 kB/s | 17 kB 00:00 (2601/3380): texlive-punk-svn27388.0-61.fc38.no 662 kB/s | 24 kB 00:00 (2602/3380): texlive-punknova-svn24649.1.003-61 17 MB/s | 894 kB 00:00 (2603/3380): texlive-puyotikz-svn57254-61.fc38. 4.3 MB/s | 211 kB 00:00 (2604/3380): texlive-pxfonts-svn15878.0-61.fc38 11 MB/s | 524 kB 00:00 (2605/3380): texlive-pxgreeks-svn21838.1.0-61.f 506 kB/s | 20 kB 00:00 (2606/3380): texlive-pxpgfmark-svn30212.0.2-61. 311 kB/s | 13 kB 00:00 (2607/3380): texlive-pxpic-svn57445-61.fc38.noa 7.7 MB/s | 315 kB 00:00 (2608/3380): texlive-pxtxalfa-svn54080-61.fc38. 919 kB/s | 34 kB 00:00 (2609/3380): texlive-pygmentex-20210325-52.fc38 12 MB/s | 599 kB 00:00 (2610/3380): texlive-python-svn27064.0.21-61.fc 465 kB/s | 18 kB 00:00 (2611/3380): texlive-pythonhighlight-svn43191-6 353 kB/s | 15 kB 00:00 (2612/3380): texlive-qcircuit-svn48400-61.fc38. 666 kB/s | 22 kB 00:00 (2613/3380): texlive-pythontex-20210325-52.fc38 26 MB/s | 1.5 MB 00:00 (2614/3380): texlive-qcm-svn15878.2.1-61.fc38.n 430 kB/s | 18 kB 00:00 (2615/3380): texlive-qrcode-svn36065.1.51-61.fc 878 kB/s | 37 kB 00:00 (2616/3380): texlive-qsharp-svn49722-61.fc38.no 605 kB/s | 20 kB 00:00 (2617/3380): texlive-qstest-svn15878.0-61.fc38. 608 kB/s | 20 kB 00:00 (2618/3380): texlive-qsymbols-svn15878.0-61.fc3 615 kB/s | 24 kB 00:00 (2619/3380): texlive-qtree-svn15878.3.1b-61.fc3 703 kB/s | 23 kB 00:00 (2620/3380): texlive-quantikz-svn54911-61.fc38. 9.9 MB/s | 466 kB 00:00 (2621/3380): texlive-qualitype-svn54512-61.fc38 30 MB/s | 2.5 MB 00:00 (2622/3380): texlive-quantumarticle-svn56862-61 12 MB/s | 714 kB 00:00 (2623/3380): texlive-quattrocento-svn56020-61.f 18 MB/s | 822 kB 00:00 (2624/3380): texlive-quicktype-svn42183-61.fc38 5.5 MB/s | 195 kB 00:00 (2625/3380): texlive-quiz2socrative-svn52276-61 4.0 MB/s | 227 kB 00:00 (2626/3380): texlive-quoting-svn32818.v0.1c-61. 524 kB/s | 19 kB 00:00 (2627/3380): texlive-quotchap-svn56926-61.fc38. 428 kB/s | 19 kB 00:00 (2628/3380): texlive-quotmark-svn15878.1.0-61.f 804 kB/s | 33 kB 00:00 (2629/3380): texlive-ran_toks-svn57520-61.fc38. 604 kB/s | 20 kB 00:00 (2630/3380): texlive-ragged2e-svn57638-61.fc38. 10 MB/s | 664 kB 00:00 (2631/3380): texlive-randbild-svn15878.0.2-61.f 489 kB/s | 16 kB 00:00 (2632/3380): texlive-raleway-svn42629-61.fc38.n 27 MB/s | 2.6 MB 00:00 (2633/3380): texlive-random-svn54723-61.fc38.no 2.2 MB/s | 89 kB 00:00 (2634/3380): texlive-randomwalk-svn49513-61.fc3 403 kB/s | 17 kB 00:00 (2635/3380): texlive-randtext-svn15878.0-61.fc3 457 kB/s | 17 kB 00:00 (2636/3380): texlive-rank-2-roots-svn48515-61.f 8.6 MB/s | 411 kB 00:00 (2637/3380): texlive-rccol-svn15878.1.2c-61.fc3 329 kB/s | 13 kB 00:00 (2638/3380): texlive-rcs-multi-svn56291-61.fc38 351 kB/s | 17 kB 00:00 (2639/3380): texlive-rcs-svn15878.0-61.fc38.noa 641 kB/s | 28 kB 00:00 (2640/3380): texlive-rcsinfo-svn15878.1.11-61.f 442 kB/s | 18 kB 00:00 (2641/3380): texlive-readablecv-svn57433-61.fc3 5.5 MB/s | 224 kB 00:00 (2642/3380): texlive-readarray-svn42467-61.fc38 518 kB/s | 22 kB 00:00 (2643/3380): texlive-realboxes-svn56291-61.fc38 475 kB/s | 21 kB 00:00 (2644/3380): texlive-realscripts-svn56594-61.fc 594 kB/s | 19 kB 00:00 (2645/3380): texlive-realhats-svn52865-61.fc38. 3.1 MB/s | 137 kB 00:00 (2646/3380): texlive-rec-thy-svn58732-61.fc38.n 662 kB/s | 27 kB 00:00 (2647/3380): texlive-recipebook-svn37026.0-61.f 672 kB/s | 22 kB 00:00 (2648/3380): texlive-recipe-svn54080-61.fc38.no 353 kB/s | 14 kB 00:00 (2649/3380): texlive-recipecard-svn15878.2.0-61 529 kB/s | 17 kB 00:00 (2650/3380): texlive-rectopma-svn19980.0-61.fc3 493 kB/s | 16 kB 00:00 (2651/3380): texlive-recycle-svn15878.0-61.fc38 668 kB/s | 27 kB 00:00 (2652/3380): texlive-refcount-svn53164-61.fc38. 8.1 MB/s | 335 kB 00:00 (2653/3380): texlive-refcheck-svn29128.1.9.1-61 384 kB/s | 20 kB 00:00 (2654/3380): texlive-refenums-svn44131-61.fc38. 409 kB/s | 20 kB 00:00 (2655/3380): texlive-reflectgraphics-svn40612-6 609 kB/s | 20 kB 00:00 (2656/3380): texlive-refman-svn15878.2.0e-61.fc 736 kB/s | 24 kB 00:00 (2657/3380): texlive-refstyle-svn20318.0.5-61.f 650 kB/s | 21 kB 00:00 (2658/3380): texlive-regcount-svn19979.1.0-61.f 422 kB/s | 16 kB 00:00 (2659/3380): texlive-regexpatch-svn58668-61.fc3 533 kB/s | 21 kB 00:00 (2660/3380): texlive-register-svn54485-61.fc38. 462 kB/s | 19 kB 00:00 (2661/3380): texlive-regstats-svn25050.1.0h-61. 645 kB/s | 21 kB 00:00 (2662/3380): texlive-relenc-svn22050.0-61.fc38. 687 kB/s | 28 kB 00:00 (2663/3380): texlive-relsize-svn30707.4.1-61.fc 483 kB/s | 17 kB 00:00 (2664/3380): texlive-reotex-svn34924.1.1-61.fc3 575 kB/s | 19 kB 00:00 (2665/3380): texlive-repeatindex-svn24305.0.01- 416 kB/s | 17 kB 00:00 (2666/3380): texlive-repltext-svn56433-61.fc38. 513 kB/s | 19 kB 00:00 (2667/3380): texlive-rerunfilecheck-svn54841-61 7.1 MB/s | 324 kB 00:00 (2668/3380): texlive-rest-api-svn57068-61.fc38. 4.5 MB/s | 158 kB 00:00 (2669/3380): texlive-resphilosophica-svn50935-6 763 kB/s | 30 kB 00:00 (2670/3380): texlive-resumecls-svn54815-61.fc38 583 kB/s | 19 kB 00:00 (2671/3380): texlive-returntogrid-svn48485-61.f 4.1 MB/s | 166 kB 00:00 (2672/3380): texlive-revtex-svn56591-61.fc38.no 2.7 MB/s | 93 kB 00:00 (2673/3380): texlive-revquantum-svn43505-61.fc3 5.2 MB/s | 266 kB 00:00 (2674/3380): texlive-revtex4-svn56589-61.fc38.n 1.1 MB/s | 60 kB 00:00 (2675/3380): texlive-rgltxdoc-svn53858-61.fc38. 2.3 MB/s | 175 kB 00:00 (2676/3380): texlive-ribbonproofs-svn31137.1.0- 718 kB/s | 29 kB 00:00 (2677/3380): texlive-rjlparshap-svn15878.1.0-61 510 kB/s | 18 kB 00:00 (2678/3380): texlive-revtex4-1-svn56590-61.fc38 42 MB/s | 5.3 MB 00:00 (2679/3380): texlive-rlepsf-svn19082.0-61.fc38. 545 kB/s | 18 kB 00:00 (2680/3380): texlive-rmathbr-svn57173-61.fc38.n 721 kB/s | 26 kB 00:00 (2681/3380): texlive-rmpage-svn54080-61.fc38.no 2.0 MB/s | 79 kB 00:00 (2682/3380): texlive-robustcommand-svn15878.0.1 484 kB/s | 16 kB 00:00 (2683/3380): texlive-robustindex-svn49877-61.fc 438 kB/s | 20 kB 00:00 (2684/3380): texlive-romanbar-svn25005.1.0f-61. 491 kB/s | 20 kB 00:00 (2685/3380): texlive-romanbarpagenumber-svn3623 552 kB/s | 18 kB 00:00 (2686/3380): texlive-romande-svn19537.1.008_v7_ 11 MB/s | 519 kB 00:00 (2687/3380): texlive-romanneg-svn20087.0-61.fc3 355 kB/s | 13 kB 00:00 (2688/3380): texlive-roboto-svn54512-61.fc38.no 60 MB/s | 10 MB 00:00 (2689/3380): texlive-romannum-svn15878.1.0b-61. 399 kB/s | 16 kB 00:00 (2690/3380): texlive-rotfloat-svn18292.1.2-61.f 496 kB/s | 16 kB 00:00 (2691/3380): texlive-rosario-svn51688-61.fc38.n 27 MB/s | 1.6 MB 00:00 (2692/3380): texlive-rotpages-svn18740.3.0-61.f 470 kB/s | 17 kB 00:00 (2693/3380): texlive-rsc-svn41923-61.fc38.noarc 472 kB/s | 24 kB 00:00 (2694/3380): texlive-roundbox-svn29675.0.2-61.f 338 kB/s | 19 kB 00:00 (2695/3380): texlive-rsfs-svn15878.0-61.fc38.no 1.7 MB/s | 74 kB 00:00 (2696/3380): texlive-rterface-svn30084.0-61.fc3 490 kB/s | 17 kB 00:00 (2697/3380): texlive-rtkinenc-svn20003.1.0-61.f 533 kB/s | 17 kB 00:00 (2698/3380): texlive-rsfso-svn37965.1.02-61.fc3 473 kB/s | 21 kB 00:00 (2699/3380): texlive-rulerbox-svn50984-61.fc38. 2.2 MB/s | 76 kB 00:00 (2700/3380): texlive-rulercompass-svn32392.1-61 588 kB/s | 21 kB 00:00 (2701/3380): texlive-runcode-svn58908-61.fc38.n 5.4 MB/s | 189 kB 00:00 (2702/3380): texlive-rvwrite-svn19614.1.2-61.fc 336 kB/s | 16 kB 00:00 (2703/3380): texlive-rviewport-svn23739.v1.0-61 296 kB/s | 16 kB 00:00 (2704/3380): texlive-rutitlepage-svn51073-61.fc 31 MB/s | 2.7 MB 00:00 (2705/3380): texlive-ryethesis-svn33945.1.36-61 497 kB/s | 22 kB 00:00 (2706/3380): texlive-ryersonsgsthesis-svn50119- 1.4 MB/s | 83 kB 00:00 (2707/3380): texlive-sa-tikz-svn32815.0.7a-61.f 703 kB/s | 23 kB 00:00 (2708/3380): texlive-sageep-svn15878.1.0-61.fc3 694 kB/s | 23 kB 00:00 (2709/3380): texlive-sanitize-umlaut-svn53292-6 581 kB/s | 19 kB 00:00 (2710/3380): texlive-sankey-svn58661-61.fc38.no 16 MB/s | 928 kB 00:00 (2711/3380): texlive-sansmath-svn17997.1.1-61.f 376 kB/s | 15 kB 00:00 (2712/3380): texlive-sansmathaccent-svn53628-61 600 kB/s | 37 kB 00:00 (2713/3380): texlive-sapthesis-svn48365-61.fc38 1.2 MB/s | 64 kB 00:00 (2714/3380): texlive-sasnrdisplay-svn45963-61.f 625 kB/s | 25 kB 00:00 (2715/3380): texlive-sauerj-svn15878.0-61.fc38. 626 kB/s | 20 kB 00:00 (2716/3380): texlive-sansmathfonts-svn51356-61. 39 MB/s | 4.2 MB 00:00 (2717/3380): texlive-sauter-svn13293.2.4-61.fc3 1.1 MB/s | 42 kB 00:00 (2718/3380): texlive-sauterfonts-svn15878.0-61. 717 kB/s | 30 kB 00:00 (2719/3380): texlive-savefnmark-svn15878.1.0-61 411 kB/s | 18 kB 00:00 (2720/3380): texlive-savesym-svn31565.1.2-61.fc 391 kB/s | 16 kB 00:00 (2721/3380): texlive-savetrees-svn40525-61.fc38 692 kB/s | 27 kB 00:00 (2722/3380): texlive-scale-svn15878.1.1.2-61.fc 415 kB/s | 18 kB 00:00 (2723/3380): texlive-scalebar-svn15878.1.0-61.f 367 kB/s | 16 kB 00:00 (2724/3380): texlive-scalerel-svn42809-61.fc38. 623 kB/s | 20 kB 00:00 (2725/3380): texlive-scanpages-svn42633-61.fc38 708 kB/s | 23 kB 00:00 (2726/3380): texlive-schedule-svn51805-61.fc38. 5.7 MB/s | 258 kB 00:00 (2727/3380): texlive-schemabloc-svn58212-61.fc3 350 kB/s | 17 kB 00:00 (2728/3380): texlive-schule-svn56683-61.fc38.no 2.2 MB/s | 85 kB 00:00 (2729/3380): texlive-schooldocs-svn55838-61.fc3 15 MB/s | 897 kB 00:00 (2730/3380): texlive-scholax-svn58733-61.fc38.n 33 MB/s | 2.4 MB 00:00 (2731/3380): texlive-schulschriften-svn35730.4- 2.7 MB/s | 111 kB 00:00 (2732/3380): texlive-scientific-thesis-cover-sv 4.3 MB/s | 150 kB 00:00 (2733/3380): texlive-sciposter-svn15878.1.18-61 588 kB/s | 23 kB 00:00 (2734/3380): texlive-sclang-prettifier-svn35087 524 kB/s | 20 kB 00:00 (2735/3380): texlive-scontents-svn53504-61.fc38 5.9 MB/s | 374 kB 00:00 (2736/3380): texlive-scratch-svn50073-61.fc38.n 8.0 MB/s | 520 kB 00:00 (2737/3380): texlive-scratch3-svn56258-61.fc38. 10 MB/s | 531 kB 00:00 (2738/3380): texlive-scratchx-svn44906-61.fc38. 9.9 MB/s | 441 kB 00:00 (2739/3380): texlive-scrjrnl-svn27810.0.1-61.fc 553 kB/s | 20 kB 00:00 (2740/3380): texlive-scrlayer-fancyhdr-svn58746 6.3 MB/s | 256 kB 00:00 (2741/3380): texlive-scrlttr2copy-svn56733-61.f 460 kB/s | 19 kB 00:00 (2742/3380): texlive-scsnowman-svn54080-61.fc38 6.8 MB/s | 490 kB 00:00 (2743/3380): texlive-sdaps-svn54678-61.fc38.noa 11 MB/s | 813 kB 00:00 (2744/3380): texlive-sdrt-svn15878.1.0-61.fc38. 339 kB/s | 17 kB 00:00 (2745/3380): texlive-sduthesis-svn41401-61.fc38 23 MB/s | 1.2 MB 00:00 (2746/3380): texlive-secdot-svn20208.1.0-61.fc3 379 kB/s | 16 kB 00:00 (2747/3380): texlive-secnum-svn53657-61.fc38.no 7.7 MB/s | 390 kB 00:00 (2748/3380): texlive-sectionbox-svn37749.1.01-6 509 kB/s | 17 kB 00:00 (2749/3380): texlive-section-svn20180.0-61.fc38 549 kB/s | 24 kB 00:00 (2750/3380): texlive-sectionbreak-svn50339-61.f 2.0 MB/s | 68 kB 00:00 (2751/3380): texlive-sectsty-svn15878.2.0.2-61. 592 kB/s | 19 kB 00:00 (2752/3380): texlive-seealso-svn43595-61.fc38.n 452 kB/s | 20 kB 00:00 (2753/3380): texlive-selectp-svn20185.1.0-61.fc 352 kB/s | 16 kB 00:00 (2754/3380): texlive-selinput-svn53098-61.fc38. 12 MB/s | 540 kB 00:00 (2755/3380): texlive-semantex-svn56863-61.fc38. 9.9 MB/s | 418 kB 00:00 (2756/3380): texlive-semantic-markup-svn53607-6 6.3 MB/s | 282 kB 00:00 (2757/3380): texlive-semantic-svn15878.2.0-61.f 673 kB/s | 22 kB 00:00 (2758/3380): texlive-semaphor-svn18651.0-61.fc3 7.0 MB/s | 302 kB 00:00 (2759/3380): texlive-semesterplanner-svn56841-6 2.0 MB/s | 95 kB 00:00 (2760/3380): texlive-seminar-svn34011.1.62-61.f 1.1 MB/s | 48 kB 00:00 (2761/3380): texlive-semioneside-svn15878.v0.41 485 kB/s | 16 kB 00:00 (2762/3380): texlive-semtex-svn56530-61.fc38.no 2.4 MB/s | 83 kB 00:00 (2763/3380): texlive-semproc-svn37568.0.1-61.fc 482 kB/s | 20 kB 00:00 (2764/3380): texlive-sepfootnotes-svn41732-61.f 605 kB/s | 20 kB 00:00 (2765/3380): texlive-sepnum-svn20186.2.0-61.fc3 531 kB/s | 17 kB 00:00 (2766/3380): texlive-seqsplit-svn15878.0.1-61.f 493 kB/s | 16 kB 00:00 (2767/3380): texlive-sesamanuel-svn36613.0.6-61 1.3 MB/s | 47 kB 00:00 (2768/3380): texlive-sesstime-svn49750-61.fc38. 7.2 MB/s | 325 kB 00:00 (2769/3380): texlive-setspace-svn24881.6.7a-61. 580 kB/s | 19 kB 00:00 (2770/3380): texlive-setdeck-svn40613-61.fc38.n 514 kB/s | 25 kB 00:00 (2771/3380): texlive-seuthesis-svn33042.2.1.2-6 1.0 MB/s | 33 kB 00:00 (2772/3380): texlive-seuthesix-svn40088-61.fc38 997 kB/s | 33 kB 00:00 (2773/3380): texlive-sf298-svn41653-61.fc38.noa 543 kB/s | 21 kB 00:00 (2774/3380): texlive-sffms-svn15878.2.0-61.fc38 515 kB/s | 18 kB 00:00 (2775/3380): texlive-sfg-svn20209.0.91-61.fc38. 522 kB/s | 21 kB 00:00 (2776/3380): texlive-sfmath-svn15878.0.8-61.fc3 584 kB/s | 19 kB 00:00 (2777/3380): texlive-shadethm-svn53350-61.fc38. 613 kB/s | 23 kB 00:00 (2778/3380): texlive-shadow-svn20312.0-61.fc38. 503 kB/s | 16 kB 00:00 (2779/3380): texlive-shadowtext-svn26522.0.3-61 376 kB/s | 16 kB 00:00 (2780/3380): texlive-shapepar-svn30708.2.2-61.f 668 kB/s | 28 kB 00:00 (2781/3380): texlive-shdoc-svn41991-61.fc38.noa 549 kB/s | 20 kB 00:00 (2782/3380): texlive-shipunov-svn52334-61.fc38. 1.1 MB/s | 43 kB 00:00 (2783/3380): texlive-shortmathj-svn54407-61.fc3 5.1 MB/s | 180 kB 00:00 (2784/3380): texlive-shobhika-svn50555-61.fc38. 10 MB/s | 490 kB 00:00 (2785/3380): texlive-shorttoc-svn15878.1.3-61.f 402 kB/s | 16 kB 00:00 (2786/3380): texlive-show2e-svn15878.1.0-61.fc3 470 kB/s | 16 kB 00:00 (2787/3380): texlive-showcharinbox-svn29803.0.1 601 kB/s | 19 kB 00:00 (2788/3380): texlive-showdim-svn28918.1.2-61.fc 411 kB/s | 16 kB 00:00 (2789/3380): texlive-showexpl-svn57414-61.fc38. 573 kB/s | 19 kB 00:00 (2790/3380): texlive-showhyphens-svn39787-61.fc 325 kB/s | 13 kB 00:00 (2791/3380): texlive-showlabels-svn41322-61.fc3 592 kB/s | 19 kB 00:00 (2792/3380): texlive-showtags-svn20336.1.05-61. 359 kB/s | 14 kB 00:00 (2793/3380): texlive-sidecap-svn15878.1.6f-61.f 499 kB/s | 18 kB 00:00 (2794/3380): texlive-shuffle-svn15878.1.0-61.fc 319 kB/s | 17 kB 00:00 (2795/3380): texlive-shtthesis-svn57740-61.fc38 29 MB/s | 2.1 MB 00:00 (2796/3380): texlive-sidenotes-svn54524-61.fc38 691 kB/s | 22 kB 00:00 (2797/3380): texlive-signchart-svn39707-61.fc38 519 kB/s | 19 kB 00:00 (2798/3380): texlive-silence-svn27028.1.5b-61.f 558 kB/s | 18 kB 00:00 (2799/3380): texlive-simplebnf-svn56761-61.fc38 3.1 MB/s | 145 kB 00:00 (2800/3380): texlive-simplecv-svn35537.1.6a-61. 388 kB/s | 17 kB 00:00 (2801/3380): texlive-simplecd-svn29260.1.4-61.f 435 kB/s | 22 kB 00:00 (2802/3380): texlive-simpleinvoice-svn45673-61. 5.3 MB/s | 233 kB 00:00 (2803/3380): texlive-simpleoptics-svn54080-61.f 2.0 MB/s | 100 kB 00:00 (2804/3380): texlive-simplekv-svn54915-61.fc38. 5.3 MB/s | 344 kB 00:00 (2805/3380): texlive-simpler-wick-svn39074-61.f 620 kB/s | 20 kB 00:00 (2806/3380): texlive-simplewick-svn15878.1.2a-6 560 kB/s | 18 kB 00:00 (2807/3380): texlive-sitem-svn22136.1.0-61.fc38 419 kB/s | 18 kB 00:00 (2808/3380): texlive-simplivre-svn59323-61.fc38 7.8 MB/s | 452 kB 00:00 (2809/3380): texlive-siunitx-svn58909-61.fc38.n 1.2 MB/s | 53 kB 00:00 (2810/3380): texlive-skak-svn46259-61.fc38.noar 942 kB/s | 39 kB 00:00 (2811/3380): texlive-skb-svn22781.0.52-61.fc38. 584 kB/s | 25 kB 00:00 (2812/3380): texlive-skdoc-svn56950-61.fc38.noa 714 kB/s | 28 kB 00:00 (2813/3380): texlive-skeldoc-svn57922-61.fc38.n 6.3 MB/s | 235 kB 00:00 (2814/3380): texlive-skeycommand-svn24652.0.4-6 533 kB/s | 22 kB 00:00 (2815/3380): texlive-skeyval-svn30560.1.3-61.fc 2.2 MB/s | 117 kB 00:00 (2816/3380): texlive-skills-svn56734-61.fc38.no 2.2 MB/s | 93 kB 00:00 (2817/3380): texlive-skmath-svn52411-61.fc38.no 634 kB/s | 21 kB 00:00 (2818/3380): texlive-skrapport-svn52412-61.fc38 781 kB/s | 32 kB 00:00 (2819/3380): texlive-skull-svn51907-61.fc38.noa 566 kB/s | 18 kB 00:00 (2820/3380): texlive-slantsc-svn25007.2.11-61.f 418 kB/s | 16 kB 00:00 (2821/3380): texlive-smalltableof-svn20333.0-61 477 kB/s | 16 kB 00:00 (2822/3380): texlive-smartdiagram-svn42781-61.f 572 kB/s | 25 kB 00:00 (2823/3380): texlive-smartref-svn20311.1.9-61.f 486 kB/s | 18 kB 00:00 (2824/3380): texlive-smartunits-svn39592-61.fc3 518 kB/s | 21 kB 00:00 (2825/3380): texlive-snapshot-svn56735-61.fc38. 605 kB/s | 20 kB 00:00 (2826/3380): texlive-smflatex-svn58910-61.fc38. 17 MB/s | 850 kB 00:00 (2827/3380): texlive-snotez-svn57147-61.fc38.no 628 kB/s | 20 kB 00:00 (2828/3380): texlive-songbook-svn18136.4.5-61.f 793 kB/s | 30 kB 00:00 (2829/3380): texlive-sort-by-letters-svn27128.0 708 kB/s | 24 kB 00:00 (2830/3380): texlive-soton-svn16215.0.1-61.fc38 498 kB/s | 16 kB 00:00 (2831/3380): texlive-soul-svn56495-61.fc38.noar 625 kB/s | 21 kB 00:00 (2832/3380): texlive-soulpos-svn52663-61.fc38.n 3.7 MB/s | 173 kB 00:00 (2833/3380): texlive-soulutf8-svn53163-61.fc38. 7.9 MB/s | 357 kB 00:00 (2834/3380): texlive-sourcecodepro-svn54512-61. 24 MB/s | 3.8 MB 00:00 (2835/3380): texlive-sourceserifpro-svn54512-61 28 MB/s | 4.0 MB 00:00 (2836/3380): texlive-sourcesanspro-svn54892-61. 35 MB/s | 5.6 MB 00:00 (2837/3380): texlive-spalign-svn42225-61.fc38.n 4.0 MB/s | 137 kB 00:00 (2838/3380): texlive-spacingtricks-svn56840-61. 2.5 MB/s | 115 kB 00:00 (2839/3380): texlive-spark-otf-svn51005-61.fc38 2.7 MB/s | 121 kB 00:00 (2840/3380): texlive-sparklines-svn42821-61.fc3 534 kB/s | 17 kB 00:00 (2841/3380): texlive-spath3-svn57842-61.fc38.no 1.2 MB/s | 39 kB 00:00 (2842/3380): texlive-sphack-svn20842.0-61.fc38. 398 kB/s | 13 kB 00:00 (2843/3380): texlive-sphdthesis-svn34374.1.0-61 479 kB/s | 19 kB 00:00 (2844/3380): texlive-spectralsequences-svn50072 29 MB/s | 2.6 MB 00:00 (2845/3380): texlive-spectral-svn57296-61.fc38. 36 MB/s | 4.1 MB 00:00 (2846/3380): texlive-spie-svn15878.3.25-61.fc38 762 kB/s | 25 kB 00:00 (2847/3380): texlive-splitbib-svn15878.1.17-61. 506 kB/s | 19 kB 00:00 (2848/3380): texlive-splitindex-20210325-52.fc3 8.8 MB/s | 434 kB 00:00 (2849/3380): texlive-spot-svn22408.1.1-61.fc38. 459 kB/s | 20 kB 00:00 (2850/3380): texlive-spotcolor-svn15878.1.2-61. 604 kB/s | 25 kB 00:00 (2851/3380): texlive-spreadtab-svn50147-61.fc38 1.2 MB/s | 39 kB 00:00 (2852/3380): texlive-spverbatim-svn15878.v1.0-6 490 kB/s | 16 kB 00:00 (2853/3380): texlive-srbook-mem-svn45818-61.fc3 372 kB/s | 12 kB 00:00 (2854/3380): texlive-sr-vorl-svn39529-61.fc38.n 527 kB/s | 21 kB 00:00 (2855/3380): texlive-srcltx-svn15878.1.6-61.fc3 438 kB/s | 14 kB 00:00 (2856/3380): texlive-srdp-mathematik-svn58734-6 4.3 MB/s | 170 kB 00:00 (2857/3380): texlive-sslides-svn32293.0-61.fc38 566 kB/s | 18 kB 00:00 (2858/3380): texlive-sseq-svn31585.2.01-61.fc38 492 kB/s | 23 kB 00:00 (2859/3380): texlive-stack-svn15878.1.00-61.fc3 511 kB/s | 17 kB 00:00 (2860/3380): texlive-stackengine-svn53843-61.fc 687 kB/s | 22 kB 00:00 (2861/3380): texlive-standalone-svn56291-61.fc3 845 kB/s | 30 kB 00:00 (2862/3380): texlive-starfont-svn19982.1.2-61.f 5.3 MB/s | 188 kB 00:00 (2863/3380): texlive-stanli-svn54512-61.fc38.no 10 MB/s | 477 kB 00:00 (2864/3380): texlive-statex-svn20306.1.6-61.fc3 508 kB/s | 19 kB 00:00 (2865/3380): texlive-statex2-svn23961.2.1-61.fc 612 kB/s | 20 kB 00:00 (2866/3380): texlive-statistik-svn20334.0.03-61 418 kB/s | 18 kB 00:00 (2867/3380): texlive-statistics-svn52212-61.fc3 7.4 MB/s | 446 kB 00:00 (2868/3380): texlive-statmath-svn46925-61.fc38. 4.3 MB/s | 218 kB 00:00 (2869/3380): texlive-staves-svn15878.0-61.fc38. 3.4 MB/s | 134 kB 00:00 (2870/3380): texlive-stdclsdv-svn15878.1.1a-61. 494 kB/s | 16 kB 00:00 (2871/3380): texlive-stdpage-svn15878.0.6-61.fc 501 kB/s | 18 kB 00:00 (2872/3380): texlive-steinmetz-svn15878.1.0-61. 290 kB/s | 16 kB 00:00 (2873/3380): texlive-stellenbosch-svn36696.11a- 14 MB/s | 727 kB 00:00 (2874/3380): texlive-stealcaps-svn46434-61.fc38 34 MB/s | 2.9 MB 00:00 (2875/3380): texlive-step-svn57307-61.fc38.noar 25 MB/s | 1.5 MB 00:00 (2876/3380): texlive-stepgreek-svn57074-61.fc38 9.7 MB/s | 466 kB 00:00 (2877/3380): texlive-stex-svn50489-61.fc38.noar 1.4 MB/s | 68 kB 00:00 (2878/3380): texlive-stix-svn54512-61.fc38.noar 24 MB/s | 2.6 MB 00:00 (2879/3380): texlive-stix2-otf-svn58735-61.fc38 25 MB/s | 2.7 MB 00:00 (2880/3380): texlive-stickstoo-svn57193-61.fc38 26 MB/s | 3.4 MB 00:00 (2881/3380): texlive-stmaryrd-svn22027.0-61.fc3 3.4 MB/s | 191 kB 00:00 (2882/3380): texlive-storebox-svn56291-61.fc38. 398 kB/s | 20 kB 00:00 (2883/3380): texlive-storecmd-svn24431.0.0.2-61 597 kB/s | 21 kB 00:00 (2884/3380): texlive-stix2-type1-svn57448-61.fc 42 MB/s | 4.3 MB 00:00 (2885/3380): texlive-stringenc-svn52982-61.fc38 16 MB/s | 703 kB 00:00 (2886/3380): texlive-stringstrings-svn57097-61. 648 kB/s | 27 kB 00:00 (2887/3380): texlive-structmech-svn58985-61.fc3 3.3 MB/s | 154 kB 00:00 (2888/3380): texlive-struktex-svn47931-61.fc38. 588 kB/s | 27 kB 00:00 (2889/3380): texlive-stubs-svn19440.0.1.1-61.fc 535 kB/s | 18 kB 00:00 (2890/3380): texlive-sttools-svn56774-61.fc38.n 731 kB/s | 31 kB 00:00 (2891/3380): texlive-studenthandouts-svn43516-6 7.0 MB/s | 333 kB 00:00 (2892/3380): texlive-subdocs-svn51480-61.fc38.n 326 kB/s | 15 kB 00:00 (2893/3380): texlive-subdepth-svn15878.0.1-61.f 301 kB/s | 16 kB 00:00 (2894/3380): texlive-subeqn-svn15878.2.0b-61.fc 376 kB/s | 16 kB 00:00 (2895/3380): texlive-subeqnarray-svn15878.2.1c- 520 kB/s | 17 kB 00:00 (2896/3380): texlive-subfig-svn15878.1.3-61.fc3 581 kB/s | 22 kB 00:00 (2897/3380): texlive-subfigmat-svn20308.1.0-61. 522 kB/s | 17 kB 00:00 (2898/3380): texlive-subfigure-svn15878.2.1.5-6 528 kB/s | 20 kB 00:00 (2899/3380): texlive-subfiles-svn56977-61.fc38. 607 kB/s | 20 kB 00:00 (2900/3380): texlive-subfloat-svn29349.2.14-61. 514 kB/s | 17 kB 00:00 (2901/3380): texlive-substances-svn40989-61.fc3 561 kB/s | 22 kB 00:00 (2902/3380): texlive-substr-svn16117.1.2-61.fc3 435 kB/s | 17 kB 00:00 (2903/3380): texlive-substitutefont-svn32066.0. 471 kB/s | 19 kB 00:00 (2904/3380): texlive-subsupscripts-svn16080.1.0 293 kB/s | 12 kB 00:00 (2905/3380): texlive-subtext-svn51273-61.fc38.n 1.5 MB/s | 55 kB 00:00 (2906/3380): texlive-suftesi-svn57650-61.fc38.n 756 kB/s | 32 kB 00:00 (2907/3380): texlive-superiors-svn51909-61.fc38 803 kB/s | 26 kB 00:00 (2908/3380): texlive-sugconf-svn58752-61.fc38.n 432 kB/s | 17 kB 00:00 (2909/3380): texlive-supertabular-svn53658-61.f 653 kB/s | 21 kB 00:00 (2910/3380): texlive-suppose-svn59281-61.fc38.n 4.8 MB/s | 211 kB 00:00 (2911/3380): texlive-svg-svn57010-61.fc38.noarc 740 kB/s | 32 kB 00:00 (2912/3380): texlive-susy-svn19440.0-61.fc38.no 287 kB/s | 15 kB 00:00 (2913/3380): texlive-svgcolor-svn15878.1.0-61.f 558 kB/s | 18 kB 00:00 (2914/3380): texlive-svn-prov-svn56291-61.fc38. 518 kB/s | 17 kB 00:00 (2915/3380): texlive-svn-multi-20210325-52.fc38 9.7 MB/s | 415 kB 00:00 (2916/3380): texlive-svn-svn15878.43-61.fc38.no 581 kB/s | 19 kB 00:00 (2917/3380): texlive-svninfo-svn17554.0.7.4-61. 573 kB/s | 19 kB 00:00 (2918/3380): texlive-svrsymbols-svn50019-61.fc3 2.1 MB/s | 87 kB 00:00 (2919/3380): texlive-swimgraf-svn25446.0-61.fc3 690 kB/s | 26 kB 00:00 (2920/3380): texlive-swfigure-svn57213-61.fc38. 28 MB/s | 1.5 MB 00:00 (2921/3380): texlive-syllogism-svn15878.1.2-61. 518 kB/s | 17 kB 00:00 (2922/3380): texlive-symbol-svn31835.0-61.fc38. 1.5 MB/s | 55 kB 00:00 (2923/3380): texlive-synproof-svn15878.1.0-61.f 434 kB/s | 17 kB 00:00 (2924/3380): texlive-sympytexpackage-svn57090-6 462 kB/s | 18 kB 00:00 (2925/3380): texlive-syntax-svn15878.0-61.fc38. 483 kB/s | 19 kB 00:00 (2926/3380): texlive-syntrace-svn15878.1.1-61.f 479 kB/s | 16 kB 00:00 (2927/3380): texlive-syntaxdi-svn56685-61.fc38. 4.4 MB/s | 201 kB 00:00 (2928/3380): texlive-synttree-svn16252.1.4.2-61 491 kB/s | 18 kB 00:00 (2929/3380): texlive-tabfigures-svn25202.1.1-61 601 kB/s | 20 kB 00:00 (2930/3380): texlive-t-angles-svn15878.0-61.fc3 472 kB/s | 22 kB 00:00 (2931/3380): texlive-table-fct-svn41849-61.fc38 629 kB/s | 20 kB 00:00 (2932/3380): texlive-tableaux-svn42413-61.fc38. 445 kB/s | 18 kB 00:00 (2933/3380): texlive-tablefootnote-svn32804.1.1 479 kB/s | 21 kB 00:00 (2934/3380): texlive-tableof-svn48815-61.fc38.n 618 kB/s | 20 kB 00:00 (2935/3380): texlive-tablestyles-svn34495.0-61. 347 kB/s | 13 kB 00:00 (2936/3380): texlive-tablists-svn15878.0.0e-61. 533 kB/s | 17 kB 00:00 (2937/3380): texlive-tablor-svn31855.4.07_g-61. 650 kB/s | 28 kB 00:00 (2938/3380): texlive-tablvar-svn51543-61.fc38.n 5.4 MB/s | 190 kB 00:00 (2939/3380): texlive-tabls-svn17255.3.5-61.fc38 391 kB/s | 15 kB 00:00 (2940/3380): texlive-tabriz-thesis-svn51729-61. 509 kB/s | 21 kB 00:00 (2941/3380): texlive-tabstackengine-svn46848-61 527 kB/s | 20 kB 00:00 (2942/3380): texlive-tabto-ltx-svn54080-61.fc38 508 kB/s | 18 kB 00:00 (2943/3380): texlive-tabu-svn56615-61.fc38.noar 1.1 MB/s | 42 kB 00:00 (2944/3380): texlive-tabularcalc-svn15878.0.2-6 509 kB/s | 20 kB 00:00 (2945/3380): texlive-tabularborder-svn17885.1.0 438 kB/s | 17 kB 00:00 (2946/3380): texlive-tabularew-svn15878.0.1-61. 421 kB/s | 17 kB 00:00 (2947/3380): texlive-tabulary-svn34368.0.10-61. 579 kB/s | 19 kB 00:00 (2948/3380): texlive-tagging-svn52064-61.fc38.n 441 kB/s | 18 kB 00:00 (2949/3380): texlive-tagpair-svn42138-61.fc38.n 489 kB/s | 19 kB 00:00 (2950/3380): texlive-talk-svn42428-61.fc38.noar 613 kB/s | 20 kB 00:00 (2951/3380): texlive-tagpdf-svn57954-61.fc38.no 13 MB/s | 668 kB 00:00 (2952/3380): texlive-tamefloats-svn27345.v0.42- 664 kB/s | 22 kB 00:00 (2953/3380): texlive-tapir-svn20484.0.2-61.fc38 1.7 MB/s | 68 kB 00:00 (2954/3380): texlive-tasks-svn57835-61.fc38.noa 691 kB/s | 25 kB 00:00 (2955/3380): texlive-tcldoc-svn22018.2.40-61.fc 671 kB/s | 22 kB 00:00 (2956/3380): texlive-tdclock-svn33043.v2.5-61.f 665 kB/s | 22 kB 00:00 (2957/3380): texlive-tcolorbox-svn56610-61.fc38 4.5 MB/s | 251 kB 00:00 (2958/3380): texlive-technics-svn29349.1.0-61.f 416 kB/s | 16 kB 00:00 (2959/3380): texlive-technion-thesis-template-s 3.4 MB/s | 136 kB 00:00 (2960/3380): texlive-ted-svn15878.1.06-61.fc38. 423 kB/s | 18 kB 00:00 (2961/3380): texlive-templatetools-svn34495.0-6 480 kB/s | 20 kB 00:00 (2962/3380): texlive-tempora-svn39596-61.fc38.n 25 MB/s | 1.3 MB 00:00 (2963/3380): texlive-tensind-svn51481-61.fc38.n 5.4 MB/s | 225 kB 00:00 (2964/3380): texlive-tengwarscript-svn34594.1.3 1.6 MB/s | 73 kB 00:00 (2965/3380): texlive-tensor-svn15878.2.1-61.fc3 507 kB/s | 17 kB 00:00 (2966/3380): texlive-termcal-svn22514.1.8-61.fc 532 kB/s | 17 kB 00:00 (2967/3380): texlive-termlist-svn18923.1.1-61.f 487 kB/s | 16 kB 00:00 (2968/3380): texlive-testhyphens-svn38928-61.fc 539 kB/s | 20 kB 00:00 (2969/3380): texlive-tex-20210325-52.fc38.x86_6 4.4 MB/s | 210 kB 00:00 (2970/3380): texlive-tex-ewd-svn15878.0-61.fc38 393 kB/s | 16 kB 00:00 (2971/3380): texlive-testidx-svn52213-61.fc38.n 37 MB/s | 3.2 MB 00:00 (2972/3380): texlive-tex-gyre-math-svn41264-61. 25 MB/s | 1.4 MB 00:00 (2973/3380): texlive-tex-ini-files-svn40533-61. 350 kB/s | 16 kB 00:00 (2974/3380): texlive-tex-label-svn16372.0-61.fc 427 kB/s | 18 kB 00:00 (2975/3380): texlive-tex-locale-svn48500-61.fc3 16 MB/s | 949 kB 00:00 (2976/3380): texlive-tex-gyre-svn48058-61.fc38. 49 MB/s | 8.0 MB 00:00 (2977/3380): texlive-texdraw-svn51030-61.fc38.n 1.1 MB/s | 55 kB 00:00 (2978/3380): texlive-tex4ht-20210325-52.fc38.x8 29 MB/s | 3.2 MB 00:00 (2979/3380): texlive-texilikechaps-svn28553.1.0 405 kB/s | 17 kB 00:00 (2980/3380): texlive-texilikecover-svn15878.0.1 410 kB/s | 16 kB 00:00 (2981/3380): texlive-texlive-common-doc-svn5417 2.8 MB/s | 106 kB 00:00 (2982/3380): texlive-texlive-msg-translations-s 4.2 MB/s | 162 kB 00:00 (2983/3380): texlive-texlive-scripts-20210325-5 2.8 MB/s | 116 kB 00:00 (2984/3380): texlive-texlive-en-20210325-52.fc3 27 MB/s | 1.9 MB 00:00 (2985/3380): texlive-texlive.infra-20210325-52. 6.7 MB/s | 288 kB 00:00 (2986/3380): texlive-texlogos-svn19083.1.3.1-61 404 kB/s | 17 kB 00:00 (2987/3380): texlive-texmate-svn15878.2-61.fc38 641 kB/s | 21 kB 00:00 (2988/3380): texlive-texments-svn15878.0.2.0-61 413 kB/s | 16 kB 00:00 (2989/3380): texlive-texpower-svn29349.0.2-61.f 1.2 MB/s | 58 kB 00:00 (2990/3380): texlive-texshade-svn58789-61.fc38. 1.8 MB/s | 82 kB 00:00 (2991/3380): texlive-textcase-svn52092-61.fc38. 502 kB/s | 16 kB 00:00 (2992/3380): texlive-textgreek-svn44192-61.fc38 458 kB/s | 17 kB 00:00 (2993/3380): texlive-textmerg-svn20677.2.01-61. 417 kB/s | 14 kB 00:00 (2994/3380): texlive-textfit-svn20591.5-61.fc38 451 kB/s | 19 kB 00:00 (2995/3380): texlive-textopo-svn23796.1.5-61.fc 1.1 MB/s | 49 kB 00:00 (2996/3380): texlive-textualicomma-svn48474-61. 3.2 MB/s | 133 kB 00:00 (2997/3380): texlive-textpos-svn56441-61.fc38.n 391 kB/s | 20 kB 00:00 (2998/3380): texlive-texvc-svn46844-61.fc38.noa 578 kB/s | 19 kB 00:00 (2999/3380): texlive-tfrupee-svn20770.1.02-61.f 13 MB/s | 613 kB 00:00 (3000/3380): texlive-theanodidot-svn54512-61.fc 10 MB/s | 464 kB 00:00 (3001/3380): texlive-theanomodern-svn54512-61.f 10 MB/s | 477 kB 00:00 (3002/3380): texlive-theoremref-svn54512-61.fc3 521 kB/s | 19 kB 00:00 (3003/3380): texlive-theanooldstyle-svn54512-61 13 MB/s | 571 kB 00:00 (3004/3380): texlive-thesis-ekf-svn57207-61.fc3 2.3 MB/s | 77 kB 00:00 (3005/3380): texlive-thesis-gwu-svn54287-61.fc3 7.6 MB/s | 426 kB 00:00 (3006/3380): texlive-thesis-qom-svn49124-61.fc3 19 MB/s | 1.2 MB 00:00 (3007/3380): texlive-thesis-titlepage-fhac-svn1 372 kB/s | 18 kB 00:00 (3008/3380): texlive-thinsp-svn39669-61.fc38.no 530 kB/s | 17 kB 00:00 (3009/3380): texlive-thmbox-svn15878.0-61.fc38. 523 kB/s | 17 kB 00:00 (3010/3380): texlive-thmtools-svn56070-61.fc38. 899 kB/s | 33 kB 00:00 (3011/3380): texlive-threadcol-svn28754.1.0-61. 593 kB/s | 19 kB 00:00 (3012/3380): texlive-threeparttable-svn17383.0- 518 kB/s | 17 kB 00:00 (3013/3380): texlive-threeparttablex-svn34206.0 471 kB/s | 17 kB 00:00 (3014/3380): texlive-thuaslogos-svn51347-61.fc3 17 MB/s | 789 kB 00:00 (3015/3380): texlive-thumb-svn16549.1.0-61.fc38 587 kB/s | 20 kB 00:00 (3016/3380): texlive-thucoursework-svn56435-61. 11 MB/s | 514 kB 00:00 (3017/3380): texlive-thumbpdf-20210325-52.fc38. 955 kB/s | 40 kB 00:00 (3018/3380): texlive-thumbs-svn33134.1.0q-61.fc 625 kB/s | 28 kB 00:00 (3019/3380): texlive-thumby-svn16736.0.1-61.fc3 529 kB/s | 26 kB 00:00 (3020/3380): texlive-thuthesis-svn58750-61.fc38 1.5 MB/s | 61 kB 00:00 (3021/3380): texlive-ticket-svn42280-61.fc38.no 536 kB/s | 20 kB 00:00 (3022/3380): texlive-ticollege-svn36306.1.0-61. 610 kB/s | 20 kB 00:00 (3023/3380): texlive-tikz-3dplot-svn25087.0-61. 779 kB/s | 25 kB 00:00 (3024/3380): texlive-tikz-bayesnet-svn38295.0.1 592 kB/s | 19 kB 00:00 (3025/3380): texlive-tikz-bbox-svn57444-61.fc38 5.1 MB/s | 250 kB 00:00 (3026/3380): texlive-tikz-cd-svn59133-61.fc38.n 626 kB/s | 29 kB 00:00 (3027/3380): texlive-tikz-dependency-svn54512-6 697 kB/s | 27 kB 00:00 (3028/3380): texlive-tikz-dimline-svn35805.1.0- 378 kB/s | 14 kB 00:00 (3029/3380): texlive-tikz-among-us-svn56820-61. 34 MB/s | 4.9 MB 00:00 (3030/3380): texlive-tikz-feynman-svn56615-61.f 1.0 MB/s | 33 kB 00:00 (3031/3380): texlive-tikz-feynhand-svn51915-61. 7.9 MB/s | 336 kB 00:00 (3032/3380): texlive-tikz-inet-svn15878.0.1-61. 533 kB/s | 17 kB 00:00 (3033/3380): texlive-tikz-kalender-svn52890-61. 3.1 MB/s | 132 kB 00:00 (3034/3380): texlive-tikz-karnaugh-svn47026-61. 6.9 MB/s | 287 kB 00:00 (3035/3380): texlive-tikz-imagelabels-svn51490- 11 MB/s | 967 kB 00:00 (3036/3380): texlive-tikz-ladder-svn46555-61.fc 5.6 MB/s | 256 kB 00:00 (3037/3380): texlive-tikz-lake-fig-svn55288-61. 1.7 MB/s | 82 kB 00:00 (3038/3380): texlive-tikz-nef-svn55920-61.fc38. 2.5 MB/s | 107 kB 00:00 (3039/3380): texlive-tikz-layers-svn46660-61.fc 336 kB/s | 19 kB 00:00 (3040/3380): texlive-tikz-opm-svn32769.0.1.1-61 589 kB/s | 19 kB 00:00 (3041/3380): texlive-tikz-network-svn51884-61.f 17 MB/s | 835 kB 00:00 (3042/3380): texlive-tikz-optics-svn43466-61.fc 7.3 MB/s | 411 kB 00:00 (3043/3380): texlive-tikz-palattice-svn43442-61 649 kB/s | 23 kB 00:00 (3044/3380): texlive-tikz-page-svn42039-61.fc38 13 MB/s | 569 kB 00:00 (3045/3380): texlive-tikz-qtree-svn26108.1.2-61 727 kB/s | 24 kB 00:00 (3046/3380): texlive-tikz-planets-svn55002-61.f 2.5 MB/s | 181 kB 00:00 (3047/3380): texlive-tikz-relay-svn51355-61.fc3 8.0 MB/s | 498 kB 00:00 (3048/3380): texlive-tikz-sfc-svn49424-61.fc38. 7.4 MB/s | 348 kB 00:00 (3049/3380): texlive-tikz-timing-svn56291-61.fc 1.1 MB/s | 35 kB 00:00 (3050/3380): texlive-tikz-trackschematic-svn573 13 MB/s | 655 kB 00:00 (3051/3380): texlive-tikz-truchet-svn50020-61.f 3.3 MB/s | 129 kB 00:00 (3052/3380): texlive-tikzcodeblocks-svn54758-61 8.4 MB/s | 492 kB 00:00 (3053/3380): texlive-tikzinclude-svn28715.1.0-6 572 kB/s | 19 kB 00:00 (3054/3380): texlive-tikzducks-svn55713-61.fc38 9.8 MB/s | 471 kB 00:00 (3055/3380): texlive-tikzmark-svn57843-61.fc38. 707 kB/s | 23 kB 00:00 (3056/3380): texlive-tikzlings-svn58885-61.fc38 14 MB/s | 656 kB 00:00 (3057/3380): texlive-tikzmarmots-svn54080-61.fc 5.6 MB/s | 238 kB 00:00 (3058/3380): texlive-tikzorbital-svn36439.0-61. 484 kB/s | 18 kB 00:00 (3059/3380): texlive-tikzpackets-svn55827-61.fc 2.3 MB/s | 77 kB 00:00 (3060/3380): texlive-tikzpagenodes-svn56291-61. 419 kB/s | 16 kB 00:00 (3061/3380): texlive-tikzpfeile-svn25777.1.0-61 496 kB/s | 16 kB 00:00 (3062/3380): texlive-tikzposter-svn32732.2.0-61 674 kB/s | 28 kB 00:00 (3063/3380): texlive-tikzpeople-svn43978-61.fc3 7.9 MB/s | 520 kB 00:00 (3064/3380): texlive-tikzscale-svn30637.0.2.6-6 615 kB/s | 22 kB 00:00 (3065/3380): texlive-tikzsymbols-svn49975-61.fc 957 kB/s | 31 kB 00:00 (3066/3380): texlive-tikztosvg-20210325-52.fc38 4.3 MB/s | 197 kB 00:00 (3067/3380): texlive-timbreicmc-svn49740-61.fc3 15 MB/s | 725 kB 00:00 (3068/3380): texlive-times-svn35058.0-61.fc38.n 8.5 MB/s | 371 kB 00:00 (3069/3380): texlive-timing-diagrams-svn31491.0 597 kB/s | 20 kB 00:00 (3070/3380): texlive-tinos-svn42882-61.fc38.noa 35 MB/s | 3.2 MB 00:00 (3071/3380): texlive-tipa-svn29349.1.3-61.fc38. 30 MB/s | 2.8 MB 00:00 (3072/3380): texlive-titlecaps-svn36170.1.2-61. 556 kB/s | 22 kB 00:00 (3073/3380): texlive-tipfr-doc-svn38646-61.fc38 7.5 MB/s | 385 kB 00:00 (3074/3380): texlive-titlefoot-svn15878.0-61.fc 440 kB/s | 17 kB 00:00 (3075/3380): texlive-titlepic-svn43497-61.fc38. 307 kB/s | 13 kB 00:00 (3076/3380): texlive-titleref-svn18729.3.1-61.f 448 kB/s | 15 kB 00:00 (3077/3380): texlive-titlesec-svn52413-61.fc38. 1.0 MB/s | 35 kB 00:00 (3078/3380): texlive-titling-svn15878.2.1d-61.f 456 kB/s | 17 kB 00:00 (3079/3380): texlive-tkz-base-svn54758-61.fc38. 769 kB/s | 32 kB 00:00 (3080/3380): texlive-tipauni-svn59009-61.fc38.n 1.6 MB/s | 449 kB 00:00 (3081/3380): texlive-tkz-doc-svn55265-61.fc38.n 641 kB/s | 24 kB 00:00 (3082/3380): texlive-tkz-berge-svn57485-61.fc38 20 MB/s | 973 kB 00:00 (3083/3380): texlive-tkz-euclide-svn54758-61.fc 1.2 MB/s | 50 kB 00:00 (3084/3380): texlive-tkz-fct-svn55031-61.fc38.n 595 kB/s | 19 kB 00:00 (3085/3380): texlive-tkz-graph-svn57484-61.fc38 8.0 MB/s | 341 kB 00:00 (3086/3380): texlive-tkz-orm-svn54512-61.fc38.n 630 kB/s | 23 kB 00:00 (3087/3380): texlive-tkz-tab-svn54940-61.fc38.n 596 kB/s | 21 kB 00:00 (3088/3380): texlive-tlc-article-svn51431-61.fc 12 MB/s | 444 kB 00:00 (3089/3380): texlive-tocbibind-svn20085.1.5k-61 532 kB/s | 17 kB 00:00 (3090/3380): texlive-tocloft-svn53364-61.fc38.n 706 kB/s | 23 kB 00:00 (3091/3380): texlive-tocdata-svn55852-61.fc38.n 18 MB/s | 912 kB 00:00 (3092/3380): texlive-tocvsec2-svn33146.1.3a-61. 453 kB/s | 19 kB 00:00 (3093/3380): texlive-todo-svn17746.2.142-61.fc3 489 kB/s | 16 kB 00:00 (3094/3380): texlive-todonotes-svn58998-61.fc38 450 kB/s | 21 kB 00:00 (3095/3380): texlive-tokenizer-svn15878.1.1.0-6 443 kB/s | 17 kB 00:00 (3096/3380): texlive-toolbox-svn32260.5.1-61.fc 542 kB/s | 18 kB 00:00 (3097/3380): texlive-tools-svn56514-61.fc38.noa 1.8 MB/s | 73 kB 00:00 (3098/3380): texlive-tokcycle-svn58254-61.fc38. 11 MB/s | 1.0 MB 00:00 (3099/3380): texlive-topfloat-svn19084.0-61.fc3 446 kB/s | 17 kB 00:00 (3100/3380): texlive-topiclongtable-svn54758-61 9.9 MB/s | 385 kB 00:00 (3101/3380): texlive-topletter-svn48182-61.fc38 9.1 MB/s | 366 kB 00:00 (3102/3380): texlive-toptesi-svn56276-61.fc38.n 1.0 MB/s | 39 kB 00:00 (3103/3380): texlive-totcount-svn21178.1.2-61.f 509 kB/s | 17 kB 00:00 (3104/3380): texlive-totalcount-svn56214-61.fc3 2.1 MB/s | 91 kB 00:00 (3105/3380): texlive-totpages-svn15878.2.00-61. 457 kB/s | 18 kB 00:00 (3106/3380): texlive-tqft-svn44455-61.fc38.noar 723 kB/s | 24 kB 00:00 (3107/3380): texlive-tpslifonts-svn42428-61.fc3 542 kB/s | 22 kB 00:00 (3108/3380): texlive-tracklang-svn55707-61.fc38 1.1 MB/s | 38 kB 00:00 (3109/3380): texlive-trajan-svn15878.1.1-61.fc3 1.9 MB/s | 62 kB 00:00 (3110/3380): texlive-translations-svn57461-61.f 927 kB/s | 31 kB 00:00 (3111/3380): texlive-translator-svn56052-61.fc3 5.9 MB/s | 289 kB 00:00 (3112/3380): texlive-transparent-svn52981-61.fc 6.0 MB/s | 277 kB 00:00 (3113/3380): texlive-trfsigns-svn15878.1.01-61. 540 kB/s | 17 kB 00:00 (3114/3380): texlive-tree-dvips-svn21751.91-61. 443 kB/s | 23 kB 00:00 (3115/3380): texlive-trimspaces-svn15878.1.1-61 479 kB/s | 16 kB 00:00 (3116/3380): texlive-trivfloat-svn15878.1.3b-61 527 kB/s | 17 kB 00:00 (3117/3380): texlive-trsym-svn18732.1.0-61.fc38 585 kB/s | 19 kB 00:00 (3118/3380): texlive-truncate-svn18921.3.6-61.f 454 kB/s | 15 kB 00:00 (3119/3380): texlive-tsemlines-svn23440.1.0-61. 394 kB/s | 13 kB 00:00 (3120/3380): texlive-ttfutils-20210325-52.fc38. 6.7 MB/s | 301 kB 00:00 (3121/3380): texlive-tucv-svn20680.1.0-61.fc38. 406 kB/s | 13 kB 00:00 (3122/3380): texlive-tudscr-svn58713-61.fc38.no 4.3 MB/s | 195 kB 00:00 (3123/3380): texlive-tuda-ci-svn58661-61.fc38.n 18 MB/s | 1.1 MB 00:00 (3124/3380): texlive-tufte-latex-svn37649.3.5.2 1.1 MB/s | 37 kB 00:00 (3125/3380): texlive-tugboat-plain-svn51373-61. 1.2 MB/s | 44 kB 00:00 (3126/3380): texlive-tugboat-svn56942-61.fc38.n 1.2 MB/s | 46 kB 00:00 (3127/3380): texlive-tui-svn27253.1.9-61.fc38.n 517 kB/s | 19 kB 00:00 (3128/3380): texlive-turabian-svn36298.0.1.0-61 500 kB/s | 16 kB 00:00 (3129/3380): texlive-turabian-formatting-svn585 730 kB/s | 26 kB 00:00 (3130/3380): texlive-turnstile-svn15878.1.0-61. 474 kB/s | 17 kB 00:00 (3131/3380): texlive-turnthepage-svn29803.1.3a- 515 kB/s | 17 kB 00:00 (3132/3380): texlive-twoinone-svn17024.0-61.fc3 299 kB/s | 13 kB 00:00 (3133/3380): texlive-twemoji-colr-svn55675-61.f 12 MB/s | 584 kB 00:00 (3134/3380): texlive-twoup-svn15878.1.3-61.fc38 519 kB/s | 17 kB 00:00 (3135/3380): texlive-txfonts-svn15878.0-61.fc38 15 MB/s | 817 kB 00:00 (3136/3380): texlive-txgreeks-svn21839.1.0-61.f 563 kB/s | 20 kB 00:00 (3137/3380): texlive-txuprcal-svn43327-61.fc38. 4.3 MB/s | 158 kB 00:00 (3138/3380): texlive-type1cm-svn21820.0-61.fc38 467 kB/s | 17 kB 00:00 (3139/3380): texlive-txfontsb-svn54512-61.fc38. 35 MB/s | 3.5 MB 00:00 (3140/3380): texlive-typeface-svn27046.0.1-61.f 1.8 MB/s | 62 kB 00:00 (3141/3380): texlive-typed-checklist-svn49731-6 530 kB/s | 21 kB 00:00 (3142/3380): texlive-typehtml-svn17134.0-61.fc3 593 kB/s | 21 kB 00:00 (3143/3380): texlive-typoaid-svn44238-61.fc38.n 7.0 MB/s | 347 kB 00:00 (3144/3380): texlive-typicons-svn37623.2.0.7-61 1.3 MB/s | 80 kB 00:00 (3145/3380): texlive-typogrid-svn24994.0.21-61. 263 kB/s | 16 kB 00:00 (3146/3380): texlive-uaclasses-svn15878.0-61.fc 547 kB/s | 18 kB 00:00 (3147/3380): texlive-tzplot-svn58558-61.fc38.no 18 MB/s | 868 kB 00:00 (3148/3380): texlive-uafthesis-svn57349-61.fc38 608 kB/s | 22 kB 00:00 (3149/3380): texlive-uassign-svn38459-61.fc38.n 462 kB/s | 20 kB 00:00 (3150/3380): texlive-ucalgmthesis-svn52527-61.f 3.0 MB/s | 135 kB 00:00 (3151/3380): texlive-ucbthesis-svn51690-61.fc38 739 kB/s | 24 kB 00:00 (3152/3380): texlive-ucdavisthesis-svn40772-61. 585 kB/s | 26 kB 00:00 (3153/3380): texlive-ucharcat-svn38907-61.fc38. 442 kB/s | 16 kB 00:00 (3154/3380): texlive-uantwerpendocs-svn58669-61 47 MB/s | 6.3 MB 00:00 (3155/3380): texlive-ucs-svn35853.2.2-61.fc38.n 10 MB/s | 383 kB 00:00 (3156/3380): texlive-ucsmonograph-svn52698-61.f 10 MB/s | 437 kB 00:00 (3157/3380): texlive-ucthesis-svn15878.3.2-61.f 1.1 MB/s | 37 kB 00:00 (3158/3380): texlive-uebungsblatt-svn15878.1.5. 470 kB/s | 19 kB 00:00 (3159/3380): texlive-uestcthesis-svn36371.1.1.0 879 kB/s | 36 kB 00:00 (3160/3380): texlive-uhhassignment-svn44026-61. 5.8 MB/s | 389 kB 00:00 (3161/3380): texlive-uiucredborder-svn29974.1.0 377 kB/s | 18 kB 00:00 (3162/3380): texlive-uhc-svn16791.0-61.fc38.noa 49 MB/s | 4.4 MB 00:00 (3163/3380): texlive-uiucthesis-svn15878.2.25-6 607 kB/s | 20 kB 00:00 (3164/3380): texlive-ulem-svn53365-61.fc38.noar 546 kB/s | 18 kB 00:00 (3165/3380): texlive-ulqda-20210325-52.fc38.noa 4.0 MB/s | 264 kB 00:00 (3166/3380): texlive-umbclegislation-svn41348-6 588 kB/s | 25 kB 00:00 (3167/3380): texlive-ulthese-svn52972-61.fc38.n 1.3 MB/s | 78 kB 00:00 (3168/3380): texlive-umoline-svn19085.0-61.fc38 535 kB/s | 17 kB 00:00 (3169/3380): texlive-umich-thesis-svn15878.1.20 467 kB/s | 20 kB 00:00 (3170/3380): texlive-umthesis-svn15878.0.2-61.f 557 kB/s | 21 kB 00:00 (3171/3380): texlive-umtypewriter-svn18651.001. 8.2 MB/s | 378 kB 00:00 (3172/3380): texlive-unam-thesis-svn51207-61.fc 507 kB/s | 27 kB 00:00 (3173/3380): texlive-unamthesis-svn43639-61.fc3 600 kB/s | 27 kB 00:00 (3174/3380): texlive-underlin-svn15878.1.01-61. 459 kB/s | 15 kB 00:00 (3175/3380): texlive-unamth-template-doc-svn336 45 MB/s | 4.8 MB 00:00 (3176/3380): texlive-underoverlap-svn29019.0.0. 635 kB/s | 21 kB 00:00 (3177/3380): texlive-underscore-svn18261.0-61.f 585 kB/s | 19 kB 00:00 (3178/3380): texlive-undolabl-svn36681.1.0l-61. 600 kB/s | 19 kB 00:00 (3179/3380): texlive-uni-wtal-ger-svn31541.0.2- 600 kB/s | 19 kB 00:00 (3180/3380): texlive-uni-wtal-lin-svn31409.0.2- 632 kB/s | 20 kB 00:00 (3181/3380): texlive-unicode-math-svn56594-61.f 1.9 MB/s | 64 kB 00:00 (3182/3380): texlive-unicode-data-svn56768-61.f 7.4 MB/s | 360 kB 00:00 (3183/3380): texlive-unifith-svn51968-61.fc38.n 16 MB/s | 868 kB 00:00 (3184/3380): texlive-uniquecounter-svn53162-61. 7.1 MB/s | 301 kB 00:00 (3185/3380): texlive-unitconv-svn55060-61.fc38. 2.2 MB/s | 87 kB 00:00 (3186/3380): texlive-unitipa-svn58749-61.fc38.n 4.9 MB/s | 202 kB 00:00 (3187/3380): texlive-units-svn42428-61.fc38.noa 549 kB/s | 18 kB 00:00 (3188/3380): texlive-unitn-bimrep-svn45581-61.f 17 MB/s | 817 kB 00:00 (3189/3380): texlive-unitsdef-svn15878.0.2-61.f 637 kB/s | 21 kB 00:00 (3190/3380): texlive-universa-svn51984-61.fc38. 1.8 MB/s | 62 kB 00:00 (3191/3380): texlive-universalis-svn33860.0-61. 13 MB/s | 614 kB 00:00 (3192/3380): texlive-univie-ling-svn56913-61.fc 34 MB/s | 2.1 MB 00:00 (3193/3380): texlive-unizgklasa-svn51647-61.fc3 1.3 MB/s | 50 kB 00:00 (3194/3380): texlive-unravel-svn52822-61.fc38.n 1.1 MB/s | 44 kB 00:00 (3195/3380): texlive-unswcover-svn29476.1.0-61. 499 kB/s | 20 kB 00:00 (3196/3380): texlive-uothesis-svn25355.2.5.6-61 509 kB/s | 26 kB 00:00 (3197/3380): texlive-uowthesis-svn19700.1.0a-61 1.8 MB/s | 61 kB 00:00 (3198/3380): texlive-uowthesistitlepage-svn5451 692 kB/s | 23 kB 00:00 (3199/3380): texlive-uplatex-svn58842-61.fc38.n 924 kB/s | 37 kB 00:00 (3200/3380): texlive-uppunctlm-svn42334-61.fc38 4.9 MB/s | 188 kB 00:00 (3201/3380): texlive-upquote-svn26059.v1.3-61.f 463 kB/s | 17 kB 00:00 (3202/3380): texlive-uptex-base-svn56832-61.fc3 701 kB/s | 23 kB 00:00 (3203/3380): texlive-uptex-20210325-52.fc38.x86 30 MB/s | 1.7 MB 00:00 (3204/3380): texlive-uptex-fonts-svn54045-61.fc 11 MB/s | 489 kB 00:00 (3205/3380): texlive-urcls-svn49903-61.fc38.noa 693 kB/s | 29 kB 00:00 (3206/3380): texlive-upmethodology-svn54758-61. 318 kB/s | 51 kB 00:00 (3207/3380): texlive-uri-svn48602-61.fc38.noarc 631 kB/s | 21 kB 00:00 (3208/3380): texlive-url-svn32528.3.4-61.fc38.n 621 kB/s | 20 kB 00:00 (3209/3380): texlive-urwchancal-svn21701.1-61.f 432 kB/s | 18 kB 00:00 (3210/3380): texlive-usebib-svn25969.1.0a-61.fc 463 kB/s | 19 kB 00:00 (3211/3380): texlive-ushort-svn32261.2.2-61.fc3 501 kB/s | 16 kB 00:00 (3212/3380): texlive-uspace-svn42456-61.fc38.no 6.4 MB/s | 274 kB 00:00 (3213/3380): texlive-urlbst-20210325-52.fc38.no 2.2 MB/s | 251 kB 00:00 (3214/3380): texlive-uspatent-svn27744.1.0-61.f 676 kB/s | 22 kB 00:00 (3215/3380): texlive-ut-thesis-svn59078-61.fc38 619 kB/s | 20 kB 00:00 (3216/3380): texlive-utexasthesis-svn48648-61.f 508 kB/s | 17 kB 00:00 (3217/3380): texlive-utf8add-svn55291-61.fc38.n 10 MB/s | 395 kB 00:00 (3218/3380): texlive-uwthesis-svn15878.6.13-61. 408 kB/s | 23 kB 00:00 (3219/3380): texlive-utopia-svn15878.0-61.fc38. 2.5 MB/s | 240 kB 00:00 (3220/3380): texlive-utfsym-svn56729-61.fc38.no 47 MB/s | 5.2 MB 00:00 (3221/3380): texlive-vak-svn23431.0-61.fc38.noa 566 kB/s | 21 kB 00:00 (3222/3380): texlive-vancouver-svn55423-61.fc38 574 kB/s | 26 kB 00:00 (3223/3380): texlive-variablelm-svn46611-61.fc3 4.0 MB/s | 152 kB 00:00 (3224/3380): texlive-varindex-svn32262.2.3-61.f 563 kB/s | 21 kB 00:00 (3225/3380): texlive-varsfromjobname-svn44154-6 448 kB/s | 16 kB 00:00 (3226/3380): texlive-varwidth-svn24104.0.92-61. 570 kB/s | 18 kB 00:00 (3227/3380): texlive-vdmlisting-svn56905-61.fc3 429 kB/s | 18 kB 00:00 (3228/3380): texlive-venn-svn15878.0-61.fc38.no 404 kB/s | 17 kB 00:00 (3229/3380): texlive-venndiagram-svn47952-61.fc 457 kB/s | 19 kB 00:00 (3230/3380): texlive-verbasef-svn21922.1.1-61.f 630 kB/s | 21 kB 00:00 (3231/3380): texlive-verbatimbox-svn33197.3.13- 563 kB/s | 18 kB 00:00 (3232/3380): texlive-verbatimcopy-svn15878.0.06 508 kB/s | 17 kB 00:00 (3233/3380): texlive-verbdef-svn17177.0.2-61.fc 467 kB/s | 16 kB 00:00 (3234/3380): texlive-venturisadf-svn19444.1.005 40 MB/s | 4.1 MB 00:00 (3235/3380): texlive-verbments-svn23670.1.2-61. 560 kB/s | 18 kB 00:00 (3236/3380): texlive-verse-svn34017.2.4b-61.fc3 460 kB/s | 18 kB 00:00 (3237/3380): texlive-version-svn21920.2.0-61.fc 309 kB/s | 14 kB 00:00 (3238/3380): texlive-versions-svn21921.0.55-61. 701 kB/s | 23 kB 00:00 (3239/3380): texlive-verifiche-svn57766-61.fc38 7.0 MB/s | 696 kB 00:00 (3240/3380): texlive-versonotes-svn55777-61.fc3 614 kB/s | 20 kB 00:00 (3241/3380): texlive-vertbars-svn49429-61.fc38. 571 kB/s | 19 kB 00:00 (3242/3380): texlive-vgrid-svn32457.0.1-61.fc38 573 kB/s | 19 kB 00:00 (3243/3380): texlive-vhistory-svn30080.1.6.1-61 739 kB/s | 24 kB 00:00 (3244/3380): texlive-vmargin-svn15878.2.5-61.fc 449 kB/s | 18 kB 00:00 (3245/3380): texlive-volumes-svn15878.1.0-61.fc 429 kB/s | 17 kB 00:00 (3246/3380): texlive-vpe-20210325-52.fc38.noarc 720 kB/s | 26 kB 00:00 (3247/3380): texlive-vruler-svn21598.2.3-61.fc3 627 kB/s | 20 kB 00:00 (3248/3380): texlive-vtable-svn51126-61.fc38.no 2.1 MB/s | 72 kB 00:00 (3249/3380): texlive-vwcol-svn36254.0.2-61.fc38 630 kB/s | 20 kB 00:00 (3250/3380): texlive-wallcalendar-svn45568-61.f 38 MB/s | 2.6 MB 00:00 (3251/3380): texlive-wallpaper-svn15878.1.10-61 354 kB/s | 16 kB 00:00 (3252/3380): texlive-warning-svn22028.0.01-61.f 480 kB/s | 16 kB 00:00 (3253/3380): texlive-warpcol-svn15878.1.0c-61.f 456 kB/s | 16 kB 00:00 (3254/3380): texlive-was-svn21439.0-61.fc38.noa 361 kB/s | 15 kB 00:00 (3255/3380): texlive-wasy-svn53533-61.fc38.noar 864 kB/s | 44 kB 00:00 (3256/3380): texlive-wadalab-svn42428-61.fc38.n 51 MB/s | 17 MB 00:00 (3257/3380): texlive-wasy-type1-svn53534-61.fc3 5.3 MB/s | 272 kB 00:00 (3258/3380): texlive-wasysym-svn54080-61.fc38.n 537 kB/s | 19 kB 00:00 (3259/3380): texlive-visualpstricks-doc-svn3979 22 MB/s | 13 MB 00:00 (3260/3380): texlive-widetable-svn53409-61.fc38 285 kB/s | 19 kB 00:00 (3261/3380): texlive-webquiz-20210325-52.fc38.n 47 MB/s | 6.6 MB 00:00 (3262/3380): texlive-williams-svn15878.0-61.fc3 400 kB/s | 17 kB 00:00 (3263/3380): texlive-widows-and-orphans-svn5817 17 MB/s | 798 kB 00:00 (3264/3380): texlive-windycity-svn59067-61.fc38 7.9 MB/s | 527 kB 00:00 (3265/3380): texlive-withargs-svn52641-61.fc38. 372 kB/s | 24 kB 00:00 (3266/3380): texlive-wordcount-20210325-52.fc38 486 kB/s | 18 kB 00:00 (3267/3380): texlive-witharrows-svn58120-61.fc3 17 MB/s | 911 kB 00:00 (3268/3380): texlive-wordlike-svn15878.1.2b-61. 479 kB/s | 16 kB 00:00 (3269/3380): texlive-worksheet-svn48423-61.fc38 2.4 MB/s | 114 kB 00:00 (3270/3380): texlive-wrapfig-svn22048.3.6-61.fc 389 kB/s | 24 kB 00:00 (3271/3380): texlive-worldflags-svn59171-61.fc3 37 MB/s | 4.1 MB 00:00 (3272/3380): texlive-wsemclassic-svn31532.1.0.1 421 kB/s | 16 kB 00:00 (3273/3380): texlive-wsuipa-svn25469.0-61.fc38. 1.7 MB/s | 64 kB 00:00 (3274/3380): texlive-wtref-svn55558-61.fc38.noa 7.3 MB/s | 351 kB 00:00 (3275/3380): texlive-xargs-svn15878.1.1-61.fc38 539 kB/s | 18 kB 00:00 (3276/3380): texlive-willowtreebook-svn54866-61 66 MB/s | 23 MB 00:00 (3277/3380): texlive-xassoccnt-svn55876-61.fc38 1.0 MB/s | 37 kB 00:00 (3278/3380): texlive-xbmks-svn53448-61.fc38.noa 8.5 MB/s | 379 kB 00:00 (3279/3380): texlive-xcharter-svn58755-61.fc38. 38 MB/s | 2.4 MB 00:00 (3280/3380): texlive-xcite-svn53486-61.fc38.noa 289 kB/s | 18 kB 00:00 (3281/3380): texlive-xcjk2uni-svn54958-61.fc38. 7.7 MB/s | 374 kB 00:00 (3282/3380): texlive-xcntperchap-svn54080-61.fc 629 kB/s | 20 kB 00:00 (3283/3380): texlive-xcolor-solarized-svn41809- 589 kB/s | 19 kB 00:00 (3284/3380): texlive-xcolor-material-svn42289-6 11 MB/s | 582 kB 00:00 (3285/3380): texlive-xcolor-svn41044-61.fc38.no 1.0 MB/s | 33 kB 00:00 (3286/3380): texlive-xcomment-svn20031.1.3-61.f 532 kB/s | 17 kB 00:00 (3287/3380): texlive-xcookybooky-svn36435.1.5-6 579 kB/s | 24 kB 00:00 (3288/3380): texlive-xcpdftips-svn50449-61.fc38 4.7 MB/s | 163 kB 00:00 (3289/3380): texlive-xdoc-svn15878.prot2.5-61.f 669 kB/s | 28 kB 00:00 (3290/3380): texlive-xduthesis-svn39694-61.fc38 2.8 MB/s | 128 kB 00:00 (3291/3380): texlive-xdvi-20210325-52.fc38.x86_ 6.8 MB/s | 354 kB 00:00 (3292/3380): texlive-xecjk-svn56711-61.fc38.noa 4.6 MB/s | 242 kB 00:00 (3293/3380): texlive-xellipsis-svn47546-61.fc38 561 kB/s | 19 kB 00:00 (3294/3380): texlive-xetex-20210325-52.fc38.x86 22 MB/s | 1.2 MB 00:00 (3295/3380): texlive-xetexconfig-svn45845-61.fc 234 kB/s | 12 kB 00:00 (3296/3380): texlive-xfakebold-svn55654-61.fc38 2.8 MB/s | 116 kB 00:00 (3297/3380): texlive-xfor-svn15878.1.05-61.fc38 449 kB/s | 16 kB 00:00 (3298/3380): texlive-xifthen-svn38929-61.fc38.n 522 kB/s | 17 kB 00:00 (3299/3380): texlive-xhfill-svn22575.1.01-61.fc 355 kB/s | 16 kB 00:00 (3300/3380): texlive-xint-svn59161-61.fc38.noar 2.6 MB/s | 100 kB 00:00 (3301/3380): texlive-xits-svn55730-61.fc38.noar 12 MB/s | 602 kB 00:00 (3302/3380): texlive-xkcdcolors-svn54512-61.fc3 4.9 MB/s | 232 kB 00:00 (3303/3380): texlive-xkeyval-svn57006-61.fc38.n 647 kB/s | 28 kB 00:00 (3304/3380): texlive-xltxtra-svn56594-61.fc38.n 535 kB/s | 17 kB 00:00 (3305/3380): texlive-xltabular-svn56855-61.fc38 2.0 MB/s | 91 kB 00:00 (3306/3380): texlive-xmpincl-svn15878.2.2-61.fc 545 kB/s | 18 kB 00:00 (3307/3380): texlive-xnewcommand-svn15878.1.2-6 476 kB/s | 15 kB 00:00 (3308/3380): texlive-xmuthesis-svn56614-61.fc38 10 MB/s | 489 kB 00:00 (3309/3380): texlive-xoptarg-svn15878.1.0-61.fc 509 kB/s | 17 kB 00:00 (3310/3380): texlive-xpatch-svn54563-61.fc38.no 593 kB/s | 19 kB 00:00 (3311/3380): texlive-xpeek-svn27442.0.2-61.fc38 577 kB/s | 19 kB 00:00 (3312/3380): texlive-xpicture-svn28770.1.2a-61. 813 kB/s | 26 kB 00:00 (3313/3380): texlive-xpinyin-svn56709-61.fc38.n 6.9 MB/s | 288 kB 00:00 (3314/3380): texlive-xprintlen-svn35928.1.0-61. 570 kB/s | 18 kB 00:00 (3315/3380): texlive-xpunctuate-svn26641.1.0-61 531 kB/s | 17 kB 00:00 (3316/3380): texlive-xsavebox-svn54097-61.fc38. 502 kB/s | 22 kB 00:00 (3317/3380): texlive-xsim-svn57619-61.fc38.noar 40 MB/s | 2.9 MB 00:00 (3318/3380): texlive-xstring-svn49946-61.fc38.n 418 kB/s | 26 kB 00:00 (3319/3380): texlive-xtab-svn23347.2.3f-61.fc38 587 kB/s | 19 kB 00:00 (3320/3380): texlive-xurl-svn57265-61.fc38.noar 1.7 MB/s | 76 kB 00:00 (3321/3380): texlive-xunicode-svn30466.0.981-61 867 kB/s | 45 kB 00:00 (3322/3380): texlive-xwatermark-svn28090.1.5.2d 579 kB/s | 33 kB 00:00 (3323/3380): texlive-xyling-svn15878.1.1-61.fc3 631 kB/s | 25 kB 00:00 (3324/3380): texlive-xymtex-svn32182.5.06-61.fc 2.9 MB/s | 116 kB 00:00 (3325/3380): texlive-xypic-svn31859.3.8.9-61.fc 16 MB/s | 772 kB 00:00 (3326/3380): texlive-yafoot-svn48568-61.fc38.no 527 kB/s | 19 kB 00:00 (3327/3380): texlive-xytree-svn15878.1.5-61.fc3 421 kB/s | 18 kB 00:00 (3328/3380): texlive-yagusylo-svn29803.1.2-61.f 529 kB/s | 20 kB 00:00 (3329/3380): texlive-yathesis-svn58683-61.fc38. 1.1 MB/s | 42 kB 00:00 (3330/3380): texlive-yaletter-svn42830-61.fc38. 9.8 MB/s | 495 kB 00:00 (3331/3380): texlive-ycbook-svn46201-61.fc38.no 611 kB/s | 22 kB 00:00 (3332/3380): texlive-ydoc-svn56291-61.fc38.noar 681 kB/s | 28 kB 00:00 (3333/3380): texlive-yazd-thesis-svn51725-61.fc 28 MB/s | 2.1 MB 00:00 (3334/3380): texlive-yfonts-svn50755-61.fc38.no 503 kB/s | 16 kB 00:00 (3335/3380): texlive-yfonts-t1-svn36013-61.fc38 4.3 MB/s | 190 kB 00:00 (3336/3380): texlive-yhmath-svn54377-61.fc38.no 1.1 MB/s | 54 kB 00:00 (3337/3380): texlive-yinit-otf-svn40207-61.fc38 5.4 MB/s | 273 kB 00:00 (3338/3380): texlive-york-thesis-svn23348.3.6-6 665 kB/s | 22 kB 00:00 (3339/3380): texlive-youngtab-svn56500-61.fc38. 400 kB/s | 16 kB 00:00 (3340/3380): texlive-yplan-20210325-52.fc38.noa 690 kB/s | 22 kB 00:00 (3341/3380): texlive-yquant-svn58712-61.fc38.no 12 MB/s | 595 kB 00:00 (3342/3380): texlive-ytableau-svn27430.1.3-61.f 455 kB/s | 19 kB 00:00 (3343/3380): texlive-zapfchan-svn31835.0-61.fc3 2.4 MB/s | 110 kB 00:00 (3344/3380): texlive-zapfding-svn31835.0-61.fc3 1.5 MB/s | 65 kB 00:00 (3345/3380): texlive-zebra-goodies-svn51554-61. 3.1 MB/s | 129 kB 00:00 (3346/3380): texlive-zed-csp-svn17258.0-61.fc38 592 kB/s | 19 kB 00:00 (3347/3380): texlive-zhmetrics-svn22207.r206-61 25 MB/s | 1.6 MB 00:00 (3348/3380): texlive-zhmetrics-uptex-svn40728-6 2.0 MB/s | 122 kB 00:00 (3349/3380): texlive-zhnumber-svn54960-61.fc38. 429 kB/s | 26 kB 00:00 (3350/3380): texlive-ziffer-svn32279.2.1-61.fc3 417 kB/s | 16 kB 00:00 (3351/3380): texlive-zootaxa-bst-svn50619-61.fc 3.7 MB/s | 150 kB 00:00 (3352/3380): texlive-zlmtt-svn51368-61.fc38.noa 640 kB/s | 27 kB 00:00 (3353/3380): texlive-zwgetfdate-svn15878.0-61.f 558 kB/s | 19 kB 00:00 (3354/3380): texlive-zref-svn56611-61.fc38.noar 14 MB/s | 672 kB 00:00 (3355/3380): texlive-zwpagelayout-svn53965-61.f 546 kB/s | 23 kB 00:00 (3356/3380): tk-8.6.12-3.fc37.x86_64.rpm 31 MB/s | 1.6 MB 00:00 (3357/3380): tre-0.8.0-37.20140228gitc2f5d13.fc 907 kB/s | 42 kB 00:00 (3358/3380): tre-common-0.8.0-37.20140228gitc2f 653 kB/s | 31 kB 00:00 (3359/3380): urw-base35-bookman-fonts-20200910- 21 MB/s | 848 kB 00:00 (3360/3380): txt2man-1.7.1-3.fc37.noarch.rpm 560 kB/s | 28 kB 00:00 (3361/3380): urw-base35-c059-fonts-20200910-15. 16 MB/s | 875 kB 00:00 (3362/3380): urw-base35-d050000l-fonts-20200910 2.3 MB/s | 76 kB 00:00 (3363/3380): urw-base35-fonts-20200910-15.fc37. 335 kB/s | 11 kB 00:00 (3364/3380): urw-base35-fonts-common-20200910-1 668 kB/s | 21 kB 00:00 (3365/3380): urw-base35-gothic-fonts-20200910-1 16 MB/s | 643 kB 00:00 (3366/3380): urw-base35-nimbus-mono-ps-fonts-20 20 MB/s | 796 kB 00:00 (3367/3380): urw-base35-nimbus-roman-fonts-2020 20 MB/s | 857 kB 00:00 (3368/3380): urw-base35-p052-fonts-20200910-15. 22 MB/s | 974 kB 00:00 (3369/3380): urw-base35-standard-symbols-ps-fon 1.3 MB/s | 42 kB 00:00 (3370/3380): urw-base35-nimbus-sans-fonts-20200 20 MB/s | 1.3 MB 00:00 (3371/3380): urw-base35-z003-fonts-20200910-15. 7.7 MB/s | 276 kB 00:00 (3372/3380): vim-data-9.0.1054-1.fc38.noarch.rp 542 kB/s | 24 kB 00:00 (3373/3380): vim-minimal-9.0.1054-1.fc38.x86_64 6.5 MB/s | 783 kB 00:00 (3374/3380): webrtc-audio-processing-0.3.1-9.fc 2.1 MB/s | 304 kB 00:00 (3375/3380): wpebackend-fdo-1.14.0-1.fc38.x86_6 345 kB/s | 46 kB 00:00 (3376/3380): xdg-dbus-proxy-0.1.3-2.fc37.x86_64 494 kB/s | 43 kB 00:00 (3377/3380): xorg-x11-fonts-ISO8859-1-100dpi-7. 20 MB/s | 1.1 MB 00:00 (3378/3380): xdg-utils-1.1.3-12.fc37.noarch.rpm 754 kB/s | 77 kB 00:00 (3379/3380): xprop-1.2.5-2.fc37.x86_64.rpm 461 kB/s | 35 kB 00:00 (3380/3380): webkit2gtk4.0-2.39.3-3.fc38.x86_64 44 MB/s | 23 MB 00:00 -------------------------------------------------------------------------------- Total 25 MB/s | 1.6 GB 01:05 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: texlive-base-9:20210325-52.fc38.x86_64 1/1 Preparing : 1/1 Running scriptlet: texlive-base-9:20210325-52.fc38.x86_64 1/3380 Installing : texlive-base-9:20210325-52.fc38.x86_64 1/3380 Installing : libpng-2:1.6.37-13.fc37.x86_64 2/3380 Installing : texlive-lib-9:20210325-52.fc38.x86_64 3/3380 Installing : libjpeg-turbo-2.1.4-1.fc38.x86_64 4/3380 Installing : libwayland-client-1.21.0-1.fc37.x86_64 5/3380 Installing : libicu-71.1-2.fc38.x86_64 6/3380 Installing : lcms2-2.14-1.fc38.x86_64 7/3380 Installing : expat-2.5.0-1.fc38.x86_64 8/3380 Installing : nspr-4.35.0-2.fc38.x86_64 9/3380 Installing : libwebp-1.2.4-2.fc38.x86_64 10/3380 Installing : fonts-filesystem-1:2.0.5-10.fc38.noarch 11/3380 Installing : urw-base35-fonts-common-20200910-15.fc37.noarc 12/3380 Installing : libogg-2:1.3.5-4.fc37.x86_64 13/3380 Installing : libICE-1.0.10-9.fc37.x86_64 14/3380 Installing : libSM-1.2.3-11.fc37.x86_64 15/3380 Installing : nss-util-3.85.0-1.fc38.x86_64 16/3380 Installing : openjpeg2-2.5.0-2.fc37.x86_64 17/3380 Installing : libwayland-server-1.21.0-1.fc37.x86_64 18/3380 Installing : libwayland-egl-1.21.0-1.fc37.x86_64 19/3380 Installing : libX11-xcb-1.8.1-2.fc38.x86_64 20/3380 Installing : gnutls-3.7.8-9.fc38.x86_64 21/3380 Installing : glib2-2.74.1-2.fc38.x86_64 22/3380 Installing : libtool-ltdl-2.4.7-3.0.1.fc38.x86_64 23/3380 Installing : json-glib-1.6.6-3.fc37.x86_64 24/3380 Installing : libgudev-237-3.fc37.x86_64 25/3380 Installing : tcl-1:8.6.12-3.fc38.x86_64 26/3380 Installing : serd-0.30.12-2.fc37.x86_64 27/3380 Installing : pixman-0.40.0-6.fc37.x86_64 28/3380 Installing : m4-1.4.19-4.fc37.x86_64 29/3380 Installing : libmpc-1.2.1-5.fc37.x86_64 30/3380 Installing : libepoxy-1.5.10-2.fc37.x86_64 31/3380 Installing : fribidi-1.0.12-2.fc37.x86_64 32/3380 Installing : dbus-libs-1:1.14.4-1.fc38.x86_64 33/3380 Installing : opus-1.3.1-11.fc38.x86_64 34/3380 Installing : liblqr-1-0.4.2-20.fc37.x86_64 35/3380 Installing : shared-mime-info-2.2-2.fc37.x86_64 36/3380 Running scriptlet: shared-mime-info-2.2-2.fc37.x86_64 36/3380 Installing : gdk-pixbuf2-2.42.10-1.fc38.x86_64 37/3380 Installing : libvorbis-1:1.3.7-6.fc37.x86_64 38/3380 Installing : libwayland-cursor-1.21.0-1.fc37.x86_64 39/3380 Running scriptlet: xml-common-0.6.3-59.fc37.noarch 40/3380 Installing : xml-common-0.6.3-59.fc37.noarch 40/3380 Installing : woff2-1.0.2-15.fc37.x86_64 41/3380 Installing : orc-0.4.33-1.fc38.x86_64 42/3380 Installing : mesa-libglapi-22.3.1-1.fc38.x86_64 43/3380 Installing : libxslt-1.1.37-1.fc38.x86_64 44/3380 Installing : libxshmfence-1.3-11.fc37.x86_64 45/3380 Installing : libusb1-1.0.26-1.fc38.x86_64 46/3380 Installing : libpaper-1.1.28-5.fc37.x86_64 47/3380 Installing : libglvnd-1:1.6.0-1.fc38.x86_64 48/3380 Installing : libdvdread-6.1.3-2.fc37.x86_64 49/3380 Installing : jbigkit-libs-2.1-24.fc37.x86_64 50/3380 Installing : libtiff-4.4.0-4.fc37.x86_64 51/3380 Installing : jbig2dec-libs-0.19-7.fc37.x86_64 52/3380 Installing : imath-3.1.6-1.fc38.x86_64 53/3380 Installing : hunspell-filesystem-1.7.1-1.fc38.x86_64 54/3380 Installing : hunspell-en-US-0.20201207-2.fc38.noarch 55/3380 Installing : hunspell-1.7.1-1.fc38.x86_64 56/3380 Installing : hicolor-icon-theme-0.17-14.fc37.noarch 57/3380 Installing : gsm-1.0.22-1.fc37.x86_64 58/3380 Installing : graphite2-1.3.14-10.fc37.x86_64 59/3380 Installing : harfbuzz-6.0.0-1.fc38.x86_64 60/3380 Installing : freetype-2.12.1-3.fc37.x86_64 61/3380 Installing : libraqm-0.8.0-2.fc37.x86_64 62/3380 Installing : flexiblas-3.2.1-3.fc38.x86_64 63/3380 Installing : adobe-mappings-cmap-20190730-4.fc37.noarch 64/3380 Installing : libquadmath-13.0.0-0.4.fc38.x86_64 65/3380 Installing : libgfortran-13.0.0-0.4.fc38.x86_64 66/3380 Installing : zziplib-0.13.72-2.fc38.x86_64 67/3380 Installing : adobe-mappings-cmap-deprecated-20190730-4.fc37 68/3380 Installing : harfbuzz-icu-6.0.0-1.fc38.x86_64 69/3380 Installing : enchant2-2.3.3-2.fc37.x86_64 70/3380 Installing : openexr-libs-3.1.5-2.fc37.x86_64 71/3380 Installing : gdk-pixbuf2-modules-2.42.10-1.fc38.x86_64 72/3380 Installing : libdvdnav-6.1.1-4.fc37.x86_64 73/3380 Installing : libgusb-0.4.3-1.fc38.x86_64 74/3380 Installing : colord-libs-1.4.6-2.fc38.x86_64 75/3380 Installing : iso-codes-4.12.0-2.fc38.noarch 76/3380 Installing : gtk-update-icon-cache-3.24.35-2.fc38.x86_64 77/3380 Installing : avahi-libs-0.8-19.fc38.x86_64 78/3380 Installing : cups-libs-1:2.4.2-5.fc38.x86_64 79/3380 Installing : cpp-13.0.0-0.4.fc38.x86_64 80/3380 Installing : graphene-1.10.6-4.fc37.x86_64 81/3380 Installing : gsettings-desktop-schemas-43.0-1.fc38.x86_64 82/3380 Installing : libcloudproviders-0.3.1-6.fc37.x86_64 83/3380 Installing : libgee-0.20.6-1.fc38.x86_64 84/3380 Installing : nss-softokn-freebl-3.85.0-1.fc38.x86_64 85/3380 Installing : nss-softokn-3.85.0-1.fc38.x86_64 86/3380 Installing : flac-libs-1.4.2-1.fc38.x86_64 87/3380 Installing : libtheora-1:1.1.1-32.fc37.x86_64 88/3380 Installing : urw-base35-bookman-fonts-20200910-15.fc37.noar 89/3380 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noar 89/3380 Installing : urw-base35-c059-fonts-20200910-15.fc37.noarch 90/3380 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 90/3380 Installing : urw-base35-d050000l-fonts-20200910-15.fc37.noa 91/3380 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noa 91/3380 Installing : urw-base35-gothic-fonts-20200910-15.fc37.noarc 92/3380 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarc 92/3380 Installing : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc 93/3380 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc 93/3380 Installing : urw-base35-nimbus-roman-fonts-20200910-15.fc37 94/3380 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37 94/3380 Installing : urw-base35-nimbus-sans-fonts-20200910-15.fc37. 95/3380 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37. 95/3380 Installing : urw-base35-p052-fonts-20200910-15.fc37.noarch 96/3380 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 96/3380 Installing : urw-base35-standard-symbols-ps-fonts-20200910- 97/3380 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910- 97/3380 Installing : urw-base35-z003-fonts-20200910-15.fc37.noarch 98/3380 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 98/3380 Installing : urw-base35-fonts-20200910-15.fc37.noarch 99/3380 Installing : fontawesome-fonts-1:4.7.0-14.fc37.noarch 100/3380 Installing : oldstandard-sfd-fonts-2.0.2-32.fc37.noarch 101/3380 Installing : teckit-2.5.9-9.fc37.x86_64 102/3380 Installing : jasper-libs-3.0.6-1.fc37.x86_64 103/3380 Installing : LibRaw-0.21.0-1.fc38.x86_64 104/3380 Installing : texlive-bib2gls-9:20210325-52.fc38.noarch 105/3380 Installing : zlib-devel-1.2.13-1.fc38.x86_64 106/3380 Installing : xkeyboard-config-2.36-3.fc38.noarch 107/3380 Installing : libxkbcommon-1.4.1-2.fc37.x86_64 108/3380 Installing : libwpe-1.14.0-1.fc38.x86_64 109/3380 Installing : wpebackend-fdo-1.14.0-1.fc38.x86_64 110/3380 Installing : webrtc-audio-processing-0.3.1-9.fc37.x86_64 111/3380 Installing : vulkan-loader-1.3.231.1-2.fc38.x86_64 112/3380 Installing : vim-data-2:9.0.1054-1.fc38.noarch 113/3380 Installing : vim-minimal-2:9.0.1054-1.fc38.x86_64 114/3380 Installing : tre-common-0.8.0-37.20140228gitc2f5d13.fc37.no 115/3380 Installing : tre-0.8.0-37.20140228gitc2f5d13.fc37.x86_64 116/3380 Installing : texlive-visualpstricks-doc-9:svn39799-61.fc38. 117/3380 Installing : texlive-unamth-template-doc-9:svn33625.2.0-61. 118/3380 Installing : texlive-uantwerpendocs-9:svn58669-61.fc38.noar 119/3380 Installing : texlive-tipfr-doc-9:svn38646-61.fc38.noarch 120/3380 Installing : texlive-texlive-common-doc-9:svn54176-61.fc38. 121/3380 Installing : texlive-newcommand-doc-9:svn18704.2.0-61.fc38. 122/3380 Installing : texlive-ietfbibs-doc-9:svn41332-61.fc38.noarch 123/3380 Installing : texlive-dtxgallery-doc-9:svn49504-61.fc38.noar 124/3380 Installing : texlive-doc-pictex-doc-9:svn24927.0-61.fc38.no 125/3380 Installing : texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-6 126/3380 Installing : texlive-anufinalexam-doc-9:svn26053.0-61.fc38. 127/3380 Installing : soundtouch-2.3.1-3.fc37.x86_64 128/3380 Installing : rav1e-libs-0.5.1-5.fc37.x86_64 129/3380 Installing : python-setuptools-wheel-65.5.1-1.fc38.noarch 130/3380 Installing : python-pip-wheel-22.3.1-1.fc38.noarch 131/3380 Installing : poppler-data-0.4.11-3.fc37.noarch 132/3380 Installing : pcre-8.45-1.fc37.2.x86_64 133/3380 Installing : sord-0.16.10-1.fc37.x86_64 134/3380 Installing : sratom-0.6.10-2.fc37.x86_64 135/3380 Installing : lilv-libs-0.24.14-3.fc37.x86_64 136/3380 Installing : netpbm-11.00.00-2.fc38.x86_64 137/3380 Installing : gts-0.7.6-43.20121130.fc38.x86_64 138/3380 Installing : ncurses-c++-libs-6.3-5.20221126.fc38.x86_64 139/3380 Installing : ncurses-devel-6.3-5.20221126.fc38.x86_64 140/3380 Installing : ncurses-6.3-5.20221126.fc38.x86_64 141/3380 Installing : mpg123-libs-1.31.1-3.fc38.x86_64 142/3380 Installing : mpdecimal-2.5.1-4.fc37.x86_64 143/3380 Installing : mesa-filesystem-22.3.1-1.fc38.x86_64 144/3380 Installing : mailcap-2.1.53-4.fc37.noarch 145/3380 Installing : libzip-1.9.2-2.fc37.x86_64 146/3380 Installing : libwmf-lite-0.2.12-9.fc37.x86_64 147/3380 Installing : libvisual-1:0.4.0-36.fc37.x86_64 148/3380 Installing : libstemmer-2.2.0-4.fc38.x86_64 149/3380 Installing : libspiro-20221101-1.fc38.x86_64 150/3380 Installing : libseccomp-2.5.3-3.fc37.x86_64 151/3380 Installing : libproxy-0.4.18-4.fc38.x86_64 152/3380 Installing : glib-networking-2.74.0-1.fc38.x86_64 153/3380 Installing : libsoup3-3.2.2-1.fc38.x86_64 154/3380 Installing : gssdp-1.6.2-1.fc38.x86_64 155/3380 Installing : libtracker-sparql-3.4.2-1.fc38.x86_64 156/3380 Installing : libsoup-2.74.3-1.fc38.x86_64 157/3380 Installing : libmarkdown-2.2.7-3.fc38.x86_64 158/3380 Installing : libimagequant-2.17.0-3.fc37.x86_64 159/3380 Installing : libijs-0.35-16.fc37.x86_64 160/3380 Installing : libgpg-error-1.46-1.fc38.x86_64 161/3380 Installing : libgcrypt-1.10.1-6.fc38.x86_64 162/3380 Installing : libsecret-0.20.5-2.fc37.x86_64 163/3380 Installing : libfontenc-1.1.6-1.fc38.x86_64 164/3380 Installing : mkfontscale-1.2.2-2.fc37.x86_64 165/3380 Installing : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.no 166/3380 Running scriptlet: xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.no 166/3380 Installing : libevdev-1.13.0-1.fc37.x86_64 167/3380 Installing : libmanette-0.2.6-5.fc37.x86_64 168/3380 Installing : libdav1d-1.0.0-2.fc37.x86_64 169/3380 Installing : libdatrie-0.2.13-4.fc37.x86_64 170/3380 Installing : libthai-0.1.29-3.fc37.x86_64 171/3380 Installing : sombok-2.4.0-17.fc37.x86_64 172/3380 Installing : libb2-0.98.1-7.fc37.x86_64 173/3380 Installing : python-unversioned-command-3.11.1-1.fc38.noarc 174/3380 Installing : python3-3.11.1-1.fc38.x86_64 175/3380 Installing : python3-libs-3.11.1-1.fc38.x86_64 176/3380 Installing : crypto-policies-scripts-20221215-1.gita4c31a3. 177/3380 Installing : nss-sysinit-3.85.0-1.fc38.x86_64 178/3380 Installing : nss-3.85.0-1.fc38.x86_64 179/3380 Running scriptlet: nss-3.85.0-1.fc38.x86_64 179/3380 Installing : libsrtp-2.3.0-9.fc37.x86_64 180/3380 Installing : python3-pygments-2.13.0-1.fc38.noarch 181/3380 Installing : libXau-1.0.11-1.fc38.x86_64 182/3380 Installing : libxcb-1.13.1-10.fc37.x86_64 183/3380 Installing : libX11-common-1.8.1-2.fc38.noarch 184/3380 Installing : libX11-1.8.1-2.fc38.x86_64 185/3380 Installing : libXext-1.3.5-1.fc38.x86_64 186/3380 Installing : libXt-1.2.1-3.fc37.x86_64 187/3380 Installing : libXrender-0.9.11-1.fc38.x86_64 188/3380 Installing : libXfixes-6.0.0-4.fc37.x86_64 189/3380 Installing : libXmu-1.1.4-1.fc38.x86_64 190/3380 Installing : libXi-1.8-3.fc37.x86_64 191/3380 Installing : libXpm-3.5.13-8.fc37.x86_64 192/3380 Installing : libXaw-1.0.14-3.fc37.x86_64 193/3380 Installing : libXdamage-1.1.5-8.fc37.x86_64 194/3380 Installing : libXcomposite-0.4.5-8.fc37.x86_64 195/3380 Installing : plotutils-2.6-29.fc37.x86_64 196/3380 Installing : libXtst-1.2.4-1.fc38.x86_64 197/3380 Installing : libXcursor-1.2.1-2.fc37.x86_64 198/3380 Installing : libXrandr-1.5.2-9.fc37.x86_64 199/3380 Installing : libXxf86vm-1.1.5-1.fc38.x86_64 200/3380 Installing : libXinerama-1.1.5-1.fc38.x86_64 201/3380 Installing : libXv-1.0.11-17.fc37.x86_64 202/3380 Installing : xprop-1.2.5-2.fc37.x86_64 203/3380 Installing : libRmath-4.2.2-5.fc38.x86_64 204/3380 Installing : libEMF-1.0.13-6.fc37.x86_64 205/3380 Installing : less-608-1.fc38.x86_64 206/3380 Installing : lame-libs-3.100-13.fc37.x86_64 207/3380 Installing : libsndfile-1.1.0-5.fc38.x86_64 208/3380 Installing : kernel-headers-6.1.0-1.fc38.x86_64 209/3380 Installing : hyphen-2.8.8-18.fc37.x86_64 210/3380 Installing : hwdata-0.365-1.fc38.noarch 211/3380 Installing : libpciaccess-0.16-7.fc37.x86_64 212/3380 Installing : libdrm-2.4.114-1.fc38.x86_64 213/3380 Installing : mesa-libgbm-22.3.1-1.fc38.x86_64 214/3380 Installing : libglvnd-egl-1:1.6.0-1.fc38.x86_64 215/3380 Installing : mesa-libEGL-22.3.1-1.fc38.x86_64 216/3380 Installing : libglvnd-glx-1:1.6.0-1.fc38.x86_64 217/3380 Installing : mesa-libGL-22.3.1-1.fc38.x86_64 218/3380 Installing : libva-2.17.0-1.fc38.x86_64 219/3380 Installing : highway-1.0.2-1.fc38.x86_64 220/3380 Installing : libjxl-1:0.7.0-5.fc38.x86_64 221/3380 Running scriptlet: groff-base-1.22.4-10.fc37.x86_64 222/3380 Installing : groff-base-1.22.4-10.fc37.x86_64 222/3380 Running scriptlet: groff-base-1.22.4-10.fc37.x86_64 222/3380 Installing : perl-Digest-SHA-1:6.03-1.fc37.x86_64 223/3380 Installing : perl-Digest-1.20-489.fc37.noarch 224/3380 Installing : perl-Tie-4.6-492.fc38.noarch 225/3380 Installing : perl-FindBin-1.53-492.fc38.noarch 226/3380 Installing : perl-lib-0.65-492.fc38.x86_64 227/3380 Installing : perl-GSSAPI-0.28-40.fc37.x86_64 228/3380 Installing : perl-Digest-HMAC-1.04-6.fc37.noarch 229/3380 Installing : perl-Digest-MD5-2.58-489.fc37.x86_64 230/3380 Installing : perl-Business-ISBN-Data-20210112.006-6.fc37.no 231/3380 Installing : perl-B-1.83-492.fc38.x86_64 232/3380 Installing : perl-FileHandle-2.03-492.fc38.noarch 233/3380 Installing : perl-subs-1.04-492.fc38.noarch 234/3380 Installing : perl-Authen-SASL-2.16-28.fc37.noarch 235/3380 Installing : perl-AutoLoader-5.74-492.fc38.noarch 236/3380 Installing : perl-Data-Dumper-2.184-490.fc37.x86_64 237/3380 Installing : perl-Business-ISBN-3.007-4.fc37.noarch 238/3380 Installing : perl-libnet-3.14-490.fc37.noarch 239/3380 Installing : perl-base-2.27-492.fc38.noarch 240/3380 Installing : perl-URI-5.17-1.fc38.noarch 241/3380 Installing : perl-Net-SSLeay-1.92-4.fc37.x86_64 242/3380 Installing : perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch 243/3380 Installing : perl-Mozilla-CA-20221114-1.fc38.noarch 244/3380 Installing : perl-if-0.61.000-492.fc38.noarch 245/3380 Installing : perl-IO-Socket-IP-0.41-490.fc37.noarch 246/3380 Installing : perl-Time-Local-2:1.300-489.fc37.noarch 247/3380 Installing : perl-File-Path-2.18-489.fc37.noarch 248/3380 Installing : perl-IO-Socket-SSL-2.078-1.fc38.noarch 249/3380 Installing : perl-Pod-Escapes-1:1.07-489.fc37.noarch 250/3380 Installing : perl-Class-Struct-0.66-492.fc38.noarch 251/3380 Installing : perl-POSIX-2.03-492.fc38.x86_64 252/3380 Installing : perl-Term-ANSIColor-5.01-490.fc37.noarch 253/3380 Installing : perl-IPC-Open3-1.22-492.fc38.noarch 254/3380 Installing : perl-File-Temp-1:0.231.100-489.fc37.noarch 255/3380 Installing : perl-HTTP-Tiny-0.082-1.fc37.noarch 256/3380 Installing : perl-Term-Cap-1.17-489.fc37.noarch 257/3380 Installing : perl-Pod-Simple-1:3.43-490.fc37.noarch 258/3380 Installing : perl-Socket-4:2.036-1.fc38.x86_64 259/3380 Installing : perl-SelectSaver-1.02-492.fc38.noarch 260/3380 Installing : perl-Symbol-1.09-492.fc38.noarch 261/3380 Installing : perl-File-stat-1.12-492.fc38.noarch 262/3380 Installing : perl-podlators-1:5.00-1.fc38.noarch 263/3380 Installing : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 264/3380 Installing : perl-Fcntl-1.15-492.fc38.x86_64 265/3380 Installing : perl-Text-ParseWords-3.31-489.fc37.noarch 266/3380 Installing : perl-mro-1.26-492.fc38.x86_64 267/3380 Installing : perl-IO-1.50-492.fc38.x86_64 268/3380 Installing : perl-overloading-0.02-492.fc38.noarch 269/3380 Installing : perl-Pod-Usage-4:2.03-3.fc37.noarch 270/3380 Installing : perl-Errno-1.36-492.fc38.x86_64 271/3380 Installing : perl-File-Basename-2.85-492.fc38.noarch 272/3380 Installing : perl-Getopt-Std-1.13-492.fc38.noarch 273/3380 Installing : perl-MIME-Base64-3.16-489.fc37.x86_64 274/3380 Installing : perl-Scalar-List-Utils-5:1.63-489.fc38.x86_64 275/3380 Installing : perl-constant-1.33-490.fc37.noarch 276/3380 Installing : perl-Storable-1:3.26-489.fc37.x86_64 277/3380 Installing : perl-overload-1.35-492.fc38.noarch 278/3380 Installing : perl-parent-1:0.239-1.fc38.noarch 279/3380 Installing : perl-vars-1.05-492.fc38.noarch 280/3380 Installing : perl-Getopt-Long-1:2.54-1.fc38.noarch 281/3380 Installing : perl-Carp-1.52-489.fc37.noarch 282/3380 Installing : perl-Exporter-5.77-489.fc37.noarch 283/3380 Installing : perl-PathTools-3.84-489.fc37.x86_64 284/3380 Installing : perl-DynaLoader-1.52-492.fc38.x86_64 285/3380 Installing : perl-Encode-4:3.19-492.fc38.x86_64 286/3380 Installing : perl-libs-4:5.36.0-492.fc38.x86_64 287/3380 Installing : perl-interpreter-4:5.36.0-492.fc38.x86_64 288/3380 Installing : perl-File-Find-1.40-492.fc38.noarch 289/3380 Installing : perl-Try-Tiny-0.31-4.fc37.noarch 290/3380 Installing : perl-Module-Runtime-0.016-18.fc38.noarch 291/3380 Installing : perl-locale-1.10-492.fc38.noarch 292/3380 Installing : perl-version-8:0.99.29-490.fc37.x86_64 293/3380 Installing : perl-Dist-CheckConflicts-0.11-26.fc37.noarch 294/3380 Installing : perl-Module-Implementation-0.09-35.fc37.noarch 295/3380 Installing : perl-File-Copy-2.39-492.fc38.noarch 296/3380 Installing : perl-Unicode-Normalize-1.31-489.fc37.x86_64 297/3380 Installing : perl-Compress-Raw-Zlib-2.202-4.fc38.x86_64 298/3380 Installing : perl-LWP-MediaTypes-6.04-13.fc38.noarch 299/3380 Installing : perl-LaTeX-ToUnicode-0.11-7.fc37.noarch 300/3380 Installing : perl-Math-Complex-1.59-492.fc38.noarch 301/3380 Installing : perl-Math-BigInt-1:1.9998.37-2.fc37.noarch 302/3380 Installing : perl-Math-BigRat-0.2624-2.fc37.noarch 303/3380 Installing : perl-Params-Util-1.102-9.fc38.x86_64 304/3380 Installing : perl-Sys-Hostname-1.24-492.fc38.x86_64 305/3380 Installing : perl-Time-HiRes-4:1.9770-489.fc37.x86_64 306/3380 Installing : perl-TimeDate-1:2.33-9.fc37.noarch 307/3380 Installing : perl-HTTP-Date-6.05-11.fc38.noarch 308/3380 Installing : perl-BibTeX-Parser-1.03-6.fc37.noarch 309/3380 Installing : perl-Date-Manip-6.90-1.fc38.noarch 310/3380 Installing : perl-Carp-Clan-6.08-13.fc37.noarch 311/3380 Installing : perl-Clone-0.46-1.fc38.x86_64 312/3380 Installing : perl-Data-Dump-1.25-5.fc37.noarch 313/3380 Installing : perl-Date-ISO8601-0.005-16.fc37.noarch 314/3380 Installing : perl-Devel-StackTrace-1:2.04-14.fc38.noarch 315/3380 Installing : perl-I18N-Langinfo-0.21-492.fc38.x86_64 316/3380 Installing : perl-Encode-Locale-1.05-25.fc38.noarch 317/3380 Installing : perl-Opcode-1.57-492.fc38.x86_64 318/3380 Installing : perl-Safe-2.43-492.fc38.noarch 319/3380 Installing : perl-Sub-Install-0.928-33.fc37.noarch 320/3380 Installing : perl-Text-Balanced-2.06-2.fc37.noarch 321/3380 Installing : perl-Parse-RecDescent-1.967015-18.fc37.noarch 322/3380 Installing : perl-Text-Unidecode-1.30-19.fc37.noarch 323/3380 Installing : perl-XML-NamespaceSupport-1.12-18.fc37.noarch 324/3380 Installing : perl-XML-Parser-2.46-12.fc37.x86_64 325/3380 Installing : perl-XML-SAX-Base-1.09-18.fc37.noarch 326/3380 Installing : perl-Data-OptList-0.112-5.fc37.noarch 327/3380 Installing : perl-Bit-Vector-7.4-28.fc38.x86_64 328/3380 Installing : perl-Date-Calc-6.4-23.fc37.noarch 329/3380 Installing : perl-File-Listing-6.15-4.fc38.noarch 330/3380 Installing : perl-Data-Uniqid-0.12-29.fc37.noarch 331/3380 Installing : perl-Mail-Sendmail-0.80-16.fc37.noarch 332/3380 Installing : perl-JSON-4.10-1.fc38.noarch 333/3380 Installing : perl-Text-BibTeX-0.88-12.fc37.x86_64 334/3380 Installing : perl-Unicode-Collate-1.31-489.fc37.x86_64 335/3380 Installing : perl-Unicode-UCD-0.78-492.fc38.noarch 336/3380 Installing : perl-List-SomeUtils-0.59-1.fc38.noarch 337/3380 Installing : perl-Params-Validate-1.31-2.fc38.x86_64 338/3380 Installing : perl-Module-CoreList-1:5.20221220-1.fc38.noarc 339/3380 Installing : perl-Module-Metadata-1.000037-489.fc37.noarch 340/3380 Installing : perl-Pod-Html-1.33-492.fc38.noarch 341/3380 Installing : perl-Sort-Key-1.33-25.fc37.x86_64 342/3380 Installing : perl-Lingua-Translit-0.29-2.fc37.noarch 343/3380 Installing : perl-Business-ISSN-1.005-3.fc37.noarch 344/3380 Installing : perl-Class-Accessor-0.51-16.fc37.noarch 345/3380 Installing : perl-Class-Data-Inheritable-0.09-4.fc37.noarch 346/3380 Installing : perl-Exception-Class-1.45-6.fc37.noarch 347/3380 Installing : perl-Class-Inspector-1.36-12.fc38.noarch 348/3380 Installing : perl-File-ShareDir-1.118-7.fc37.noarch 349/3380 Installing : perl-Class-Method-Modifiers-2.13-13.fc37.noarc 350/3380 Installing : perl-Role-Tiny-2.002004-7.fc37.noarch 351/3380 Installing : perl-Class-Singleton-1.6-7.fc37.noarch 352/3380 Installing : perl-Compress-Raw-Bzip2-2.201-2.fc37.x86_64 353/3380 Installing : perl-IO-Compress-2.201-3.fc37.noarch 354/3380 Installing : perl-Net-HTTP-6.22-4.fc38.noarch 355/3380 Installing : perl-Convert-ASN1-0.33-4.fc37.noarch 356/3380 Installing : perl-Crypt-RC4-2.02-33.fc37.noarch 357/3380 Installing : perl-Digest-MD4-1.9-32.fc37.x86_64 358/3380 Installing : perl-Digest-Perl-MD5-1.9-27.fc37.noarch 359/3380 Installing : perl-Digest-SHA1-2.13-37.fc37.x86_64 360/3380 Installing : perl-DynaLoader-Functions-0.003-17.fc38.noarch 361/3380 Installing : perl-Devel-CallChecker-0.008-18.fc38.x86_64 362/3380 Installing : perl-Params-Classify-0.015-17.fc37.x86_64 363/3380 Installing : perl-DateTime-TimeZone-SystemV-0.010-17.fc37.n 364/3380 Installing : perl-DateTime-TimeZone-Tzfile-0.011-17.fc37.no 365/3380 Installing : perl-Email-Date-Format-1.005-23.fc37.noarch 366/3380 Installing : perl-English-1.11-492.fc38.noarch 367/3380 Installing : perl-ExtUtils-MM-Utils-2:7.64-490.fc37.noarch 368/3380 Installing : perl-File-Compare-1.100.700-492.fc38.noarch 369/3380 Installing : perl-File-Slurper-0.014-1.fc38.noarch 370/3380 Installing : perl-File-Which-1.27-6.fc37.noarch 371/3380 Installing : perl-HTML-Tagset-3.20-52.fc37.noarch 372/3380 Installing : perl-Hash-Util-FieldHash-1.26-492.fc38.x86_64 373/3380 Installing : perl-I18N-LangTags-0.45-492.fc38.noarch 374/3380 Installing : perl-Locale-Maketext-1.32-1.fc38.noarch 375/3380 Installing : perl-Locale-Maketext-Simple-1:0.21-492.fc38.no 376/3380 Installing : perl-Params-Check-1:0.38-489.fc37.noarch 377/3380 Installing : perl-IO-HTML-1.004-9.fc38.noarch 378/3380 Installing : perl-HTTP-Message-6.44-1.fc38.noarch 379/3380 Installing : perl-HTML-Parser-3.80-1.fc38.x86_64 380/3380 Installing : perl-HTTP-Negotiate-6.01-34.fc38.noarch 381/3380 Installing : perltidy-20221112-1.fc38.noarch 382/3380 Installing : perl-HTTP-Cookies-6.10-8.fc38.noarch 383/3380 Installing : perl-IO-String-1.08-46.fc37.noarch 384/3380 Installing : perl-IO-stringy-2.113-10.fc37.noarch 385/3380 Installing : perl-OLE-Storage_Lite-0.20-10.fc37.noarch 386/3380 Installing : perl-IPC-Run3-0.048-27.fc38.noarch 387/3380 Installing : perl-IPC-SysV-2.09-490.fc37.x86_64 388/3380 Installing : perl-IPC-System-Simple-1.30-9.fc37.noarch 389/3380 Installing : perl-Jcode-2.07-39.fc37.noarch 390/3380 Installing : perl-List-UtilsBy-0.12-5.fc38.noarch 391/3380 Installing : perl-List-AllUtils-0.19-8.fc38.noarch 392/3380 Installing : perl-MIME-Charset-1.013.1-1.fc38.noarch 393/3380 Installing : perl-Unicode-LineBreak-2019.001-14.fc37.x86_64 394/3380 Installing : perl-MIME-Types-2.24-1.fc38.noarch 395/3380 Installing : perl-MIME-Lite-3.033-5.fc37.noarch 396/3380 Installing : perl-MRO-Compat-0.15-4.fc37.noarch 397/3380 Installing : perl-Mail-Sender-1:0.903-19.fc37.noarch 398/3380 Installing : perl-Module-Load-1:0.36-489.fc37.noarch 399/3380 Installing : perl-Module-Load-Conditional-0.74-489.fc37.noa 400/3380 Installing : perl-IPC-Cmd-2:1.04-490.fc37.noarch 401/3380 Installing : perl-NTLM-1.09-33.fc37.noarch 402/3380 Installing : perl-Net-SMTP-SSL-1.04-19.fc37.noarch 403/3380 Installing : perl-MailTools-2.21-12.fc37.noarch 404/3380 Installing : perl-Number-Compare-0.03-34.fc38.noarch 405/3380 Installing : perl-Package-Generator-1.106-26.fc37.noarch 406/3380 Installing : perl-Sub-Exporter-0.988-5.fc37.noarch 407/3380 Installing : perl-Sub-Exporter-Progressive-0.001013-19.fc37 408/3380 Installing : perl-Devel-GlobalDestruction-0.14-19.fc37.noar 409/3380 Installing : perl-Package-Stash-XS-0.30-2.fc37.x86_64 410/3380 Installing : perl-Package-Stash-0.40-3.fc37.noarch 411/3380 Installing : perl-PadWalker-2.5-7.fc37.x86_64 412/3380 Installing : perl-Devel-Caller-2.06-29.fc37.x86_64 413/3380 Installing : perl-Devel-LexAlias-0.05-30.fc37.x86_64 414/3380 Installing : perl-Eval-Closure-0.14-19.fc37.noarch 415/3380 Installing : perl-Params-ValidationCompiler-0.30-17.fc37.no 416/3380 Installing : perl-Ref-Util-XS-0.117-16.fc37.x86_64 417/3380 Installing : perl-Ref-Util-0.204-15.fc37.noarch 418/3380 Installing : perl-Regexp-Common-2017060201-20.fc38.noarch 419/3380 Installing : perl-Sub-Identify-0.14-20.fc37.x86_64 420/3380 Installing : perl-Sys-Syslog-0.36-490.fc37.x86_64 421/3380 Installing : perl-Text-Glob-0.11-19.fc38.noarch 422/3380 Installing : perl-File-Find-Rule-0.34-24.fc38.noarch 423/3380 Installing : perl-Data-Compare-1.27-10.fc37.noarch 424/3380 Installing : perl-Text-Roman-3.5-23.fc37.noarch 425/3380 Installing : perl-Tie-Cycle-1.227-4.fc37.noarch 426/3380 Installing : perl-Business-ISMN-1.202-6.fc37.noarch 427/3380 Installing : perl-Tie-RefHash-1.40-489.fc37.noarch 428/3380 Installing : perl-autodie-2.34-490.fc37.noarch 429/3380 Installing : perl-UNIVERSAL-isa-1.20171012-15.fc37.noarch 430/3380 Installing : perl-Text-CSV_XS-1.48-3.fc37.x86_64 431/3380 Installing : perl-Text-CSV-2.02-1.fc38.noarch 432/3380 Installing : perl-Variable-Magic-0.63-1.fc38.x86_64 433/3380 Installing : perl-B-Hooks-EndOfScope-0.26-4.fc37.noarch 434/3380 Installing : perl-namespace-clean-0.27-21.fc37.noarch 435/3380 Installing : perl-namespace-autoclean-0.29-13.fc37.noarch 436/3380 Installing : perl-WWW-RobotRules-6.02-35.fc38.noarch 437/3380 Installing : perl-LWP-Protocol-https-6.10-8.fc38.noarch 438/3380 Installing : perl-libwww-perl-6.67-3.fc38.noarch 439/3380 Installing : perl-Unicode-Map-0.112-58.fc37.x86_64 440/3380 Installing : perl-XML-SAX-1.02-11.fc37.noarch 441/3380 Running scriptlet: perl-XML-SAX-1.02-11.fc37.noarch 441/3380 Installing : perl-XML-LibXML-1:2.0208-1.fc38.x86_64 442/3380 Running scriptlet: perl-XML-LibXML-1:2.0208-1.fc38.x86_64 442/3380 Installing : perl-XML-LibXML-Simple-1.01-10.fc37.noarch 443/3380 Installing : perl-XML-LibXSLT-2.002.000-3.fc37.x86_64 444/3380 Installing : texlive-crossrefware-9:20210325-52.fc38.noarch 445/3380 Installing : perl-XML-Writer-0.900-9.fc38.noarch 446/3380 Installing : perl-XString-0.005-7.fc37.x86_64 447/3380 Installing : perl-Specio-0.48-2.fc37.noarch 448/3380 Installing : perl-DateTime-Locale-1.37-1.fc38.noarch 449/3380 Installing : perl-DateTime-2:1.59-1.fc38.x86_64 450/3380 Installing : perl-DateTime-TimeZone-2.57-1.fc38.noarch 451/3380 Installing : perl-Log-Dispatch-2.70-9.fc38.noarch 452/3380 Installing : perl-Log-Dispatch-FileRotate-1.38-5.fc37.noarc 453/3380 Installing : perl-DateTime-Format-Strptime-1:1.79-6.fc37.no 454/3380 Installing : perl-DateTime-Format-Builder-0.8300-8.fc37.noa 455/3380 Installing : perl-DateTime-Calendar-Julian-0.107-3.fc37.noa 456/3380 Installing : perl-autouse-1.11-492.fc38.noarch 457/3380 Installing : perl-Spreadsheet-WriteExcel-2.40-26.fc37.noarc 458/3380 Installing : perl-Spreadsheet-ParseExcel-0.6500-33.fc37.x86 459/3380 Installing : perl-autovivification-0.18-17.fc37.x86_64 460/3380 Installing : perl-deprecate-0.04-492.fc38.noarch 461/3380 Installing : perl-Text-Soundex-3.05-24.fc38.x86_64 462/3380 Installing : perl-LDAP-1:0.68-8.fc37.noarch 463/3380 Installing : perl-Log-Log4perl-1.57-1.fc38.noarch 464/3380 Installing : perl-meta-notation-5.36.0-492.fc38.noarch 465/3380 Installing : perl-sigtrap-1.10-492.fc38.noarch 466/3380 Installing : biber-2.18-1.fc38.noarch 467/3380 Installing : google-noto-fonts-common-20201206^1.git0c78c83 468/3380 Installing : google-noto-sans-vf-fonts-20201206^1.git0c78c8 469/3380 Installing : google-droid-sans-fonts-20200215-13.fc37.noarc 470/3380 Installing : langpacks-core-font-en-3.0-27.fc38.noarch 471/3380 Installing : fontconfig-2.14.1-2.fc38.x86_64 472/3380 Running scriptlet: fontconfig-2.14.1-2.fc38.x86_64 472/3380 Installing : cairo-1.17.6-2.fc37.x86_64 473/3380 Installing : cairo-gobject-1.17.6-2.fc37.x86_64 474/3380 Installing : libXft-2.3.6-1.fc38.x86_64 475/3380 Installing : pango-1.50.12-1.fc38.x86_64 476/3380 Installing : librsvg2-2.55.1-3.fc38.x86_64 477/3380 Running scriptlet: tk-1:8.6.12-3.fc37.x86_64 478/3380 Installing : tk-1:8.6.12-3.fc37.x86_64 478/3380 Installing : poppler-22.08.0-4.fc38.x86_64 479/3380 Installing : poppler-glib-22.08.0-4.fc38.x86_64 480/3380 Installing : lasi-1.1.3-9.fc37.x86_64 481/3380 Installing : glibc-headers-x86-2.36.9000-19.fc38.noarch 482/3380 Installing : libxcrypt-devel-4.4.33-5.fc38.x86_64 483/3380 Installing : glibc-devel-2.36.9000-19.fc38.x86_64 484/3380 Installing : giflib-5.2.1-14.fc37.x86_64 485/3380 Installing : gc-8.2.2-1.fc38.x86_64 486/3380 Installing : guile22-2.2.7-6.fc37.x86_64 487/3380 Installing : make-1:4.3-11.fc37.x86_64 488/3380 Installing : gcc-13.0.0-0.4.fc38.x86_64 489/3380 Running scriptlet: gcc-13.0.0-0.4.fc38.x86_64 489/3380 Installing : perl-Filter-2:1.64-1.fc38.x86_64 490/3380 Installing : perl-encoding-4:3.00-492.fc38.x86_64 491/3380 Installing : perl-open-1.13-492.fc38.noarch 492/3380 Installing : perl-XML-XPath-1.48-2.fc38.noarch 493/3380 Installing : texlive-epstopdf-9:20210325-52.fc38.noarch 494/3380 Installing : texlive-epstopdf-pkg-9:svn53546-61.fc38.noarch 495/3380 Installing : texlive-cm-9:svn57963-61.fc38.noarch 496/3380 Installing : texlive-etex-9:svn56291-61.fc38.noarch 497/3380 Installing : texlive-graphics-def-9:svn58539-61.fc38.noarch 498/3380 Installing : texlive-hyph-utf8-9:svn58619-61.fc38.noarch 499/3380 Installing : texlive-hyphen-base-9:svn58630-61.fc38.noarch 500/3380 Installing : texlive-knuth-lib-9:svn57963-61.fc38.noarch 501/3380 Installing : texlive-plain-9:svn57963-61.fc38.noarch 502/3380 Installing : texlive-tex-ini-files-9:svn40533-61.fc38.noarc 503/3380 Installing : texlive-unicode-data-9:svn56768-61.fc38.noarch 504/3380 Installing : texlive-gsftopk-9:20210325-52.fc38.x86_64 505/3380 Installing : texlive-texlive.infra-9:20210325-52.fc38.noarc 506/3380 Installing : texlive-luatex-9:20210325-52.fc38.x86_64 507/3380 Installing : texlive-texlive-scripts-9:20210325-52.fc38.noa 508/3380 Installing : texlive-kpathsea-9:20210325-52.fc38.x86_64 509/3380 Installing : texlive-iftex-9:svn56594-61.fc38.noarch 510/3380 Installing : texlive-amsmath-9:svn56514-61.fc38.noarch 511/3380 Installing : texlive-amsfonts-9:svn29208.3.04-61.fc38.noarc 512/3380 Installing : texlive-kvoptions-9:svn56609-61.fc38.noarch 513/3380 Installing : texlive-fancyhdr-9:svn57672-61.fc38.noarch 514/3380 Installing : texlive-babel-9:svn58999-61.fc38.noarch 515/3380 Installing : texlive-url-9:svn32528.3.4-61.fc38.noarch 516/3380 Installing : texlive-setspace-9:svn24881.6.7a-61.fc38.noarc 517/3380 Installing : texlive-xstring-9:svn49946-61.fc38.noarch 518/3380 Installing : texlive-booktabs-9:svn53402-61.fc38.noarch 519/3380 Installing : texlive-fontaxes-9:svn55920-61.fc38.noarch 520/3380 Installing : texlive-enumitem-9:svn51423-61.fc38.noarch 521/3380 Installing : texlive-natbib-9:svn20668.8.31b-61.fc38.noarch 522/3380 Installing : texlive-ragged2e-9:svn57638-61.fc38.noarch 523/3380 Installing : texlive-etex-pkg-9:svn41784-61.fc38.noarch 524/3380 Installing : texlive-etoolbox-9:svn56554-61.fc38.noarch 525/3380 Installing : texlive-titlesec-9:svn52413-61.fc38.noarch 526/3380 Installing : texlive-fp-9:svn49719-61.fc38.noarch 527/3380 Installing : texlive-float-9:svn15878.1.3d-61.fc38.noarch 528/3380 Installing : texlive-pdftexcmds-9:svn55777-61.fc38.noarch 529/3380 Installing : texlive-mweights-9:svn53520-61.fc38.noarch 530/3380 Installing : texlive-lm-9:svn58637-61.fc38.noarch 531/3380 Installing : texlive-lastpage-9:svn36680.1.2m-61.fc38.noarc 532/3380 Installing : texlive-ltxcmds-9:svn56421-61.fc38.noarch 533/3380 Installing : texlive-atbegshi-9:svn53051-61.fc38.noarch 534/3380 Installing : texlive-ulem-9:svn53365-61.fc38.noarch 535/3380 Installing : texlive-relsize-9:svn30707.4.1-61.fc38.noarch 536/3380 Installing : texlive-zref-9:svn56611-61.fc38.noarch 537/3380 Installing : texlive-bookmark-9:svn56885-61.fc38.noarch 538/3380 Installing : texlive-marginnote-9:svn48383-61.fc38.noarch 539/3380 Installing : texlive-tocloft-9:svn53364-61.fc38.noarch 540/3380 Installing : texlive-fancybox-9:svn18304.1.4-61.fc38.noarch 541/3380 Installing : texlive-paralist-9:svn43021-61.fc38.noarch 542/3380 Installing : texlive-atveryend-9:svn53108-61.fc38.noarch 543/3380 Installing : texlive-footmisc-9:svn23330.5.5b-61.fc38.noarc 544/3380 Installing : texlive-framed-9:svn26789.0.96-61.fc38.noarch 545/3380 Installing : texlive-letltxmacro-9:svn53022-61.fc38.noarch 546/3380 Installing : texlive-soul-9:svn56495-61.fc38.noarch 547/3380 Installing : texlive-textcase-9:svn52092-61.fc38.noarch 548/3380 Installing : texlive-marvosym-9:svn29349.2.2a-61.fc38.noarc 549/3380 Installing : texlive-multido-9:svn18302.1.42-61.fc38.noarch 550/3380 Installing : texlive-multirow-9:svn58396-61.fc38.noarch 551/3380 Installing : texlive-changepage-9:svn15878.1.0c-61.fc38.noa 552/3380 Installing : texlive-cite-9:svn36428.5.5-61.fc38.noarch 553/3380 Installing : texlive-comment-9:svn41927-61.fc38.noarch 554/3380 Installing : texlive-eurosym-9:svn17265.1.4_subrfix-61.fc38 555/3380 Installing : texlive-everyshi-9:svn57001-61.fc38.noarch 556/3380 Installing : texlive-tocbibind-9:svn20085.1.5k-61.fc38.noar 557/3380 Installing : texlive-txfonts-9:svn15878.0-61.fc38.noarch 558/3380 Installing : texlive-catoptions-9:svn35069.0.2.7h-61.fc38.n 559/3380 Installing : texlive-alphalph-9:svn53087-61.fc38.noarch 560/3380 Installing : texlive-everypage-9:svn56694-61.fc38.noarch 561/3380 Installing : texlive-ifmtarg-9:svn47544-61.fc38.noarch 562/3380 Installing : texlive-infwarerr-9:svn53023-61.fc38.noarch 563/3380 Installing : texlive-kvsetkeys-9:svn53166-61.fc38.noarch 564/3380 Installing : texlive-needspace-9:svn29601.1.3d-61.fc38.noar 565/3380 Installing : texlive-varwidth-9:svn24104.0.92-61.fc38.noarc 566/3380 Installing : texlive-cleveref-9:svn47525-61.fc38.noarch 567/3380 Installing : texlive-cmap-9:svn57640-61.fc38.noarch 568/3380 Installing : texlive-filecontents-9:svn52142-61.fc38.noarch 569/3380 Installing : texlive-parskip-9:svn58358-61.fc38.noarch 570/3380 Installing : texlive-was-9:svn21439.0-61.fc38.noarch 571/3380 Installing : texlive-wrapfig-9:svn22048.3.6-61.fc38.noarch 572/3380 Installing : texlive-etextools-9:svn20694.3.1415926-61.fc38 573/3380 Installing : texlive-tex-gyre-9:svn48058-61.fc38.noarch 574/3380 Installing : texlive-auxhook-9:svn53173-61.fc38.noarch 575/3380 Installing : texlive-eepic-9:svn15878.1.1e-61.fc38.noarch 576/3380 Installing : texlive-etexcmds-9:svn53171-61.fc38.noarch 577/3380 Installing : texlive-catchfile-9:svn53084-61.fc38.noarch 578/3380 Installing : texlive-ifplatform-9:svn45533-61.fc38.noarch 579/3380 Installing : texlive-hologo-9:svn53048-61.fc38.noarch 580/3380 Installing : texlive-ifoddpage-9:svn56291-61.fc38.noarch 581/3380 Installing : texlive-picture-9:svn54867-61.fc38.noarch 582/3380 Installing : texlive-placeins-9:svn19848.2.2-61.fc38.noarch 583/3380 Installing : texlive-refcount-9:svn53164-61.fc38.noarch 584/3380 Installing : texlive-subfigure-9:svn15878.2.1.5-61.fc38.noa 585/3380 Installing : texlive-svn-prov-9:svn56291-61.fc38.noarch 586/3380 Installing : texlive-trimspaces-9:svn15878.1.1-61.fc38.noar 587/3380 Installing : texlive-environ-9:svn56615-61.fc38.noarch 588/3380 Installing : texlive-fontawesome-9:svn48145-61.fc38.noarch 589/3380 Installing : texlive-accsupp-9:svn53052-61.fc38.noarch 590/3380 Installing : texlive-boxedminipage-9:svn54827-61.fc38.noarc 591/3380 Installing : texlive-index-9:svn24099.4.1beta-61.fc38.noarc 592/3380 Installing : texlive-intcalc-9:svn53168-61.fc38.noarch 593/3380 Installing : texlive-latex-fonts-9:svn28888.0-61.fc38.noarc 594/3380 Installing : texlive-mdwtools-9:svn15878.1.05.4-61.fc38.noa 595/3380 Installing : texlive-pxfonts-9:svn15878.0-61.fc38.noarch 596/3380 Installing : texlive-transparent-9:svn52981-61.fc38.noarch 597/3380 Installing : texlive-everyhook-9:svn35675.1.2-61.fc38.noarc 598/3380 Installing : texlive-mfirstuc-9:svn45803-61.fc38.noarch 599/3380 Installing : texlive-atenddvi-9:svn56922-61.fc38.noarch 600/3380 Installing : texlive-bbding-9:svn17186.1.01-61.fc38.noarch 601/3380 Installing : texlive-cancel-9:svn32508.2.2-61.fc38.noarch 602/3380 Installing : texlive-embedfile-9:svn54865-61.fc38.noarch 603/3380 Installing : texlive-endnotes-9:svn53319-61.fc38.noarch 604/3380 Installing : texlive-hyphenat-9:svn15878.2.3c-61.fc38.noarc 605/3380 Installing : texlive-nomencl-9:svn57263-61.fc38.noarch 606/3380 Installing : texlive-pdfescape-9:svn53082-61.fc38.noarch 607/3380 Installing : texlive-preprint-9:svn30447.2011-61.fc38.noarc 608/3380 Installing : texlive-substr-9:svn16117.1.2-61.fc38.noarch 609/3380 Installing : texlive-truncate-9:svn18921.3.6-61.fc38.noarch 610/3380 Installing : texlive-ltxkeys-9:svn28332.0.0.3c-61.fc38.noar 611/3380 Installing : texlive-filemod-9:svn56291-61.fc38.noarch 612/3380 Installing : texlive-ltxnew-9:svn21586.1.3-61.fc38.noarch 613/3380 Installing : texlive-babelbib-9:svn57349-61.fc38.noarch 614/3380 Installing : texlive-nowidow-9:svn24066.1.0-61.fc38.noarch 615/3380 Installing : texlive-onlyamsmath-9:svn42927-61.fc38.noarch 616/3380 Installing : texlive-anyfontsize-9:svn17050.0-61.fc38.noarc 617/3380 Installing : texlive-collectbox-9:svn56291-61.fc38.noarch 618/3380 Installing : texlive-doublestroke-9:svn15878.1.111-61.fc38. 619/3380 Installing : texlive-esvect-9:svn32098.1.3-61.fc38.noarch 620/3380 Installing : texlive-gettitlestring-9:svn53170-61.fc38.noar 621/3380 Installing : texlive-import-9:svn54683-61.fc38.noarch 622/3380 Installing : texlive-kastrup-9:svn15878.0-61.fc38.noarch 623/3380 Installing : texlive-l3backend-9:svn59118-61.fc38.noarch 624/3380 Installing : texlive-lipsum-9:svn58123-61.fc38.noarch 625/3380 Installing : texlive-makecmds-9:svn15878.0-61.fc38.noarch 626/3380 Installing : texlive-pdflscape-9:svn53047-61.fc38.noarch 627/3380 Installing : texlive-sectsty-9:svn15878.2.0.2-61.fc38.noarc 628/3380 Installing : texlive-silence-9:svn27028.1.5b-61.fc38.noarch 629/3380 Installing : texlive-stmaryrd-9:svn22027.0-61.fc38.noarch 630/3380 Installing : texlive-stringenc-9:svn52982-61.fc38.noarch 631/3380 Installing : texlive-threeparttable-9:svn17383.0-61.fc38.no 632/3380 Installing : texlive-type1cm-9:svn21820.0-61.fc38.noarch 633/3380 Installing : texlive-vmargin-9:svn15878.2.5-61.fc38.noarch 634/3380 Installing : texlive-wasysym-9:svn54080-61.fc38.noarch 635/3380 Installing : texlive-xfor-9:svn15878.1.05-61.fc38.noarch 636/3380 Installing : texlive-hyperxmp-9:20210325-52.fc38.x86_64 637/3380 Installing : texlive-dvips-9:20210325-52.fc38.x86_64 638/3380 Installing : texlive-isomath-9:svn27654.0.6.1-61.fc38.noarc 639/3380 Installing : texlive-linegoal-9:svn21523.2.9-61.fc38.noarch 640/3380 Installing : texlive-noindentafter-9:svn35709.0.2.2-61.fc38 641/3380 Installing : texlive-quoting-9:svn32818.v0.1c-61.fc38.noarc 642/3380 Installing : texlive-memoir-9:svn58666-61.fc38.noarch 643/3380 Installing : texlive-dox-9:svn46011-61.fc38.noarch 644/3380 Installing : texlive-morefloats-9:svn37927.1.0h-61.fc38.noa 645/3380 Installing : texlive-SIunits-9:svn15878.1.36-61.fc38.noarch 646/3380 Installing : texlive-arrayjobx-9:svn18125.1.04-61.fc38.noar 647/3380 Installing : texlive-beton-9:svn15878.0-61.fc38.noarch 648/3380 Installing : texlive-bigintcalc-9:svn53172-61.fc38.noarch 649/3380 Installing : texlive-chngcntr-9:svn47577-61.fc38.noarch 650/3380 Installing : texlive-cmbright-9:svn21107.8.1-61.fc38.noarch 651/3380 Installing : texlive-colorist-9:svn59316-61.fc38.noarch 652/3380 Installing : texlive-draftcopy-9:svn15878.2.16-61.fc38.noar 653/3380 Installing : texlive-emptypage-9:svn18064.1.2-61.fc38.noarc 654/3380 Installing : texlive-epigraph-9:svn54857-61.fc38.noarch 655/3380 Installing : texlive-esint-9:svn52240-61.fc38.noarch 656/3380 Installing : texlive-euler-9:svn42428-61.fc38.noarch 657/3380 Installing : texlive-eulervm-9:svn15878.4.0-61.fc38.noarch 658/3380 Installing : texlive-everysel-9:svn57489-61.fc38.noarch 659/3380 Installing : texlive-fileinfo-9:svn28421.0.81a-61.fc38.noar 660/3380 Installing : texlive-firstaid-9:svn58440-61.fc38.noarch 661/3380 Installing : texlive-fltpoint-9:svn56594-61.fc38.noarch 662/3380 Installing : texlive-fundus-calligra-9:svn26018.1.2-61.fc38 663/3380 Installing : texlive-gloss-9:svn15878.1.5.2-61.fc38.noarch 664/3380 Installing : texlive-graphics-cfg-9:svn41448-61.fc38.noarch 665/3380 Installing : texlive-graphics-9:svn56514-61.fc38.noarch 666/3380 Installing : texlive-tools-9:svn56514-61.fc38.noarch 667/3380 Installing : texlive-xkeyval-9:svn57006-61.fc38.noarch 668/3380 Installing : texlive-geometry-9:svn54080-61.fc38.noarch 669/3380 Installing : texlive-caption-9:svn56771-61.fc38.noarch 670/3380 Installing : texlive-microtype-9:svn58394-61.fc38.noarch 671/3380 Installing : texlive-csquotes-9:svn57844-61.fc38.noarch 672/3380 Installing : texlive-mathtools-9:svn58856-61.fc38.noarch 673/3380 Installing : texlive-colortbl-9:svn53545-61.fc38.noarch 674/3380 Installing : texlive-xargs-9:svn15878.1.1-61.fc38.noarch 675/3380 Installing : texlive-carlisle-9:svn56753-61.fc38.noarch 676/3380 Installing : texlive-pict2e-9:svn56504-61.fc38.noarch 677/3380 Installing : texlive-textpos-9:svn56441-61.fc38.noarch 678/3380 Installing : texlive-subfig-9:svn15878.1.3-61.fc38.noarch 679/3380 Installing : texlive-draftwatermark-9:svn57099-61.fc38.noar 680/3380 Installing : texlive-bigfoot-9:svn38248.2.1-61.fc38.noarch 681/3380 Installing : texlive-ncctools-9:svn51810-61.fc38.noarch 682/3380 Installing : texlive-endfloat-9:svn57090-61.fc38.noarch 683/3380 Installing : texlive-breakurl-9:svn29901.1.40-61.fc38.noarc 684/3380 Installing : texlive-moreverb-9:svn22126.2.3a-61.fc38.noarc 685/3380 Installing : texlive-psfrag-9:svn15878.3.04-61.fc38.noarch 686/3380 Installing : texlive-sauerj-9:svn15878.0-61.fc38.noarch 687/3380 Installing : texlive-translator-9:svn56052-61.fc38.noarch 688/3380 Installing : texlive-xypic-9:svn31859.3.8.9-61.fc38.noarch 689/3380 Installing : texlive-ifsym-9:svn24868.0-61.fc38.noarch 690/3380 Installing : texlive-sttools-9:svn56774-61.fc38.noarch 691/3380 Installing : texlive-acronym-9:svn54758-61.fc38.noarch 692/3380 Installing : texlive-apacite-9:svn54080-61.fc38.noarch 693/3380 Installing : texlive-etoc-9:svn55156-61.fc38.noarch 694/3380 Installing : texlive-makecell-9:svn15878.0.1e-61.fc38.noarc 695/3380 Installing : texlive-lcg-9:svn31474.1.3-61.fc38.noarch 696/3380 Installing : texlive-totpages-9:svn15878.2.00-61.fc38.noarc 697/3380 Installing : texlive-floatrow-9:svn15878.0.3b-61.fc38.noarc 698/3380 Installing : texlive-auto-pst-pdf-9:svn56596-61.fc38.noarch 699/3380 Installing : texlive-ccicons-9:svn54512-61.fc38.noarch 700/3380 Installing : texlive-blindtext-9:svn25039.2.0-61.fc38.noarc 701/3380 Installing : texlive-tabulary-9:svn34368.0.10-61.fc38.noarc 702/3380 Installing : texlive-crop-9:svn55424-61.fc38.noarch 703/3380 Installing : texlive-envlab-9:svn15878.1.2-61.fc38.noarch 704/3380 Installing : texlive-fncychap-9:svn20710.v1.34-61.fc38.noar 705/3380 Installing : texlive-lettrine-9:svn54560-61.fc38.noarch 706/3380 Installing : texlive-paratype-9:svn32859.0-61.fc38.noarch 707/3380 Installing : texlive-shadethm-9:svn53350-61.fc38.noarch 708/3380 Installing : texlive-grffile-9:svn52756-61.fc38.noarch 709/3380 Installing : texlive-ifnextok-9:svn23379.0.3-61.fc38.noarch 710/3380 Installing : texlive-iwona-9:svn19611.0.995b-61.fc38.noarch 711/3380 Installing : texlive-mathabx-9:svn15878.0-61.fc38.noarch 712/3380 Installing : texlive-mciteplus-9:svn31648.1.2-61.fc38.noarc 713/3380 Installing : texlive-moresize-9:svn17513.1.9-61.fc38.noarch 714/3380 Installing : texlive-mparhack-9:svn59066-61.fc38.noarch 715/3380 Installing : texlive-newfloat-9:svn52906-61.fc38.noarch 716/3380 Installing : texlive-pdfcolmk-9:svn52912-61.fc38.noarch 717/3380 Installing : texlive-xcolor-9:svn41044-61.fc38.noarch 718/3380 Installing : texlive-eso-pic-9:svn56658-61.fc38.noarch 719/3380 Installing : texlive-pagecolor-9:svn44487-61.fc38.noarch 720/3380 Installing : texlive-pictex-9:svn21943.1.1-61.fc38.noarch 721/3380 Installing : texlive-pslatex-9:svn57434-61.fc38.noarch 722/3380 Installing : texlive-random-9:svn54723-61.fc38.noarch 723/3380 Installing : texlive-selinput-9:svn53098-61.fc38.noarch 724/3380 Installing : texlive-simplekv-9:svn54915-61.fc38.noarch 725/3380 Installing : texlive-splitindex-9:20210325-52.fc38.noarch 726/3380 Installing : texlive-tex-9:20210325-52.fc38.x86_64 727/3380 Installing : texlive-titling-9:svn15878.2.1d-61.fc38.noarch 728/3380 Installing : texlive-xcomment-9:svn20031.1.3-61.fc38.noarch 729/3380 Installing : texlive-xtab-9:svn23347.2.3f-61.fc38.noarch 730/3380 Installing : texlive-zapfding-9:svn31835.0-61.fc38.noarch 731/3380 Installing : texlive-assoccnt-9:svn38497-61.fc38.noarch 732/3380 Installing : texlive-ctable-9:svn38672-61.fc38.noarch 733/3380 Installing : texlive-ed-9:svn25231.1.8-61.fc38.noarch 734/3380 Installing : texlive-achemso-9:svn57479-61.fc38.noarch 735/3380 Installing : texlive-xytree-9:svn15878.1.5-61.fc38.noarch 736/3380 Installing : texlive-dot2texi-9:svn26237.3.0-61.fc38.noarch 737/3380 Installing : texlive-curve2e-9:svn57402-61.fc38.noarch 738/3380 Installing : texlive-diagbox-9:svn54080-61.fc38.noarch 739/3380 Installing : texlive-boondox-9:svn54512-61.fc38.noarch 740/3380 Installing : texlive-ellipsis-9:svn55418-61.fc38.noarch 741/3380 Installing : texlive-eqparbox-9:svn45215-61.fc38.noarch 742/3380 Installing : texlive-fancyref-9:svn15878.0.9c-61.fc38.noarc 743/3380 Installing : texlive-hepunits-9:svn54758-61.fc38.noarch 744/3380 Installing : texlive-ltabptch-9:svn17533.1.74d-61.fc38.noar 745/3380 Installing : texlive-newfile-9:svn15878.1.0c-61.fc38.noarch 746/3380 Installing : texlive-qstest-9:svn15878.0-61.fc38.noarch 747/3380 Installing : texlive-tabu-9:svn56615-61.fc38.noarch 748/3380 Installing : texlive-totalcount-9:svn56214-61.fc38.noarch 749/3380 Installing : texlive-verbatimcopy-9:svn15878.0.06-61.fc38.n 750/3380 Installing : texlive-xpunctuate-9:svn26641.1.0-61.fc38.noar 751/3380 Installing : texlive-cclicenses-9:svn15878.0-61.fc38.noarch 752/3380 Installing : texlive-combine-9:svn19361.0.7a-61.fc38.noarch 753/3380 Installing : texlive-grid-9:svn15878.1.0-61.fc38.noarch 754/3380 Installing : texlive-logreq-9:svn53003-61.fc38.noarch 755/3380 Installing : texlive-overpic-9:svn53889-61.fc38.noarch 756/3380 Installing : texlive-rotfloat-9:svn18292.1.2-61.fc38.noarch 757/3380 Installing : texlive-texshade-9:svn58789-61.fc38.noarch 758/3380 Installing : texlive-thmbox-9:svn15878.0-61.fc38.noarch 759/3380 Installing : texlive-bitset-9:svn53837-61.fc38.noarch 760/3380 Installing : texlive-ltxtools-9:svn24897.0.0.1a-61.fc38.noa 761/3380 Installing : texlive-hardwrap-9:svn21396.0.2-61.fc38.noarch 762/3380 Installing : texlive-engrec-9:svn15878.1.1-61.fc38.noarch 763/3380 Installing : texlive-newenviron-9:svn29331.1.0-61.fc38.noar 764/3380 Installing : texlive-hopatch-9:svn56106-61.fc38.noarch 765/3380 Installing : texlive-here-9:svn16135.0-61.fc38.noarch 766/3380 Installing : texlive-elocalloc-9:svn42712-61.fc38.noarch 767/3380 Installing : texlive-fink-9:svn24329.2.2.1-61.fc38.noarch 768/3380 Installing : texlive-extarrows-9:svn54400-61.fc38.noarch 769/3380 Installing : texlive-yhmath-9:svn54377-61.fc38.noarch 770/3380 Installing : texlive-a0poster-9:svn54071-61.fc38.noarch 771/3380 Installing : texlive-accents-9:svn51497-61.fc38.noarch 772/3380 Installing : texlive-actuarialangle-9:svn51376-61.fc38.noar 773/3380 Installing : texlive-adobemapping-9:svn51787-61.fc38.noarch 774/3380 Installing : texlive-advdate-9:svn20538.0-61.fc38.noarch 775/3380 Installing : texlive-anysize-9:svn15878.0-61.fc38.noarch 776/3380 Installing : texlive-appendix-9:svn53718-61.fc38.noarch 777/3380 Installing : texlive-arydshln-9:svn50084-61.fc38.noarch 778/3380 Installing : texlive-bbm-9:svn15878.0-61.fc38.noarch 779/3380 Installing : texlive-bbm-macros-9:svn17224.0-61.fc38.noarch 780/3380 Installing : texlive-beebe-9:svn58983-61.fc38.noarch 781/3380 Installing : texlive-bibunits-9:svn15878.2.2-61.fc38.noarch 782/3380 Installing : texlive-bophook-9:svn17062.0.02-61.fc38.noarch 783/3380 Installing : texlive-braket-9:svn17127.0-61.fc38.noarch 784/3380 Installing : texlive-calculator-9:svn33041.2.0-61.fc38.noar 785/3380 Installing : texlive-cases-9:svn54682-61.fc38.noarch 786/3380 Installing : texlive-cbfonts-fd-9:svn54080-61.fc38.noarch 787/3380 Installing : texlive-cbfonts-9:svn54080-61.fc38.noarch 788/3380 Installing : texlive-ccaption-9:svn23443.3.2c-61.fc38.noarc 789/3380 Installing : texlive-changebar-9:svn46919-61.fc38.noarch 790/3380 Installing : texlive-chemcompounds-9:svn15878.0-61.fc38.noa 791/3380 Installing : texlive-cmtiup-9:svn39728-61.fc38.noarch 792/3380 Installing : texlive-cookingsymbols-9:svn35929.1.1-61.fc38. 793/3380 Installing : texlive-curves-9:svn45255-61.fc38.noarch 794/3380 Installing : texlive-datenumber-9:svn18951.0.02-61.fc38.noa 795/3380 Installing : texlive-drac-9:svn15878.1-61.fc38.noarch 796/3380 Installing : texlive-ean-9:svn20851.0-61.fc38.noarch 797/3380 Installing : texlive-easylist-9:svn32661.1.3-61.fc38.noarch 798/3380 Installing : texlive-ec-9:svn25033.1.0-61.fc38.noarch 799/3380 Installing : texlive-euclideangeometry-9:svn54897-61.fc38.n 800/3380 Installing : texlive-euenc-9:svn19795.0.1h-61.fc38.noarch 801/3380 Installing : texlive-figbib-9:svn19388.0-61.fc38.noarch 802/3380 Installing : texlive-finstrut-9:svn21719.0.5-61.fc38.noarch 803/3380 Installing : texlive-lineno-9:svn57866-61.fc38.noarch 804/3380 Installing : texlive-fixfoot-9:svn17131.0.3a-61.fc38.noarch 805/3380 Installing : texlive-fonts-tlwg-9:svn54994-61.fc38.noarch 806/3380 Installing : texlive-footnpag-9:svn15878.0-61.fc38.noarch 807/3380 Installing : texlive-forarray-9:svn15878.1.01-61.fc38.noarc 808/3380 Installing : texlive-fpl-9:svn54512-61.fc38.noarch 809/3380 Installing : texlive-garamond-libre-9:svn55166-61.fc38.noar 810/3380 Installing : texlive-gb4e-9:svn19216.0-61.fc38.noarch 811/3380 Installing : texlive-ginpenc-9:svn24980.1.0-61.fc38.noarch 812/3380 Installing : texlive-glyphlist-9:20210325-52.fc38.noarch 813/3380 Installing : texlive-gmiflink-9:svn15878.v0.97-61.fc38.noar 814/3380 Installing : texlive-greek-fontenc-9:svn56851-61.fc38.noarc 815/3380 Installing : texlive-bpchem-9:svn45120-61.fc38.noarch 816/3380 Installing : texlive-grfext-9:svn53024-61.fc38.noarch 817/3380 Installing : texlive-hobsub-9:svn52810-61.fc38.noarch 818/3380 Installing : texlive-hycolor-9:svn53584-61.fc38.noarch 819/3380 Installing : texlive-hypernat-9:svn17358.1.0b-61.fc38.noarc 820/3380 Installing : texlive-initials-9:svn54080-61.fc38.noarch 821/3380 Installing : texlive-inputenx-9:svn52986-61.fc38.noarch 822/3380 Installing : texlive-ipaex-9:svn52032-61.fc38.noarch 823/3380 Installing : texlive-kurier-9:svn19612.0.995b-61.fc38.noarc 824/3380 Installing : texlive-kvdefinekeys-9:svn53193-61.fc38.noarch 825/3380 Installing : texlive-labels-9:svn15878.13-61.fc38.noarch 826/3380 Installing : texlive-latex-base-dev-9:svn59080-61.fc38.noar 827/3380 Installing : texlive-latex-firstaid-dev-9:svn57981-61.fc38. 828/3380 Installing : texlive-latexconfig-9:svn53525-61.fc38.noarch 829/3380 Installing : texlive-layouts-9:svn42428-61.fc38.noarch 830/3380 Installing : texlive-lazylist-9:svn17691.1.0a-61.fc38.noarc 831/3380 Installing : texlive-libertinus-fonts-9:svn57948-61.fc38.no 832/3380 Installing : texlive-libertinust1math-9:svn56861-61.fc38.no 833/3380 Installing : texlive-listofitems-9:svn51923-61.fc38.noarch 834/3380 Installing : texlive-stackengine-9:svn53843-61.fc38.noarch 835/3380 Installing : texlive-lm-math-9:svn36915.1.959-61.fc38.noarc 836/3380 Installing : texlive-lua-alt-getopt-9:svn56414-61.fc38.noar 837/3380 Installing : texlive-macroswap-9:svn31498.1.1-61.fc38.noarc 838/3380 Installing : texlive-mailing-9:svn15878.0-61.fc38.noarch 839/3380 Installing : texlive-manfnt-font-9:svn54684-61.fc38.noarch 840/3380 Installing : texlive-marginfix-9:svn55064-61.fc38.noarch 841/3380 Installing : texlive-mflogo-9:svn42428-61.fc38.noarch 842/3380 Installing : texlive-modes-9:svn56303-61.fc38.noarch 843/3380 Installing : texlive-monofill-9:svn28140.0.2-61.fc38.noarch 844/3380 Installing : texlive-mptopdf-9:20210325-52.fc38.noarch 845/3380 Installing : texlive-multibib-9:svn15878.1.4-61.fc38.noarch 846/3380 Installing : texlive-newunicodechar-9:svn47382-61.fc38.noar 847/3380 Installing : texlive-newverbs-9:svn58256-61.fc38.noarch 848/3380 Installing : texlive-nextpage-9:svn15878.1.1a-61.fc38.noarc 849/3380 Installing : texlive-nonumonpart-9:svn22114.1-61.fc38.noarc 850/3380 Installing : texlive-notoccite-9:svn18129.0-61.fc38.noarch 851/3380 Installing : texlive-ntgclass-9:svn56959-61.fc38.noarch 852/3380 Installing : texlive-a4wide-9:svn20943.0-61.fc38.noarch 853/3380 Installing : texlive-optional-9:svn18131.2.2b-61.fc38.noarc 854/3380 Installing : texlive-palatino-9:svn31835.0-61.fc38.noarch 855/3380 Installing : texlive-perltex-9:20210325-52.fc38.noarch 856/3380 Installing : texlive-picinpar-9:svn57349-61.fc38.noarch 857/3380 Installing : texlive-ptex-base-9:svn56487-61.fc38.noarch 858/3380 Installing : texlive-ptex-fonts-9:svn46940-61.fc38.noarch 859/3380 Installing : texlive-readarray-9:svn42467-61.fc38.noarch 860/3380 Installing : texlive-robustcommand-9:svn15878.0.1-61.fc38.n 861/3380 Installing : texlive-romanbar-9:svn25005.1.0f-61.fc38.noarc 862/3380 Installing : texlive-rtkinenc-9:svn20003.1.0-61.fc38.noarch 863/3380 Installing : texlive-schedule-9:svn51805-61.fc38.noarch 864/3380 Installing : texlive-shadow-9:svn20312.0-61.fc38.noarch 865/3380 Installing : texlive-shorttoc-9:svn15878.1.3-61.fc38.noarch 866/3380 Installing : texlive-soulutf8-9:svn53163-61.fc38.noarch 867/3380 Installing : texlive-stdclsdv-9:svn15878.1.1a-61.fc38.noarc 868/3380 Installing : texlive-stringstrings-9:svn57097-61.fc38.noarc 869/3380 Installing : texlive-subdepth-9:svn15878.0.1-61.fc38.noarch 870/3380 Installing : texlive-hepparticles-9:svn35723.2.0-61.fc38.no 871/3380 Installing : texlive-hepnames-9:svn35722.2.0-61.fc38.noarch 872/3380 Installing : texlive-subfloat-9:svn29349.2.14-61.fc38.noarc 873/3380 Installing : texlive-substitutefont-9:svn32066.0.1.4-61.fc3 874/3380 Installing : texlive-supertabular-9:svn53658-61.fc38.noarch 875/3380 Installing : texlive-symbol-9:svn31835.0-61.fc38.noarch 876/3380 Installing : texlive-psnfss-9:svn54694-61.fc38.noarch 877/3380 Installing : texlive-synttree-9:svn16252.1.4.2-61.fc38.noar 878/3380 Installing : texlive-tableof-9:svn48815-61.fc38.noarch 879/3380 Installing : texlive-tabto-ltx-9:svn54080-61.fc38.noarch 880/3380 Installing : texlive-tex4ht-9:20210325-52.fc38.x86_64 881/3380 Installing : texlive-toolbox-9:svn32260.5.1-61.fc38.noarch 882/3380 Installing : texlive-tracklang-9:svn55707-61.fc38.noarch 883/3380 Installing : texlive-trajan-9:svn15878.1.1-61.fc38.noarch 884/3380 Installing : texlive-ucharcat-9:svn38907-61.fc38.noarch 885/3380 Installing : texlive-underscore-9:svn18261.0-61.fc38.noarch 886/3380 Installing : texlive-undolabl-9:svn36681.1.0l-61.fc38.noarc 887/3380 Installing : texlive-uniquecounter-9:svn53162-61.fc38.noarc 888/3380 Installing : texlive-rerunfilecheck-9:svn54841-61.fc38.noar 889/3380 Installing : texlive-hyperref-9:svn58024-61.fc38.noarch 890/3380 Installing : texlive-pageslts-9:svn39164-61.fc38.noarch 891/3380 Installing : texlive-attachfile-9:svn42099-61.fc38.noarch 892/3380 Installing : texlive-doi-9:svn48634-61.fc38.noarch 893/3380 Installing : texlive-uptex-fonts-9:svn54045-61.fc38.noarch 894/3380 Installing : texlive-ushort-9:svn32261.2.2-61.fc38.noarch 895/3380 Installing : texlive-hhtensor-9:svn54080-61.fc38.noarch 896/3380 Installing : texlive-versions-9:svn21921.0.55-61.fc38.noarc 897/3380 Installing : texlive-warning-9:svn22028.0.01-61.fc38.noarch 898/3380 Installing : texlive-wasy-9:svn53533-61.fc38.noarch 899/3380 Installing : texlive-xint-9:svn59161-61.fc38.noarch 900/3380 Installing : texlive-yfonts-9:svn50755-61.fc38.noarch 901/3380 Installing : texlive-newspaper-9:svn15878.1.0-61.fc38.noarc 902/3380 Installing : texlive-bnumexpr-9:svn49643-61.fc38.noarch 903/3380 Installing : texlive-wasy-type1-9:svn53534-61.fc38.noarch 904/3380 Installing : texlive-papermas-9:svn23667.1.0h-61.fc38.noarc 905/3380 Installing : texlive-thumbs-9:svn33134.1.0q-61.fc38.noarch 906/3380 Installing : texlive-turnthepage-9:svn29803.1.3a-61.fc38.no 907/3380 Installing : texlive-afparticle-9:svn35900.1.3-61.fc38.noar 908/3380 Installing : texlive-amsrefs-9:svn30646.2.14-61.fc38.noarch 909/3380 Installing : texlive-aomart-9:svn58855-61.fc38.noarch 910/3380 Installing : texlive-bookest-9:svn15878.1.1-61.fc38.noarch 911/3380 Installing : texlive-cdpbundl-9:svn46613-61.fc38.noarch 912/3380 Installing : texlive-footnotebackref-9:svn27034.1.0-61.fc38 913/3380 Installing : texlive-gsemthesis-9:svn56291-61.fc38.noarch 914/3380 Installing : texlive-hrefhide-9:svn22255.1.0f-61.fc38.noarc 915/3380 Installing : texlive-onrannual-9:svn17474.1.1-61.fc38.noarc 916/3380 Installing : texlive-opcit-9:svn15878.1.1-61.fc38.noarch 917/3380 Installing : texlive-pdfwin-9:svn54074-61.fc38.noarch 918/3380 Installing : texlive-tdclock-9:svn33043.v2.5-61.fc38.noarch 919/3380 Installing : texlive-thesis-ekf-9:svn57207-61.fc38.noarch 920/3380 Installing : texlive-uowthesis-9:svn19700.1.0a-61.fc38.noar 921/3380 Installing : texlive-varindex-9:svn32262.2.3-61.fc38.noarch 922/3380 Installing : texlive-IEEEconf-9:svn15878.1.4-61.fc38.noarch 923/3380 Installing : texlive-adforn-9:svn54512-61.fc38.noarch 924/3380 Installing : texlive-adfsymbols-9:svn54512-61.fc38.noarch 925/3380 Installing : texlive-apa-9:svn54080-61.fc38.noarch 926/3380 Installing : texlive-dictsym-9:svn20031.0-61.fc38.noarch 927/3380 Installing : texlive-elsarticle-9:svn56999-61.fc38.noarch 928/3380 Installing : texlive-ieeepes-9:svn17359.4.0-61.fc38.noarch 929/3380 Installing : texlive-leaflet-9:svn56878-61.fc38.noarch 930/3380 Installing : texlive-lps-9:svn21322.0.7-61.fc38.noarch 931/3380 Installing : texlive-principia-9:svn58927-61.fc38.noarch 932/3380 Installing : texlive-rmpage-9:svn54080-61.fc38.noarch 933/3380 Installing : texlive-vpe-9:20210325-52.fc38.noarch 934/3380 Installing : texlive-wordlike-9:svn15878.1.2b-61.fc38.noarc 935/3380 Installing : texlive-romannum-9:svn15878.1.0b-61.fc38.noarc 936/3380 Installing : texlive-noitcrul-9:svn15878.0.2-61.fc38.noarch 937/3380 Installing : texlive-verbatimbox-9:svn33197.3.13-61.fc38.no 938/3380 Installing : texlive-mathpazo-9:svn52663-61.fc38.noarch 939/3380 Installing : texlive-nicefilelist-9:svn28527.0.7a-61.fc38.n 940/3380 Installing : texlive-metafont-9:20210325-52.fc38.x86_64 941/3380 Installing : texlive-tugboat-9:svn56942-61.fc38.noarch 942/3380 Installing : texlive-postcards-9:svn21641.0-61.fc38.noarch 943/3380 Installing : texlive-tabstackengine-9:svn46848-61.fc38.noar 944/3380 Installing : texlive-libertinus-otf-9:svn57398-61.fc38.noar 945/3380 Installing : texlive-polytable-9:svn55837-61.fc38.noarch 946/3380 Installing : texlive-plantslabels-9:svn29803.1.0-61.fc38.no 947/3380 Installing : texlive-cfr-initials-9:svn36728.1.01-61.fc38.n 948/3380 Installing : texlive-textgreek-9:svn44192-61.fc38.noarch 949/3380 Installing : texlive-isodoc-9:svn57811-61.fc38.noarch 950/3380 Installing : texlive-garuda-c90-9:svn37677.0-61.fc38.noarch 951/3380 Installing : texlive-norasi-c90-9:svn37675.0-61.fc38.noarch 952/3380 Installing : texlive-mftinc-9:svn15878.1.0a-61.fc38.noarch 953/3380 Installing : texlive-mindflow-9:svn59346-61.fc38.noarch 954/3380 Installing : texlive-minorrevision-9:svn32165.1.1-61.fc38.n 955/3380 Installing : texlive-vertbars-9:svn49429-61.fc38.noarch 956/3380 Installing : texlive-thesis-titlepage-fhac-9:svn15878.0.1-6 957/3380 Installing : texlive-graphpaper-9:svn58661-61.fc38.noarch 958/3380 Installing : texlive-ean13isbn-9:svn57514-61.fc38.noarch 959/3380 Installing : texlive-xpicture-9:svn28770.1.2a-61.fc38.noarc 960/3380 Installing : texlive-actuarialsymbol-9:svn54080-61.fc38.noa 961/3380 Installing : texlive-storecmd-9:svn24431.0.0.2-61.fc38.noar 962/3380 Installing : texlive-textopo-9:svn23796.1.5-61.fc38.noarch 963/3380 Installing : texlive-psfragx-9:svn26243.1.1-61.fc38.noarch 964/3380 Installing : texlive-foreign-9:svn27819.2.7-61.fc38.noarch 965/3380 Installing : texlive-rterface-9:svn30084.0-61.fc38.noarch 966/3380 Installing : texlive-eqlist-9:svn32257.2.1-61.fc38.noarch 967/3380 Installing : texlive-rsc-9:svn41923-61.fc38.noarch 968/3380 Installing : texlive-amstex-9:20210325-52.fc38.noarch 969/3380 Installing : texlive-dijkstra-9:svn55661-61.fc38.noarch 970/3380 Installing : texlive-esami-9:svn47639-61.fc38.noarch 971/3380 Installing : texlive-ran_toks-9:svn57520-61.fc38.noarch 972/3380 Installing : texlive-randtext-9:svn15878.0-61.fc38.noarch 973/3380 Installing : texlive-mathspic-9:20210325-52.fc38.noarch 974/3380 Installing : texlive-pictex2-9:svn15878.0-61.fc38.noarch 975/3380 Installing : texlive-fancytooltips-9:svn56291-61.fc38.noarc 976/3380 Installing : texlive-gitinfo2-9:svn38913-61.fc38.noarch 977/3380 Installing : texlive-typogrid-9:svn24994.0.21-61.fc38.noarc 978/3380 Installing : texlive-changelayout-9:svn16094.1.0-61.fc38.no 979/3380 Installing : texlive-chs-physics-report-9:svn54512-61.fc38. 980/3380 Installing : texlive-colorspace-9:svn50585-61.fc38.noarch 981/3380 Installing : texlive-getfiledate-9:svn16189.1.2-61.fc38.noa 982/3380 Installing : texlive-guitlogo-9:svn55741-61.fc38.noarch 983/3380 Installing : texlive-knittingpattern-9:svn17205.0-61.fc38.n 984/3380 Installing : texlive-menucard-9:svn55643-61.fc38.noarch 985/3380 Installing : texlive-qrcode-9:svn36065.1.51-61.fc38.noarch 986/3380 Installing : texlive-soton-9:svn16215.0.1-61.fc38.noarch 987/3380 Installing : texlive-svg-9:svn57010-61.fc38.noarch 988/3380 Installing : texlive-tabfigures-9:svn25202.1.1-61.fc38.noar 989/3380 Installing : texlive-tablestyles-9:svn34495.0-61.fc38.noarc 990/3380 Installing : texlive-xcolor-solarized-9:svn41809-61.fc38.no 991/3380 Installing : texlive-xhfill-9:svn22575.1.01-61.fc38.noarch 992/3380 Installing : texlive-hpsdiss-9:svn15878.1.0-61.fc38.noarch 993/3380 Installing : texlive-mathabx-type1-9:svn21129.0-61.fc38.noa 994/3380 Installing : texlive-titlecaps-9:svn36170.1.2-61.fc38.noarc 995/3380 Installing : texlive-mwe-9:svn56291-61.fc38.noarch 996/3380 Installing : texlive-trivfloat-9:svn15878.1.3b-61.fc38.noar 997/3380 Installing : texlive-tablists-9:svn15878.0.0e-61.fc38.noarc 998/3380 Installing : texlive-acmconf-9:svn15878.1.3-61.fc38.noarch 999/3380 Installing : texlive-qcircuit-9:svn48400-61.fc38.noarch 1000/3380 Installing : texlive-qsymbols-9:svn15878.0-61.fc38.noarch 1001/3380 Installing : texlive-graphviz-9:svn31517.0.94-61.fc38.noarc 1002/3380 Installing : texlive-dottex-9:svn15878.0.6-61.fc38.noarch 1003/3380 Installing : texlive-har2nat-9:svn54080-61.fc38.noarch 1004/3380 Installing : texlive-nameauth-9:svn58026-61.fc38.noarch 1005/3380 Installing : texlive-autonum-9:svn36084.0.3.11-61.fc38.noar 1006/3380 Installing : texlive-gcard-9:svn15878.0-61.fc38.noarch 1007/3380 Installing : texlive-imtekda-9:svn17667.1.7-61.fc38.noarch 1008/3380 Installing : texlive-stubs-9:svn19440.0.1.1-61.fc38.noarch 1009/3380 Installing : texlive-askmaps-9:svn56730-61.fc38.noarch 1010/3380 Installing : texlive-bxeepic-9:svn30559.0.2-61.fc38.noarch 1011/3380 Installing : texlive-diagmac2-9:svn15878.2.1-61.fc38.noarch 1012/3380 Installing : texlive-qtree-9:svn15878.3.1b-61.fc38.noarch 1013/3380 Installing : texlive-steinmetz-9:svn15878.1.0-61.fc38.noarc 1014/3380 Installing : texlive-vhistory-9:svn30080.1.6.1-61.fc38.noar 1015/3380 Installing : texlive-extpfeil-9:svn16243.0.4-61.fc38.noarch 1016/3380 Installing : texlive-afthesis-9:svn15878.2.7-61.fc38.noarch 1017/3380 Installing : texlive-erdc-9:svn15878.1.1-61.fc38.noarch 1018/3380 Installing : texlive-estcpmm-9:svn17335.0.4-61.fc38.noarch 1019/3380 Installing : texlive-hvfloat-9:svn59104-61.fc38.noarch 1020/3380 Installing : texlive-sageep-9:svn15878.1.0-61.fc38.noarch 1021/3380 Installing : texlive-brandeis-dissertation-9:svn54758-61.fc 1022/3380 Installing : texlive-calxxxx-yyyy-9:svn57282-61.fc38.noarch 1023/3380 Installing : texlive-contracard-9:svn50217-61.fc38.noarch 1024/3380 Installing : texlive-ekaia-9:svn49594-61.fc38.noarch 1025/3380 Installing : texlive-elteikthesis-9:svn55928-61.fc38.noarch 1026/3380 Installing : texlive-flacards-9:svn19440.0.1.1b-61.fc38.noa 1027/3380 Installing : texlive-image-gallery-9:svn15878.v1.0j-61.fc38 1028/3380 Installing : texlive-pdfpagediff-9:svn37946.1.4-61.fc38.noa 1029/3380 Installing : texlive-tikzposter-9:svn32732.2.0-61.fc38.noar 1030/3380 Installing : texlive-uiucredborder-9:svn29974.1.00-61.fc38. 1031/3380 Installing : texlive-umich-thesis-9:svn15878.1.20-61.fc38.n 1032/3380 Installing : texlive-uowthesistitlepage-9:svn54512-61.fc38. 1033/3380 Installing : texlive-ut-thesis-9:svn59078-61.fc38.noarch 1034/3380 Installing : texlive-beamerposter-9:svn54512-61.fc38.noarch 1035/3380 Installing : texlive-dk-bib-9:svn15878.0.6-61.fc38.noarch 1036/3380 Installing : texlive-dutchcal-9:svn54080-61.fc38.noarch 1037/3380 Installing : texlive-esstix-9:svn22426.1.0-61.fc38.noarch 1038/3380 Installing : texlive-etaremune-9:svn15878.v1.2-61.fc38.noar 1039/3380 Installing : texlive-extract-9:svn52117-61.fc38.noarch 1040/3380 Installing : texlive-fitbox-9:svn50088-61.fc38.noarch 1041/3380 Installing : texlive-gender-9:svn36464.1.0-61.fc38.noarch 1042/3380 Installing : texlive-gentium-tug-9:svn54512-61.fc38.noarch 1043/3380 Installing : texlive-gmp-9:svn21691.1.0-61.fc38.noarch 1044/3380 Installing : texlive-issuulinks-9:svn25742.1.1-61.fc38.noar 1045/3380 Installing : texlive-jamtimes-9:svn20408.1.12-61.fc38.noarc 1046/3380 Installing : texlive-keycommand-9:svn18042.3.1415-61.fc38.n 1047/3380 Installing : texlive-longfigure-9:svn34302.1.0-61.fc38.noar 1048/3380 Installing : texlive-mathalpha-9:svn52305-61.fc38.noarch 1049/3380 Installing : texlive-mlist-9:svn15878.0.6a-61.fc38.noarch 1050/3380 Installing : texlive-multiaudience-9:svn38035.1.03-61.fc38. 1051/3380 Installing : texlive-pagerange-9:svn16915.0.5-61.fc38.noarc 1052/3380 Installing : texlive-pxtxalfa-9:svn54080-61.fc38.noarch 1053/3380 Installing : texlive-rsfso-9:svn37965.1.02-61.fc38.noarch 1054/3380 Installing : texlive-urwchancal-9:svn21701.1-61.fc38.noarch 1055/3380 Installing : texlive-xfakebold-9:svn55654-61.fc38.noarch 1056/3380 Installing : texlive-zlmtt-9:svn51368-61.fc38.noarch 1057/3380 Installing : texlive-12many-9:svn15878.0.3-61.fc38.noarch 1058/3380 Installing : texlive-ESIEEcv-9:svn15878.0-61.fc38.noarch 1059/3380 Installing : texlive-addlines-9:svn49326-61.fc38.noarch 1060/3380 Installing : texlive-adjmulticol-9:svn54157-61.fc38.noarch 1061/3380 Installing : texlive-answers-9:svn35032.2.16-61.fc38.noarch 1062/3380 Installing : texlive-ascii-font-9:svn29989.2.0-61.fc38.noar 1063/3380 Installing : texlive-avremu-9:svn35373.0.1-61.fc38.noarch 1064/3380 Installing : texlive-beamersubframe-9:svn23510.0.2-61.fc38. 1065/3380 Installing : texlive-bosisio-9:svn16989.0-61.fc38.noarch 1066/3380 Installing : texlive-bytefield-9:svn56821-61.fc38.noarch 1067/3380 Installing : texlive-cachepic-9:20210325-52.fc38.noarch 1068/3380 Installing : texlive-calculation-9:svn35973.1.0-61.fc38.noa 1069/3380 Installing : texlive-collcell-9:svn56291-61.fc38.noarch 1070/3380 Installing : texlive-colourchange-9:svn21741.1.22-61.fc38.n 1071/3380 Installing : texlive-cweb-latex-9:svn28878.0-61.fc38.noarch 1072/3380 Installing : texlive-cyber-9:svn46776-61.fc38.noarch 1073/3380 Installing : texlive-dhua-9:svn24035.0.11-61.fc38.noarch 1074/3380 Installing : texlive-dlfltxb-9:svn17337.0-61.fc38.noarch 1075/3380 Installing : texlive-ebezier-9:svn15878.4-61.fc38.noarch 1076/3380 Installing : texlive-eemeir-9:svn15878.1.1b-61.fc38.noarch 1077/3380 Installing : texlive-eqell-9:svn22931.0-61.fc38.noarch 1078/3380 Installing : texlive-eqnarray-9:svn20641.1.3-61.fc38.noarch 1079/3380 Installing : texlive-esk-9:svn18115.1.0-61.fc38.noarch 1080/3380 Installing : texlive-examdesign-9:svn15878.1.02-61.fc38.noa 1081/3380 Installing : texlive-fcolumn-9:svn57428-61.fc38.noarch 1082/3380 Installing : texlive-fmp-9:svn15878.0-61.fc38.noarch 1083/3380 Installing : texlive-footnoterange-9:svn52910-61.fc38.noarc 1084/3380 Installing : texlive-formular-9:svn15878.1.0a-61.fc38.noarc 1085/3380 Installing : texlive-gastex-9:svn58505-61.fc38.noarch 1086/3380 Installing : texlive-gatech-thesis-9:svn19886.1.8-61.fc38.n 1087/3380 Installing : texlive-he-she-9:svn41359-61.fc38.noarch 1088/3380 Installing : texlive-idxlayout-9:svn25821.0.4d-61.fc38.noar 1089/3380 Installing : texlive-ktv-texdata-9:svn27369.05.34-61.fc38.n 1090/3380 Installing : texlive-lapdf-9:svn23806.1.1-61.fc38.noarch 1091/3380 Installing : texlive-leading-9:svn15878.0.3-61.fc38.noarch 1092/3380 Installing : texlive-limap-9:svn44863-61.fc38.noarch 1093/3380 Installing : texlive-lineara-9:svn15878.0-61.fc38.noarch 1094/3380 Installing : texlive-listliketab-9:svn15878.0-61.fc38.noarc 1095/3380 Installing : texlive-logpap-9:svn15878.0.6-61.fc38.noarch 1096/3380 Installing : texlive-ltablex-9:svn34923.1.1-61.fc38.noarch 1097/3380 Installing : texlive-maybemath-9:svn15878.0-61.fc38.noarch 1098/3380 Installing : texlive-menu-9:svn15878.0.994-61.fc38.noarch 1099/3380 Installing : texlive-mugsthesis-9:svn34878.0-61.fc38.noarch 1100/3380 Installing : texlive-niceframe-9:svn36086.1.1c-61.fc38.noar 1101/3380 Installing : texlive-nox-9:svn30991.1.0-61.fc38.noarch 1102/3380 Installing : texlive-numberedblock-9:svn33109.1.10-61.fc38. 1103/3380 Installing : texlive-objectz-9:svn19389.0-61.fc38.noarch 1104/3380 Installing : texlive-papercdcase-9:svn15878.0-61.fc38.noarc 1105/3380 Installing : texlive-rccol-9:svn15878.1.2c-61.fc38.noarch 1106/3380 Installing : texlive-semioneside-9:svn15878.v0.41-61.fc38.n 1107/3380 Installing : texlive-tabularborder-9:svn17885.1.0a-61.fc38. 1108/3380 Installing : texlive-tabularew-9:svn15878.0.1-61.fc38.noarc 1109/3380 Installing : texlive-tagging-9:svn52064-61.fc38.noarch 1110/3380 Installing : texlive-vwcol-9:svn36254.0.2-61.fc38.noarch 1111/3380 Installing : texlive-warpcol-9:svn15878.1.0c-61.fc38.noarch 1112/3380 Installing : texlive-xcite-9:svn53486-61.fc38.noarch 1113/3380 Installing : texlive-boites-9:svn32235.1.1-61.fc38.noarch 1114/3380 Installing : texlive-cd-cover-9:svn17121.1.0-61.fc38.noarch 1115/3380 Installing : texlive-cd-9:svn34452.1.4-61.fc38.noarch 1116/3380 Installing : texlive-chemcono-9:svn17119.1.3-61.fc38.noarch 1117/3380 Installing : texlive-clrscode3e-9:svn51137-61.fc38.noarch 1118/3380 Installing : texlive-colorweb-9:svn31490.1.3-61.fc38.noarch 1119/3380 Installing : texlive-combinedgraphics-9:svn27198.0.2.2-61.f 1120/3380 Installing : texlive-constants-9:svn15878.1.0-61.fc38.noarc 1121/3380 Installing : texlive-contour-9:svn18950.2.14-61.fc38.noarch 1122/3380 Installing : texlive-countriesofeurope-9:svn54512-61.fc38.n 1123/3380 Installing : texlive-courier-scaled-9:svn24940.0-61.fc38.no 1124/3380 Installing : texlive-dejavu-9:svn31771.2.34-61.fc38.noarch 1125/3380 Installing : texlive-dnaseq-9:svn17194.0.01-61.fc38.noarch 1126/3380 Installing : texlive-epspdfconversion-9:svn18703.0.61-61.fc 1127/3380 Installing : texlive-errata-9:svn42428-61.fc38.noarch 1128/3380 Installing : texlive-exceltex-9:20210325-52.fc38.noarch 1129/3380 Installing : texlive-fbithesis-9:svn21340.1.2m-61.fc38.noar 1130/3380 Installing : texlive-feynmf-9:svn17259.1.08-61.fc38.noarch 1131/3380 Installing : texlive-hep-9:svn15878.1.0-61.fc38.noarch 1132/3380 Installing : texlive-flabels-9:svn17272.1.0-61.fc38.noarch 1133/3380 Installing : texlive-fullminipage-9:svn34545.0.1.1-61.fc38. 1134/3380 Installing : texlive-galois-9:svn15878.1.5-61.fc38.noarch 1135/3380 Installing : texlive-genmpage-9:svn15878.0.3.1-61.fc38.noar 1136/3380 Installing : texlive-gradientframe-9:svn21387.0.2-61.fc38.n 1137/3380 Installing : texlive-graphbox-9:svn46360-61.fc38.noarch 1138/3380 Installing : texlive-graphicx-psmin-9:svn56931-61.fc38.noar 1139/3380 Installing : texlive-grfpaste-9:svn17354.0.2-61.fc38.noarch 1140/3380 Installing : texlive-harpoon-9:svn21327.1.0-61.fc38.noarch 1141/3380 Installing : texlive-hyper-9:svn17357.4.2d-61.fc38.noarch 1142/3380 Installing : texlive-ionumbers-9:svn33457.0.3.3-61.fc38.noa 1143/3380 Installing : texlive-isorot-9:svn15878.0-61.fc38.noarch 1144/3380 Installing : texlive-japanese-otf-9:svn57826-61.fc38.noarch 1145/3380 Installing : texlive-japanese-otf-uptex-9:svn56932-61.fc38. 1146/3380 Installing : texlive-jpsj-9:svn15878.1.2.2-61.fc38.noarch 1147/3380 Installing : texlive-keystroke-9:svn17992.v1.6-61.fc38.noar 1148/3380 Installing : texlive-knitting-9:svn50782-61.fc38.noarch 1149/3380 Installing : texlive-lettre-9:svn54722-61.fc38.noarch 1150/3380 Installing : texlive-lhelp-9:svn23638.2.0-61.fc38.noarch 1151/3380 Installing : texlive-libgreek-9:svn27789.1.0-61.fc38.noarch 1152/3380 Installing : texlive-notes-9:svn42428-61.fc38.noarch 1153/3380 Installing : texlive-pagecont-9:svn15878.1.0-61.fc38.noarch 1154/3380 Installing : texlive-pax-9:20210325-52.fc38.noarch 1155/3380 Installing : texlive-pdfmanagement-testphase-9:svn59194-61. 1156/3380 Installing : texlive-petiteannonce-9:svn25915.1.0001-61.fc3 1157/3380 Installing : texlive-pinlabel-9:svn24769.1.2-61.fc38.noarch 1158/3380 Installing : texlive-polynom-9:svn44832-61.fc38.noarch 1159/3380 Installing : texlive-polynomial-9:svn15878.1.0-61.fc38.noar 1160/3380 Installing : texlive-quotchap-9:svn56926-61.fc38.noarch 1161/3380 Installing : texlive-refstyle-9:svn20318.0.5-61.fc38.noarch 1162/3380 Installing : texlive-repltext-9:svn56433-61.fc38.noarch 1163/3380 Installing : texlive-rviewport-9:svn23739.v1.0-61.fc38.noar 1164/3380 Installing : texlive-shadowtext-9:svn26522.0.3-61.fc38.noar 1165/3380 Installing : texlive-spotcolor-9:svn15878.1.2-61.fc38.noarc 1166/3380 Installing : texlive-statistik-9:svn20334.0.03-61.fc38.noar 1167/3380 Installing : texlive-suppose-9:svn59281-61.fc38.noarch 1168/3380 Installing : texlive-tex-label-9:svn16372.0-61.fc38.noarch 1169/3380 Installing : texlive-texdraw-9:svn51030-61.fc38.noarch 1170/3380 Installing : texlive-totcount-9:svn21178.1.2-61.fc38.noarch 1171/3380 Installing : texlive-unamthesis-9:svn43639-61.fc38.noarch 1172/3380 Installing : texlive-usebib-9:svn25969.1.0a-61.fc38.noarch 1173/3380 Installing : texlive-zwpagelayout-9:svn53965-61.fc38.noarch 1174/3380 Installing : texlive-longnamefilelist-9:svn27889.0.2-61.fc3 1175/3380 Installing : texlive-gfsartemisia-9:svn19469.1.0-61.fc38.no 1176/3380 Installing : texlive-esint-type1-9:svn15878.0-61.fc38.noarc 1177/3380 Installing : texlive-beaulivre-9:svn59320-61.fc38.noarch 1178/3380 Installing : texlive-lebhart-9:svn59322-61.fc38.noarch 1179/3380 Installing : texlive-pdfpc-9:svn57735-61.fc38.noarch 1180/3380 Installing : texlive-threeparttablex-9:svn34206.0.3-61.fc38 1181/3380 Installing : texlive-storebox-9:svn56291-61.fc38.noarch 1182/3380 Installing : texlive-xwatermark-9:svn28090.1.5.2d-61.fc38.n 1183/3380 Installing : texlive-hypdestopt-9:svn56253-61.fc38.noarch 1184/3380 Installing : texlive-epigrafica-9:svn17210.1.01-61.fc38.noa 1185/3380 Installing : texlive-gfsdidot-9:svn54080-61.fc38.noarch 1186/3380 Installing : texlive-pxgreeks-9:svn21838.1.0-61.fc38.noarch 1187/3380 Installing : texlive-regstats-9:svn25050.1.0h-61.fc38.noarc 1188/3380 Installing : texlive-subfigmat-9:svn20308.1.0-61.fc38.noarc 1189/3380 Installing : texlive-catchfilebetweentags-9:svn21476.1.1-61 1190/3380 Installing : texlive-ptptex-9:svn19440.0.91-61.fc38.noarch 1191/3380 Installing : texlive-mmap-9:svn15878.1.03-61.fc38.noarch 1192/3380 Installing : texlive-tagpair-9:svn42138-61.fc38.noarch 1193/3380 Installing : texlive-magicnum-9:svn52983-61.fc38.noarch 1194/3380 Installing : texlive-asyfig-9:svn17512.0.1c-61.fc38.noarch 1195/3380 Installing : texlive-dashrule-9:svn29579.1.3-61.fc38.noarch 1196/3380 Installing : texlive-pagenote-9:svn15878.1.1a-61.fc38.noarc 1197/3380 Installing : texlive-flippdf-9:svn56782-61.fc38.noarch 1198/3380 Installing : texlive-orientation-9:svn57390-61.fc38.noarch 1199/3380 Installing : texlive-keyval2e-9:svn23698.0.0.2-61.fc38.noar 1200/3380 Installing : texlive-skeycommand-9:svn24652.0.4-61.fc38.noa 1201/3380 Installing : texlive-txfontsb-9:svn54512-61.fc38.noarch 1202/3380 Installing : texlive-txgreeks-9:svn21839.1.0-61.fc38.noarch 1203/3380 Installing : texlive-cell-9:svn42428-61.fc38.noarch 1204/3380 Installing : texlive-mcaption-9:svn15878.3.0-61.fc38.noarch 1205/3380 Installing : texlive-fullwidth-9:svn24684.0.1-61.fc38.noarc 1206/3380 Installing : texlive-arcs-9:svn15878.1-61.fc38.noarch 1207/3380 Installing : texlive-metre-9:svn18489.1.0-61.fc38.noarch 1208/3380 Installing : texlive-bxpdfver-9:svn57755-61.fc38.noarch 1209/3380 Installing : texlive-facsimile-9:svn21328.1.0-61.fc38.noarc 1210/3380 Installing : texlive-ijmart-9:svn30958.1.7-61.fc38.noarch 1211/3380 Installing : texlive-keyreader-9:svn28195.0.5b-61.fc38.noar 1212/3380 Installing : texlive-pgfkeyx-9:svn26093.0.0.1-61.fc38.noarc 1213/3380 Installing : texlive-euro-9:svn22191.1.1-61.fc38.noarch 1214/3380 Installing : texlive-spreadtab-9:svn50147-61.fc38.noarch 1215/3380 Installing : texlive-tengwarscript-9:svn34594.1.3.1-61.fc38 1216/3380 Installing : texlive-xprintlen-9:svn35928.1.0-61.fc38.noarc 1217/3380 Installing : texlive-biblatex-multiple-dm-9:svn37081.1.0.1- 1218/3380 Installing : texlive-codesection-9:svn34481.0.1-61.fc38.noa 1219/3380 Installing : texlive-fixltxhyph-9:svn25832.0.4-61.fc38.noar 1220/3380 Installing : texlive-gitinfo-9:svn34049.1.0-61.fc38.noarch 1221/3380 Installing : texlive-handout-9:svn43962-61.fc38.noarch 1222/3380 Installing : texlive-locality-9:svn20422.0.2-61.fc38.noarch 1223/3380 Installing : texlive-lxfonts-9:svn32354.2.0b-61.fc38.noarch 1224/3380 Installing : texlive-seealso-9:svn43595-61.fc38.noarch 1225/3380 Installing : texlive-threadcol-9:svn28754.1.0-61.fc38.noarc 1226/3380 Installing : texlive-emarks-9:svn24504.1.0-61.fc38.noarch 1227/3380 Installing : texlive-nmbib-9:svn37984.1.04-61.fc38.noarch 1228/3380 Installing : texlive-revtex4-9:svn56589-61.fc38.noarch 1229/3380 Installing : texlive-biblatex-true-citepages-omit-9:svn4465 1230/3380 Installing : texlive-muthesis-9:svn23861.0-61.fc38.noarch 1231/3380 Installing : texlive-uiucthesis-9:svn15878.2.25-61.fc38.noa 1232/3380 Installing : texlive-directory-9:svn15878.1.20-61.fc38.noar 1233/3380 Installing : texlive-doipubmed-9:svn15878.1.01-61.fc38.noar 1234/3380 Installing : texlive-iso-9:svn15878.2.4-61.fc38.noarch 1235/3380 Installing : texlive-uri-9:svn48602-61.fc38.noarch 1236/3380 Installing : texlive-beamer-rl-9:svn58513-61.fc38.noarch 1237/3380 Installing : texlive-anonymouspro-9:svn51631-61.fc38.noarch 1238/3380 Installing : texlive-chet-9:svn45081-61.fc38.noarch 1239/3380 Installing : texlive-chkfloat-9:svn27473.0.1-61.fc38.noarch 1240/3380 Installing : texlive-counttexruns-9:svn27576.1.00a-61.fc38. 1241/3380 Installing : texlive-makebarcode-9:svn15878.1.0-61.fc38.noa 1242/3380 Installing : texlive-modref-9:svn15878.1.0-61.fc38.noarch 1243/3380 Installing : texlive-fundus-cyr-9:svn26019.0-61.fc38.noarch 1244/3380 Installing : texlive-multiobjective-9:svn15878.1.0-61.fc38. 1245/3380 Installing : texlive-piff-9:svn21894.0-61.fc38.noarch 1246/3380 Installing : texlive-proba-9:svn15878.0-61.fc38.noarch 1247/3380 Installing : texlive-todo-9:svn17746.2.142-61.fc38.noarch 1248/3380 Installing : texlive-SIstyle-9:svn54080-61.fc38.noarch 1249/3380 Installing : texlive-bigints-9:svn29803.0-61.fc38.noarch 1250/3380 Installing : texlive-digiconfigs-9:svn15878.0.5-61.fc38.noa 1251/3380 Installing : texlive-functan-9:svn15878.0-61.fc38.noarch 1252/3380 Installing : texlive-gauss-9:svn32934.0-61.fc38.noarch 1253/3380 Installing : texlive-mattens-9:svn17582.1.3-61.fc38.noarch 1254/3380 Installing : texlive-cooltooltips-9:svn15878.1.0-61.fc38.no 1255/3380 Installing : texlive-datetime2-breton-9:svn52647-61.fc38.no 1256/3380 Installing : texlive-datetime2-bulgarian-9:svn47031-61.fc38 1257/3380 Installing : texlive-datetime2-catalan-9:svn47032-61.fc38.n 1258/3380 Installing : texlive-datetime2-croatian-9:svn36682.1.0-61.f 1259/3380 Installing : texlive-datetime2-czech-9:svn47033-61.fc38.noa 1260/3380 Installing : texlive-datetime2-danish-9:svn47034-61.fc38.no 1261/3380 Installing : texlive-datetime2-esperanto-9:svn47356-61.fc38 1262/3380 Installing : texlive-datetime2-estonian-9:svn47565-61.fc38. 1263/3380 Installing : texlive-datetime2-finnish-9:svn47047-61.fc38.n 1264/3380 Installing : texlive-datetime2-french-9:svn56393-61.fc38.no 1265/3380 Installing : texlive-datetime2-galician-9:svn47631-61.fc38. 1266/3380 Installing : texlive-datetime2-german-9:svn53125-61.fc38.no 1267/3380 Installing : texlive-datetime2-greek-9:svn47533-61.fc38.noa 1268/3380 Installing : texlive-datetime2-icelandic-9:svn47501-61.fc38 1269/3380 Installing : texlive-datetime2-irish-9:svn47632-61.fc38.noa 1270/3380 Installing : texlive-datetime2-italian-9:svn37146.1.3-61.fc 1271/3380 Installing : texlive-datetime2-lsorbian-9:svn47749-61.fc38. 1272/3380 Installing : texlive-datetime2-magyar-9:svn48266-61.fc38.no 1273/3380 Installing : texlive-datetime2-norsk-9:svn48267-61.fc38.noa 1274/3380 Installing : texlive-datetime2-polish-9:svn48456-61.fc38.no 1275/3380 Installing : texlive-datetime2-portuges-9:svn48457-61.fc38. 1276/3380 Installing : texlive-datetime2-romanian-9:svn56394-61.fc38. 1277/3380 Installing : texlive-datetime2-russian-9:svn49345-61.fc38.n 1278/3380 Installing : texlive-datetime2-samin-9:svn49346-61.fc38.noa 1279/3380 Installing : texlive-datetime2-scottish-9:svn52101-61.fc38. 1280/3380 Installing : texlive-datetime2-serbian-9:svn52893-61.fc38.n 1281/3380 Installing : texlive-datetime2-slovak-9:svn52281-61.fc38.no 1282/3380 Installing : texlive-datetime2-slovene-9:svn52282-61.fc38.n 1283/3380 Installing : texlive-datetime2-spanish-9:svn45785-61.fc38.n 1284/3380 Installing : texlive-datetime2-swedish-9:svn36700.1.0-61.fc 1285/3380 Installing : texlive-datetime2-turkish-9:svn52331-61.fc38.n 1286/3380 Installing : texlive-datetime2-ukrainian-9:svn47552-61.fc38 1287/3380 Installing : texlive-datetime2-usorbian-9:svn52375-61.fc38. 1288/3380 Installing : texlive-datetime2-welsh-9:svn52553-61.fc38.noa 1289/3380 Installing : texlive-ecclesiastic-9:svn38172.0.3-61.fc38.no 1290/3380 Installing : texlive-luabibentry-9:svn55777-61.fc38.noarch 1291/3380 Installing : texlive-t-angles-9:svn15878.0-61.fc38.noarch 1292/3380 Installing : texlive-widetable-9:svn53409-61.fc38.noarch 1293/3380 Installing : texlive-2up-9:svn55076-61.fc38.noarch 1294/3380 Installing : texlive-Tabbing-9:svn17022.0-61.fc38.noarch 1295/3380 Installing : texlive-a5comb-9:svn17020.4-61.fc38.noarch 1296/3380 Installing : texlive-aaai-named-9:svn52470-61.fc38.noarch 1297/3380 Installing : texlive-abnt-9:svn55471-61.fc38.noarch 1298/3380 Installing : texlive-abraces-9:svn58761-61.fc38.noarch 1299/3380 Installing : texlive-abstract-9:svn15878.1.2a-61.fc38.noarc 1300/3380 Installing : texlive-academicons-9:svn56119-61.fc38.noarch 1301/3380 Installing : texlive-accessibility-9:svn55777-61.fc38.noarc 1302/3380 Installing : texlive-addfont-9:svn58559-61.fc38.noarch 1303/3380 Installing : texlive-adrconv-9:svn46817-61.fc38.noarch 1304/3380 Installing : texlive-aguplus-9:svn17156.1.6b-61.fc38.noarch 1305/3380 Installing : texlive-aichej-9:svn15878.0-61.fc38.noarch 1306/3380 Installing : texlive-ajl-9:svn34016.0-61.fc38.noarch 1307/3380 Installing : texlive-akletter-9:svn15878.1.5i-61.fc38.noarc 1308/3380 Installing : texlive-algolrevived-9:svn56864-61.fc38.noarch 1309/3380 Installing : texlive-aligned-overset-9:svn47290-61.fc38.noa 1310/3380 Installing : texlive-almendra-9:svn56035-61.fc38.noarch 1311/3380 Installing : texlive-almfixed-9:svn35065.0.92-61.fc38.noarc 1312/3380 Installing : texlive-ametsoc-9:svn36030.4.3.2-61.fc38.noarc 1313/3380 Installing : texlive-amsaddr-9:svn29630.1.1-61.fc38.noarch 1314/3380 Installing : texlive-amscdx-9:svn51532-61.fc38.noarch 1315/3380 Installing : texlive-annotate-9:svn52824-61.fc38.noarch 1316/3380 Installing : texlive-anonchap-9:svn17049.1.1a-61.fc38.noarc 1317/3380 Installing : texlive-anonymous-acm-9:svn55121-61.fc38.noarc 1318/3380 Installing : texlive-antiqua-9:svn24266.001.003-61.fc38.noa 1319/3380 Installing : texlive-antt-9:svn18651.2.08-61.fc38.noarch 1320/3380 Installing : texlive-aobs-tikz-9:svn32662.1.0-61.fc38.noarc 1321/3380 Installing : texlive-apa7-9:svn58835-61.fc38.noarch 1322/3380 Installing : texlive-apalike2-9:svn54080-61.fc38.noarch 1323/3380 Installing : texlive-appendixnumberbeamer-9:svn46317-61.fc3 1324/3380 Installing : texlive-apptools-9:svn28400.1.0-61.fc38.noarch 1325/3380 Installing : texlive-arabicfront-9:svn51474-61.fc38.noarch 1326/3380 Installing : texlive-archaeologie-9:svn57090-61.fc38.noarch 1327/3380 Installing : texlive-archaic-9:svn38005.0-61.fc38.noarch 1328/3380 Installing : texlive-arimo-9:svn42880-61.fc38.noarch 1329/3380 Installing : texlive-arphic-9:svn15878.0-61.fc38.noarch 1330/3380 Installing : texlive-arraycols-9:svn56997-61.fc38.noarch 1331/3380 Installing : texlive-articleingud-9:svn38741-61.fc38.noarch 1332/3380 Installing : texlive-asaetr-9:svn15878.1.0a-61.fc38.noarch 1333/3380 Installing : texlive-asana-math-9:svn50999-61.fc38.noarch 1334/3380 Installing : texlive-asapsym-9:svn40201-61.fc38.noarch 1335/3380 Installing : texlive-asciilist-9:svn49060-61.fc38.noarch 1336/3380 Installing : texlive-ascmac-9:svn53411-61.fc38.noarch 1337/3380 Installing : texlive-askinclude-9:svn54725-61.fc38.noarch 1338/3380 Installing : texlive-aspectratio-9:svn25243.2.0-61.fc38.noa 1339/3380 Installing : texlive-astro-9:svn15878.2.20-61.fc38.noarch 1340/3380 Installing : texlive-atkinson-9:svn57624-61.fc38.noarch 1341/3380 Installing : texlive-attachfile2-9:20210325-52.fc38.noarch 1342/3380 Installing : texlive-aucklandthesis-9:svn51323-61.fc38.noar 1343/3380 Installing : texlive-augie-9:svn18948.0-61.fc38.noarch 1344/3380 Installing : texlive-auncial-new-9:svn15878.2.0-61.fc38.noa 1345/3380 Installing : texlive-aurical-9:svn15878.1.5-61.fc38.noarch 1346/3380 Installing : texlive-aurl-9:svn41853-61.fc38.noarch 1347/3380 Installing : texlive-authoraftertitle-9:svn55889-61.fc38.no 1348/3380 Installing : texlive-authorarchive-9:svn54512-61.fc38.noarc 1349/3380 Installing : texlive-authordate-9:svn52564-61.fc38.noarch 1350/3380 Installing : texlive-authorindex-9:20210325-52.fc38.noarch 1351/3380 Installing : texlive-autoarea-9:svn15878.0.3a-61.fc38.noarc 1352/3380 Installing : texlive-autobreak-9:svn43337-61.fc38.noarch 1353/3380 Installing : texlive-autofancyhdr-9:svn54049-61.fc38.noarch 1354/3380 Installing : texlive-avantgar-9:svn31835.0-61.fc38.noarch 1355/3380 Installing : texlive-axessibility-9:svn57105-61.fc38.noarch 1356/3380 Installing : texlive-axodraw2-9:20210325-52.fc38.x86_64 1357/3380 Installing : texlive-b1encoding-9:svn21271.1.0-61.fc38.noar 1358/3380 Installing : texlive-babel-english-9:svn44495-61.fc38.noarc 1359/3380 Installing : texlive-backnaur-9:svn54080-61.fc38.noarch 1360/3380 Installing : texlive-bangorexam-9:svn46626-61.fc38.noarch 1361/3380 Installing : texlive-barcodes-9:svn15878.0-61.fc38.noarch 1362/3380 Installing : texlive-basicarith-9:svn35460.1.1-61.fc38.noar 1363/3380 Installing : texlive-baskervillef-9:svn55475-61.fc38.noarch 1364/3380 Installing : texlive-bath-bst-9:svn57925-61.fc38.noarch 1365/3380 Installing : texlive-bbold-9:svn17187.1.01-61.fc38.noarch 1366/3380 Installing : texlive-bbold-type1-9:svn33143.0-61.fc38.noarc 1367/3380 Installing : texlive-bchart-9:svn43928-61.fc38.noarch 1368/3380 Installing : texlive-beamer-verona-9:svn39180-61.fc38.noarc 1369/3380 Installing : texlive-beamerappendixnote-9:svn55732-61.fc38. 1370/3380 Installing : texlive-beamerauxtheme-9:svn56087-61.fc38.noar 1371/3380 Installing : texlive-beamercolorthemeowl-9:svn40105-61.fc38 1372/3380 Installing : texlive-beamerdarkthemes-9:svn55117-61.fc38.no 1373/3380 Installing : texlive-beamerswitch-9:svn58873-61.fc38.noarch 1374/3380 Installing : texlive-beamertheme-cuerna-9:svn42161-61.fc38. 1375/3380 Installing : texlive-beamertheme-detlevcm-9:svn39048-61.fc3 1376/3380 Installing : texlive-beamertheme-epyt-9:svn41404-61.fc38.no 1377/3380 Installing : texlive-beamertheme-focus-9:svn56967-61.fc38.n 1378/3380 Installing : texlive-beamertheme-light-9:svn49867-61.fc38.n 1379/3380 Installing : texlive-beamertheme-npbt-9:svn54512-61.fc38.no 1380/3380 Installing : texlive-beamertheme-phnompenh-9:svn39100-61.fc 1381/3380 Installing : texlive-beamertheme-pure-minimalistic-9:svn569 1382/3380 Installing : texlive-beamertheme-saintpetersburg-9:svn45877 1383/3380 Installing : texlive-beamertheme-trigon-9:svn59004-61.fc38. 1384/3380 Installing : texlive-beamertheme-upenn-bc-9:svn29937.1.0-61 1385/3380 Installing : texlive-beamerthemejltree-9:svn21977.1.1-61.fc 1386/3380 Installing : texlive-beamerthemelalic-9:svn58777-61.fc38.no 1387/3380 Installing : texlive-bearwear-9:svn54826-61.fc38.noarch 1388/3380 Installing : texlive-begriff-9:svn15878.1.6-61.fc38.noarch 1389/3380 Installing : texlive-beilstein-9:svn56193-61.fc38.noarch 1390/3380 Installing : texlive-belleek-9:svn18651.0-61.fc38.noarch 1391/3380 Installing : texlive-besjournals-9:svn45662-61.fc38.noarch 1392/3380 Installing : texlive-bestpapers-9:svn38708-61.fc38.noarch 1393/3380 Installing : texlive-beuron-9:svn46374-61.fc38.noarch 1394/3380 Installing : texlive-bez123-9:svn15878.1.1b-61.fc38.noarch 1395/3380 Installing : texlive-bibarts-9:svn54080-61.fc38.noarch 1396/3380 Installing : texlive-bibexport-9:20210325-52.fc38.noarch 1397/3380 Installing : texlive-bibhtml-9:svn31607.2.0.2-61.fc38.noarc 1398/3380 Installing : texlive-biblatex-abnt-9:svn49179-61.fc38.noarc 1399/3380 Installing : texlive-biblatex-ajc2020unofficial-9:svn54401- 1400/3380 Installing : texlive-biblatex-anonymous-9:svn48548-61.fc38. 1401/3380 Installing : texlive-biblatex-apa6-9:svn56209-61.fc38.noarc 1402/3380 Installing : texlive-biblatex-archaeology-9:svn53281-61.fc3 1403/3380 Installing : texlive-biblatex-arthistory-bonn-9:svn46637-61 1404/3380 Installing : texlive-biblatex-bath-9:svn57924-61.fc38.noarc 1405/3380 Installing : texlive-biblatex-bookinarticle-9:svn40323-61.f 1406/3380 Installing : texlive-biblatex-bookinother-9:svn54015-61.fc3 1407/3380 Installing : texlive-biblatex-claves-9:svn43723-61.fc38.noa 1408/3380 Installing : texlive-biblatex-enc-9:svn44627-61.fc38.noarch 1409/3380 Installing : texlive-biblatex-ext-9:svn58975-61.fc38.noarch 1410/3380 Installing : texlive-biblatex-gb7714-2015-9:svn59101-61.fc3 1411/3380 Installing : texlive-biblatex-german-legal-9:svn56939-61.fc 1412/3380 Installing : texlive-biblatex-ijsra-9:svn41634-61.fc38.noar 1413/3380 Installing : texlive-biblatex-iso690-9:svn54561-61.fc38.noa 1414/3380 Installing : texlive-biblatex-jura2-9:svn56133-61.fc38.noar 1415/3380 Installing : texlive-biblatex-license-9:svn58437-61.fc38.no 1416/3380 Installing : texlive-biblatex-lni-9:svn49935-61.fc38.noarch 1417/3380 Installing : texlive-biblatex-morenames-9:svn43049-61.fc38. 1418/3380 Installing : texlive-biblatex-nottsclassic-9:svn41596-61.fc 1419/3380 Installing : texlive-biblatex-oxref-9:svn57513-61.fc38.noar 1420/3380 Installing : texlive-biblatex-sbl-9:svn56853-61.fc38.noarch 1421/3380 Installing : texlive-biblatex-shortfields-9:svn45858-61.fc3 1422/3380 Installing : texlive-biblatex-socialscienceshuberlin-9:svn4 1423/3380 Installing : texlive-biblatex-software-9:svn57366-61.fc38.n 1424/3380 Installing : texlive-biblatex-swiss-legal-9:svn58661-61.fc3 1425/3380 Installing : texlive-biblatex-unified-9:svn55290-61.fc38.no 1426/3380 Installing : texlive-biblatex-vancouver-9:svn55339-61.fc38. 1427/3380 Installing : texlive-biblatex2bibitem-9:svn54030-61.fc38.no 1428/3380 Installing : texlive-bibletext-9:svn45196-61.fc38.noarch 1429/3380 Installing : texlive-biblist-9:svn17116.0-61.fc38.noarch 1430/3380 Installing : texlive-bibtex-9:20210325-52.fc38.x86_64 1431/3380 Installing : texlive-bibtexperllibs-9:svn57137-61.fc38.noar 1432/3380 Installing : texlive-binarytree-9:svn41777-61.fc38.noarch 1433/3380 Installing : texlive-biochemistry-colors-9:svn54512-61.fc38 1434/3380 Installing : texlive-biolett-bst-9:svn42217-61.fc38.noarch 1435/3380 Installing : texlive-bithesis-9:svn57388-61.fc38.noarch 1436/3380 Installing : texlive-bitpattern-9:svn39073-61.fc38.noarch 1437/3380 Installing : texlive-bitter-9:svn56026-61.fc38.noarch 1438/3380 Installing : texlive-blacklettert1-9:svn15878.0-61.fc38.noa 1439/3380 Installing : texlive-blkarray-9:svn36406.0.07-61.fc38.noarc 1440/3380 Installing : texlive-blochsphere-9:svn38388-61.fc38.noarch 1441/3380 Installing : texlive-block-9:svn17209.0-61.fc38.noarch 1442/3380 Installing : texlive-bloques-9:svn22490.1.0-61.fc38.noarch 1443/3380 Installing : texlive-boisik-9:svn15878.0.5-61.fc38.noarch 1444/3380 Installing : texlive-bold-extra-9:svn17076.0.1-61.fc38.noar 1445/3380 Installing : texlive-boldtensors-9:svn15878.0-61.fc38.noarc 1446/3380 Installing : texlive-bookdb-9:svn37536.0.2-61.fc38.noarch 1447/3380 Installing : texlive-bookhands-9:svn46480-61.fc38.noarch 1448/3380 Installing : texlive-booklet-9:svn15878.0.7b-61.fc38.noarch 1449/3380 Installing : texlive-bookman-9:svn31835.0-61.fc38.noarch 1450/3380 Installing : texlive-bookshelf-9:svn55475-61.fc38.noarch 1451/3380 Installing : texlive-boolexpr-9:svn17830.3.14-61.fc38.noarc 1452/3380 Installing : texlive-bracketkey-9:svn17129.1.0-61.fc38.noar 1453/3380 Installing : texlive-braids-9:svn54080-61.fc38.noarch 1454/3380 Installing : texlive-braille-9:svn20655.0-61.fc38.noarch 1455/3380 Installing : texlive-brandeis-problemset-9:svn50991-61.fc38 1456/3380 Installing : texlive-brandeis-thesis-9:svn54758-61.fc38.noa 1457/3380 Installing : texlive-breakcites-9:svn21014-61.fc38.noarch 1458/3380 Installing : texlive-bropd-9:svn35383.1.2-61.fc38.noarch 1459/3380 Installing : texlive-brushscr-9:svn28363.0-61.fc38.noarch 1460/3380 Installing : texlive-bubblesort-9:svn56070-61.fc38.noarch 1461/3380 Installing : texlive-buctthesis-9:svn59002-61.fc38.noarch 1462/3380 Installing : texlive-bullcntr-9:svn15878.0.04-61.fc38.noarc 1463/3380 Installing : texlive-bussproofs-extra-9:svn51299-61.fc38.no 1464/3380 Installing : texlive-bussproofs-9:svn54080-61.fc38.noarch 1465/3380 Installing : texlive-bxcalc-9:svn56431-61.fc38.noarch 1466/3380 Installing : texlive-bxdpx-beamer-9:svn41813-61.fc38.noarch 1467/3380 Installing : texlive-bxdvidriver-9:svn43219-61.fc38.noarch 1468/3380 Installing : texlive-bxenclose-9:svn40213-61.fc38.noarch 1469/3380 Installing : texlive-bxnewfont-9:svn44173-61.fc38.noarch 1470/3380 Installing : texlive-bxpapersize-9:svn56491-61.fc38.noarch 1471/3380 Installing : texlive-bxtexlogo-9:svn47230-61.fc38.noarch 1472/3380 Installing : texlive-byo-twemojis-9:svn58917-61.fc38.noarch 1473/3380 Installing : texlive-calligra-9:svn15878.0-61.fc38.noarch 1474/3380 Installing : texlive-calligra-type1-9:svn24302.001.000-61.f 1475/3380 Installing : texlive-callouts-9:svn44899-61.fc38.noarch 1476/3380 Installing : texlive-calrsfs-9:svn17125.0-61.fc38.noarch 1477/3380 Installing : texlive-cals-9:svn43003-61.fc38.noarch 1478/3380 Installing : texlive-canoniclayout-9:svn54758-61.fc38.noarc 1479/3380 Installing : texlive-capt-of-9:svn29803.0-61.fc38.noarch 1480/3380 Installing : texlive-captcont-9:svn15878.2.0-61.fc38.noarch 1481/3380 Installing : texlive-captdef-9:svn17353.0-61.fc38.noarch 1482/3380 Installing : texlive-carbohydrates-9:svn39000-61.fc38.noarc 1483/3380 Installing : texlive-carolmin-ps-9:svn15878.0-61.fc38.noarc 1484/3380 Installing : texlive-cascade-9:svn55759-61.fc38.noarch 1485/3380 Installing : texlive-cascadia-code-9:svn57478-61.fc38.noarc 1486/3380 Installing : texlive-casyl-9:svn15878.2.0-61.fc38.noarch 1487/3380 Installing : texlive-catcodes-9:svn38859-61.fc38.noarch 1488/3380 Installing : texlive-nicetext-9:svn38914-61.fc38.noarch 1489/3380 Installing : texlive-causets-9:svn57139-61.fc38.noarch 1490/3380 Installing : texlive-ccfonts-9:svn54686-61.fc38.noarch 1491/3380 Installing : texlive-ccool-9:svn56636-61.fc38.noarch 1492/3380 Installing : texlive-celtic-9:svn39797-61.fc38.noarch 1493/3380 Installing : texlive-censor-9:svn49168-61.fc38.noarch 1494/3380 Installing : texlive-centeredline-9:svn50971-61.fc38.noarch 1495/3380 Installing : texlive-cesenaexam-9:svn44960-61.fc38.noarch 1496/3380 Installing : texlive-changelog-9:svn56338-61.fc38.noarch 1497/3380 Installing : texlive-chappg-9:svn15878.2.1b-61.fc38.noarch 1498/3380 Installing : texlive-charissil-9:svn55920-61.fc38.noarch 1499/3380 Installing : texlive-charter-9:svn15878.0-61.fc38.noarch 1500/3380 Installing : texlive-chbibref-9:svn17120.1.0-61.fc38.noarch 1501/3380 Installing : texlive-cheatsheet-9:svn45069-61.fc38.noarch 1502/3380 Installing : texlive-checkend-9:svn51475-61.fc38.noarch 1503/3380 Installing : texlive-chem-journal-9:svn15878.0-61.fc38.noar 1504/3380 Installing : texlive-chemarrow-9:svn17146.0.9-61.fc38.noarc 1505/3380 Installing : texlive-chembst-9:svn15878.0.2.5-61.fc38.noarc 1506/3380 Installing : texlive-chemplants-9:svn52863-61.fc38.noarch 1507/3380 Installing : texlive-chemsec-9:svn46972-61.fc38.noarch 1508/3380 Installing : texlive-cherokee-9:svn21046.0-61.fc38.noarch 1509/3380 Installing : texlive-chicago-annote-9:svn15878.0-61.fc38.no 1510/3380 Installing : texlive-chicago-9:svn15878.0-61.fc38.noarch 1511/3380 Installing : texlive-chicagoa-9:svn52567-61.fc38.noarch 1512/3380 Installing : texlive-chifoot-9:svn57312-61.fc38.noarch 1513/3380 Installing : texlive-childdoc-9:svn49543-61.fc38.noarch 1514/3380 Installing : texlive-chivo-9:svn54512-61.fc38.noarch 1515/3380 Installing : texlive-chletter-9:svn20060.2.0-61.fc38.noarch 1516/3380 Installing : texlive-circ-9:svn15878.1.1-61.fc38.noarch 1517/3380 Installing : texlive-circledsteps-9:svn53382-61.fc38.noarch 1518/3380 Installing : texlive-circuit-macros-9:svn57308-61.fc38.noar 1519/3380 Installing : texlive-citeref-9:svn47407-61.fc38.noarch 1520/3380 Installing : texlive-cje-9:svn46721-61.fc38.noarch 1521/3380 Installing : texlive-cjkpunct-9:svn41119-61.fc38.noarch 1522/3380 Installing : texlive-clara-9:svn54512-61.fc38.noarch 1523/3380 Installing : texlive-classpack-9:svn55218-61.fc38.noarch 1524/3380 Installing : texlive-clefval-9:svn55985-61.fc38.noarch 1525/3380 Installing : texlive-clipboard-9:svn47747-61.fc38.noarch 1526/3380 Installing : texlive-clock-9:svn15878.0-61.fc38.noarch 1527/3380 Installing : texlive-clrdblpg-9:svn47511-61.fc38.noarch 1528/3380 Installing : texlive-clrscode-9:svn51136-61.fc38.noarch 1529/3380 Installing : texlive-clrstrip-9:svn53537-61.fc38.noarch 1530/3380 Installing : texlive-cm-lgc-9:svn28250.0.5-61.fc38.noarch 1531/3380 Installing : texlive-cm-mf-extra-bold-9:svn54512-61.fc38.no 1532/3380 Installing : texlive-cm-unicode-9:svn58661-61.fc38.noarch 1533/3380 Installing : texlive-cmathbb-9:svn56414-61.fc38.noarch 1534/3380 Installing : texlive-cmdstring-9:svn15878.1.1-61.fc38.noarc 1535/3380 Installing : texlive-cmdtrack-9:svn28910-61.fc38.noarch 1536/3380 Installing : texlive-cmexb-9:svn54074-61.fc38.noarch 1537/3380 Installing : texlive-cmextra-9:svn57866-61.fc38.noarch 1538/3380 Installing : texlive-cmpica-9:svn15878.0-61.fc38.noarch 1539/3380 Installing : texlive-cmsd-9:svn18787.0-61.fc38.noarch 1540/3380 Installing : texlive-cmsrb-9:svn54706-61.fc38.noarch 1541/3380 Installing : texlive-cmupint-9:svn54735-61.fc38.noarch 1542/3380 Installing : texlive-cns-9:svn45677-61.fc38.noarch 1543/3380 Installing : texlive-cochineal-9:svn58717-61.fc38.noarch 1544/3380 Installing : texlive-codeanatomy-9:svn51627-61.fc38.noarch 1545/3380 Installing : texlive-codepage-9:svn51502-61.fc38.noarch 1546/3380 Installing : texlive-coelacanth-9:svn54736-61.fc38.noarch 1547/3380 Installing : texlive-coffeestains-9:svn59115-61.fc38.noarch 1548/3380 Installing : texlive-collref-9:svn46358-61.fc38.noarch 1549/3380 Installing : texlive-colophon-9:svn47913-61.fc38.noarch 1550/3380 Installing : texlive-color-edits-9:svn56707-61.fc38.noarch 1551/3380 Installing : texlive-colorinfo-9:svn15878.0.3c-61.fc38.noar 1552/3380 Installing : texlive-coloring-9:svn41042-61.fc38.noarch 1553/3380 Installing : texlive-colorprofiles-9:svn49086-61.fc38.noarc 1554/3380 Installing : texlive-combelow-9:svn18462.0.99f-61.fc38.noar 1555/3380 Installing : texlive-comma-9:svn18259.1.2-61.fc38.noarch 1556/3380 Installing : texlive-commado-9:svn38875-61.fc38.noarch 1557/3380 Installing : texlive-commedit-9:svn50116-61.fc38.noarch 1558/3380 Installing : texlive-compactbib-9:svn15878.0-61.fc38.noarch 1559/3380 Installing : texlive-competences-9:svn47573-61.fc38.noarch 1560/3380 Installing : texlive-concmath-fonts-9:svn17218.0-61.fc38.no 1561/3380 Installing : texlive-concprog-9:svn18791.0-61.fc38.noarch 1562/3380 Installing : texlive-concrete-9:svn57963-61.fc38.noarch 1563/3380 Installing : texlive-conditext-9:svn55387-61.fc38.noarch 1564/3380 Installing : texlive-continue-9:svn49449-61.fc38.noarch 1565/3380 Installing : texlive-conv-xkv-9:svn43558-61.fc38.noarch 1566/3380 Installing : texlive-cooking-9:svn15878.0.9b-61.fc38.noarch 1567/3380 Installing : texlive-coordsys-9:svn15878.1.4-61.fc38.noarch 1568/3380 Installing : texlive-cormorantgaramond-9:svn54696-61.fc38.n 1569/3380 Installing : texlive-correctmathalign-9:svn44131-61.fc38.no 1570/3380 Installing : texlive-courier-9:svn35058.0-61.fc38.noarch 1571/3380 Installing : texlive-courierten-9:svn55436-61.fc38.noarch 1572/3380 Installing : texlive-courseoutline-9:svn15878.1.0-61.fc38.n 1573/3380 Installing : texlive-coursepaper-9:svn15878.2.0-61.fc38.noa 1574/3380 Installing : texlive-cquthesis-9:svn55643-61.fc38.noarch 1575/3380 Installing : texlive-crimson-9:svn54512-61.fc38.noarch 1576/3380 Installing : texlive-crimsonpro-9:svn54512-61.fc38.noarch 1577/3380 Installing : texlive-crossreference-9:svn15878.0-61.fc38.no 1578/3380 Installing : texlive-crossreftools-9:svn55879-61.fc38.noarc 1579/3380 Installing : texlive-cryst-9:svn15878.0-61.fc38.noarch 1580/3380 Installing : texlive-css-colors-9:svn54512-61.fc38.noarch 1581/3380 Installing : texlive-csvmerge-9:svn51857-61.fc38.noarch 1582/3380 Installing : texlive-ctablestack-9:svn38514-61.fc38.noarch 1583/3380 Installing : texlive-luatexbase-9:svn52663-61.fc38.noarch 1584/3380 Installing : texlive-luacode-9:svn25193.1.2a-61.fc38.noarch 1585/3380 Installing : texlive-showhyphens-9:svn39787-61.fc38.noarch 1586/3380 Installing : texlive-cuprum-9:svn49909-61.fc38.noarch 1587/3380 Installing : texlive-currency-9:svn48990-61.fc38.noarch 1588/3380 Installing : texlive-custom-bib-9:svn24729.4.33-61.fc38.noa 1589/3380 Installing : texlive-cutwin-9:svn29803.0.1-61.fc38.noarch 1590/3380 Installing : texlive-cv-9:svn15878.0-61.fc38.noarch 1591/3380 Installing : texlive-cybercic-9:svn37659.2.1-61.fc38.noarch 1592/3380 Installing : texlive-cyklop-9:svn18651.0.915-61.fc38.noarch 1593/3380 Installing : texlive-dancers-9:svn13293.0-61.fc38.noarch 1594/3380 Installing : texlive-datax-9:svn57033-61.fc38.noarch 1595/3380 Installing : texlive-datetime2-bahasai-9:svn46287-61.fc38.n 1596/3380 Installing : texlive-datetime2-basque-9:svn47064-61.fc38.no 1597/3380 Installing : texlive-datetime2-dutch-9:svn47355-61.fc38.noa 1598/3380 Installing : texlive-datetime2-english-9:svn52479-61.fc38.n 1599/3380 Installing : texlive-datetime2-hebrew-9:svn47534-61.fc38.no 1600/3380 Installing : texlive-datetime2-latin-9:svn47748-61.fc38.noa 1601/3380 Installing : texlive-dccpaper-9:svn57522-61.fc38.noarch 1602/3380 Installing : texlive-dcpic-9:svn30206.5.0.0-61.fc38.noarch 1603/3380 Installing : texlive-decimal-9:svn23374.0-61.fc38.noarch 1604/3380 Installing : texlive-dehyph-9:svn48599-61.fc38.noarch 1605/3380 Installing : texlive-pdftex-9:20210325-52.fc38.x86_64 1606/3380 Installing : texlive-dejavu-otf-9:svn45991-61.fc38.noarch 1607/3380 Installing : texlive-delim-9:svn23974.1.0-61.fc38.noarch 1608/3380 Installing : texlive-delimseasy-9:svn39589-61.fc38.noarch 1609/3380 Installing : texlive-delimset-9:svn49544-61.fc38.noarch 1610/3380 Installing : texlive-delimtxt-9:svn16549.0-61.fc38.noarch 1611/3380 Installing : texlive-derivative-9:svn55890-61.fc38.noarch 1612/3380 Installing : texlive-diabetes-logbook-9:svn54810-61.fc38.no 1613/3380 Installing : texlive-diagnose-9:svn19387.0.2-61.fc38.noarch 1614/3380 Installing : texlive-dialogl-9:svn28946.0-61.fc38.noarch 1615/3380 Installing : texlive-dice-9:svn28501.0-61.fc38.noarch 1616/3380 Installing : texlive-dichokey-9:svn17192.0-61.fc38.noarch 1617/3380 Installing : texlive-dimnum-9:svn58774-61.fc38.noarch 1618/3380 Installing : texlive-din1505-9:svn19441.0-61.fc38.noarch 1619/3380 Installing : texlive-dinbrief-9:svn15878.0-61.fc38.noarch 1620/3380 Installing : texlive-dingbat-9:svn27918.1.0-61.fc38.noarch 1621/3380 Installing : texlive-dirtree-9:svn42428-61.fc38.noarch 1622/3380 Installing : texlive-ditaa-9:svn48932-61.fc38.noarch 1623/3380 Installing : texlive-docmfp-9:svn15878.1.2d-61.fc38.noarch 1624/3380 Installing : texlive-docmute-9:svn25741.1.4-61.fc38.noarch 1625/3380 Installing : texlive-documentation-9:svn34521.0.1-61.fc38.n 1626/3380 Installing : texlive-docutils-9:svn56594-61.fc38.noarch 1627/3380 Installing : texlive-domitian-9:svn55286-61.fc38.noarch 1628/3380 Installing : texlive-dotlessi-9:svn51476-61.fc38.noarch 1629/3380 Installing : texlive-dotseqn-9:svn17195.1.1-61.fc38.noarch 1630/3380 Installing : texlive-doulossil-9:svn56407-61.fc38.noarch 1631/3380 Installing : texlive-dpcircling-9:svn54994-61.fc38.noarch 1632/3380 Installing : texlive-dpfloat-9:svn17196.0-61.fc38.noarch 1633/3380 Installing : texlive-dprogress-9:svn15878.0.1-61.fc38.noarc 1634/3380 Installing : texlive-draftfigure-9:svn44854-61.fc38.noarch 1635/3380 Installing : texlive-dratex-9:svn15878.0-61.fc38.noarch 1636/3380 Installing : texlive-drawmatrix-9:svn44471-61.fc38.noarch 1637/3380 Installing : texlive-drs-9:svn19232.1.1b-61.fc38.noarch 1638/3380 Installing : texlive-dsserif-9:svn54512-61.fc38.noarch 1639/3380 Installing : texlive-dtxdescribe-9:svn51652-61.fc38.noarch 1640/3380 Installing : texlive-ducksay-9:svn56800-61.fc38.noarch 1641/3380 Installing : texlive-duckuments-9:svn52271-61.fc38.noarch 1642/3380 Installing : texlive-duerer-latex-9:svn15878.1.1-61.fc38.no 1643/3380 Installing : texlive-duerer-9:svn20741.0-61.fc38.noarch 1644/3380 Installing : texlive-duotenzor-9:svn18728.1.00-61.fc38.noar 1645/3380 Installing : texlive-dynamicnumber-9:svn38726-61.fc38.noarc 1646/3380 Installing : texlive-easy-9:svn19440.0.99-61.fc38.noarch 1647/3380 Installing : texlive-easybook-9:svn59306-61.fc38.noarch 1648/3380 Installing : texlive-easyformat-9:svn44543-61.fc38.noarch 1649/3380 Installing : texlive-ebook-9:svn29466.0-61.fc38.noarch 1650/3380 Installing : texlive-ecc-9:svn15878.0-61.fc38.noarch 1651/3380 Installing : texlive-ecgdraw-9:svn41617-61.fc38.noarch 1652/3380 Installing : texlive-ecobiblatex-9:svn39233-61.fc38.noarch 1653/3380 Installing : texlive-econ-bst-9:svn54191-61.fc38.noarch 1654/3380 Installing : texlive-econlipsum-9:svn58390-61.fc38.noarch 1655/3380 Installing : texlive-econometrics-9:svn39396-61.fc38.noarch 1656/3380 Installing : texlive-ecothesis-9:svn48007-61.fc38.noarch 1657/3380 Installing : texlive-eczar-9:svn57716-61.fc38.noarch 1658/3380 Installing : texlive-edichokey-9:svn56223-61.fc38.noarch 1659/3380 Installing : texlive-edmargin-9:svn27599.1.2-61.fc38.noarch 1660/3380 Installing : texlive-ehhline-9:svn54676-61.fc38.noarch 1661/3380 Installing : texlive-eiad-ltx-9:svn15878.1.0-61.fc38.noarch 1662/3380 Installing : texlive-eiad-9:svn15878.0-61.fc38.noarch 1663/3380 Installing : texlive-ektype-tanka-9:svn56070-61.fc38.noarch 1664/3380 Installing : texlive-elegantbook-9:svn59053-61.fc38.noarch 1665/3380 Installing : texlive-elegantnote-9:svn54758-61.fc38.noarch 1666/3380 Installing : texlive-elegantpaper-9:svn54758-61.fc38.noarch 1667/3380 Installing : texlive-ellipse-9:svn39025-61.fc38.noarch 1668/3380 Installing : texlive-elmath-9:svn15878.v1.2-61.fc38.noarch 1669/3380 Installing : texlive-els-cas-templates-9:svn54317-61.fc38.n 1670/3380 Installing : texlive-eltex-9:svn15878.2.0-61.fc38.noarch 1671/3380 Installing : texlive-elvish-9:svn15878.0-61.fc38.noarch 1672/3380 Installing : texlive-emf-9:svn42023-61.fc38.noarch 1673/3380 Installing : texlive-emisa-9:svn57013-61.fc38.noarch 1674/3380 Installing : texlive-enctex-9:svn34957.0-61.fc38.noarch 1675/3380 Installing : texlive-endheads-9:svn43750-61.fc38.noarch 1676/3380 Installing : texlive-endnotes-hy-9:svn54758-61.fc38.noarch 1677/3380 Installing : texlive-endofproofwd-9:svn55643-61.fc38.noarch 1678/3380 Installing : texlive-engtlc-9:svn28571.3.2-61.fc38.noarch 1679/3380 Installing : texlive-envbig-9:svn15878.0-61.fc38.noarch 1680/3380 Installing : texlive-epiolmec-9:svn15878.0-61.fc38.noarch 1681/3380 Installing : texlive-epsf-9:svn21461.2.7.4-61.fc38.noarch 1682/3380 Installing : texlive-epspdf-9:20210325-52.fc38.noarch 1683/3380 Installing : texlive-eq-pin2corr-9:svn57815-61.fc38.noarch 1684/3380 Installing : texlive-eqnalign-9:svn43278-61.fc38.noarch 1685/3380 Installing : texlive-eqname-9:svn20678.0-61.fc38.noarch 1686/3380 Installing : texlive-eqnnumwarn-9:svn45511-61.fc38.noarch 1687/3380 Installing : texlive-erewhon-math-9:svn58903-61.fc38.noarch 1688/3380 Installing : texlive-esdiff-9:svn21385.1.2-61.fc38.noarch 1689/3380 Installing : texlive-esindex-9:svn52342-61.fc38.noarch 1690/3380 Installing : texlive-esrelation-9:svn37236.0-61.fc38.noarch 1691/3380 Installing : texlive-etbb-9:svn56390-61.fc38.noarch 1692/3380 Installing : texlive-etsvthor-9:svn48186-61.fc38.noarch 1693/3380 Installing : texlive-euflag-9:svn55265-61.fc38.noarch 1694/3380 Installing : texlive-eukdate-9:svn15878.1.04-61.fc38.noarch 1695/3380 Installing : texlive-eulerpx-9:svn43735-61.fc38.noarch 1696/3380 Installing : texlive-euro-ce-9:svn25714-61.fc38.noarch 1697/3380 Installing : texlive-euxm-9:svn54074-61.fc38.noarch 1698/3380 Installing : texlive-exam-randomizechoices-9:svn49662-61.fc 1699/3380 Installing : texlive-example-9:svn33398.0-61.fc38.noarch 1700/3380 Installing : texlive-examplep-9:svn55265-61.fc38.noarch 1701/3380 Installing : texlive-excludeonly-9:svn17262.1.0-61.fc38.noa 1702/3380 Installing : texlive-exercisebank-9:svn50448-61.fc38.noarch 1703/3380 Installing : texlive-exercisepoints-9:svn49590-61.fc38.noar 1704/3380 Installing : texlive-exercises-9:svn55188-61.fc38.noarch 1705/3380 Installing : texlive-exesheet-9:svn55916-61.fc38.noarch 1706/3380 Installing : texlive-exframe-9:svn53911-61.fc38.noarch 1707/3380 Installing : texlive-exp-testopt-9:svn15878.0.3-61.fc38.noa 1708/3380 Installing : texlive-expdlist-9:svn15878.2.4-61.fc38.noarch 1709/3380 Installing : texlive-expkv-9:svn58853-61.fc38.noarch 1710/3380 Installing : texlive-pxpic-9:svn57445-61.fc38.noarch 1711/3380 Installing : texlive-export-9:svn27206.1.8-61.fc38.noarch 1712/3380 Installing : texlive-facture-belge-simple-sans-tva-9:svn490 1713/3380 Installing : texlive-faktor-9:svn15878.0.1b-61.fc38.noarch 1714/3380 Installing : texlive-fancyhandout-9:svn46411-61.fc38.noarch 1715/3380 Installing : texlive-fancynum-9:svn15878.0.92-61.fc38.noarc 1716/3380 Installing : texlive-fandol-9:svn37889.0.3-61.fc38.noarch 1717/3380 Installing : texlive-fascicules-9:svn54080-61.fc38.noarch 1718/3380 Installing : texlive-fbox-9:svn55627-61.fc38.noarch 1719/3380 Installing : texlive-fbs-9:svn15878.0-61.fc38.noarch 1720/3380 Installing : texlive-fetamont-9:svn43812-61.fc38.noarch 1721/3380 Installing : texlive-fetchcls-9:svn45245-61.fc38.noarch 1722/3380 Installing : texlive-fewerfloatpages-9:svn58058-61.fc38.noa 1723/3380 Installing : texlive-feyn-9:svn55777-61.fc38.noarch 1724/3380 Installing : texlive-ffslides-9:svn38895-61.fc38.noarch 1725/3380 Installing : texlive-fge-9:svn37628.1.25-61.fc38.noarch 1726/3380 Installing : texlive-fgruler-9:svn56854-61.fc38.noarch 1727/3380 Installing : texlive-fibeamer-9:svn53146-61.fc38.noarch 1728/3380 Installing : texlive-fig4latex-9:20210325-52.fc38.noarch 1729/3380 Installing : texlive-filecontentsdef-9:svn52208-61.fc38.noa 1730/3380 Installing : texlive-filedate-9:svn29529.0-61.fc38.noarch 1731/3380 Installing : texlive-firamath-otf-9:svn50732-61.fc38.noarch 1732/3380 Installing : texlive-firamath-9:svn56672-61.fc38.noarch 1733/3380 Installing : texlive-fix2col-9:svn38770-61.fc38.noarch 1734/3380 Installing : texlive-fixcmex-9:svn51825-61.fc38.noarch 1735/3380 Installing : texlive-fjodor-9:svn53207-61.fc38.noarch 1736/3380 Installing : texlive-floatflt-9:svn25540.1.31-61.fc38.noarc 1737/3380 Installing : texlive-fn2end-9:svn15878.1.1-61.fc38.noarch 1738/3380 Installing : texlive-fncylab-9:svn52090-61.fc38.noarch 1739/3380 Installing : texlive-fnpara-9:svn25607.0-61.fc38.noarch 1740/3380 Installing : texlive-fnspe-9:svn45360-61.fc38.noarch 1741/3380 Installing : texlive-foekfont-9:svn15878.0-61.fc38.noarch 1742/3380 Installing : texlive-foilhtml-9:svn21855.1.2-61.fc38.noarch 1743/3380 Installing : texlive-foliono-9:svn58877-61.fc38.noarch 1744/3380 Installing : texlive-fontawesome5-9:svn54517-61.fc38.noarch 1745/3380 Installing : texlive-fontmfizz-9:svn43546-61.fc38.noarch 1746/3380 Installing : texlive-fonts-churchslavonic-9:svn56350-61.fc3 1747/3380 Installing : texlive-fontsetup-9:svn59079-61.fc38.noarch 1748/3380 Installing : texlive-fontsize-9:svn58906-61.fc38.noarch 1749/3380 Installing : texlive-fonttable-9:svn44799-61.fc38.noarch 1750/3380 Installing : texlive-footbib-9:svn17115.2.0.7-61.fc38.noarc 1751/3380 Installing : texlive-footmisx-9:svn42621-61.fc38.noarch 1752/3380 Installing : texlive-footnotehyper-9:svn57618-61.fc38.noarc 1753/3380 Installing : texlive-formlett-9:svn21480.2.3-61.fc38.noarch 1754/3380 Installing : texlive-forms16be-9:svn51305-61.fc38.noarch 1755/3380 Installing : texlive-forum-9:svn56025-61.fc38.noarch 1756/3380 Installing : texlive-fouridx-9:svn32214.2.00-61.fc38.noarch 1757/3380 Installing : texlive-fragments-9:svn15878.0-61.fc38.noarch 1758/3380 Installing : texlive-frame-9:svn18312.1.0-61.fc38.noarch 1759/3380 Installing : texlive-francais-bst-9:svn38922-61.fc38.noarch 1760/3380 Installing : texlive-frankenstein-9:svn15878.0-61.fc38.noar 1761/3380 Installing : texlive-frederika2016-9:svn42157-61.fc38.noarc 1762/3380 Installing : texlive-frimurer-9:svn56704-61.fc38.noarch 1763/3380 Installing : texlive-froufrou-9:svn59103-61.fc38.noarch 1764/3380 Installing : texlive-ftc-notebook-9:svn50043-61.fc38.noarch 1765/3380 Installing : texlive-ftcap-9:svn17275.1.4-61.fc38.noarch 1766/3380 Installing : texlive-ftnxtra-9:svn29652.0.1-61.fc38.noarch 1767/3380 Installing : texlive-fullblck-9:svn25434.1.03-61.fc38.noarc 1768/3380 Installing : texlive-fundus-sueterlin-9:svn26030.1.2-61.fc3 1769/3380 Installing : texlive-fwlw-9:svn29803.0-61.fc38.noarch 1770/3380 Installing : texlive-gammas-9:svn56403-61.fc38.noarch 1771/3380 Installing : texlive-garamond-math-9:svn52820-61.fc38.noarc 1772/3380 Installing : texlive-gbt7714-9:svn57157-61.fc38.noarch 1773/3380 Installing : texlive-gene-logic-9:svn15878.1.4-61.fc38.noar 1774/3380 Installing : texlive-genealogy-9:svn25112.0-61.fc38.noarch 1775/3380 Installing : texlive-getitems-9:svn39365-61.fc38.noarch 1776/3380 Installing : texlive-gfsbodoni-9:svn28484.1.01-61.fc38.noar 1777/3380 Installing : texlive-gfscomplutum-9:svn19469.1.0-61.fc38.no 1778/3380 Installing : texlive-gfsdidotclassic-9:svn52778-61.fc38.noa 1779/3380 Installing : texlive-gfsneohellenic-9:svn54080-61.fc38.noar 1780/3380 Installing : texlive-gfsneohellenicmath-9:svn52570-61.fc38. 1781/3380 Installing : texlive-gfssolomos-9:svn18651.1.0-61.fc38.noar 1782/3380 Installing : texlive-gillcm-9:svn19878.1.1-61.fc38.noarch 1783/3380 Installing : texlive-gindex-9:svn52311-61.fc38.noarch 1784/3380 Installing : texlive-gitfile-info-9:svn51928-61.fc38.noarch 1785/3380 Installing : texlive-gitlog-9:svn38932-61.fc38.noarch 1786/3380 Installing : texlive-globalvals-9:svn49962-61.fc38.noarch 1787/3380 Installing : texlive-glossaries-danish-9:svn35665.1.0-61.fc 1788/3380 Installing : texlive-glossaries-dutch-9:svn35685.1.1-61.fc3 1789/3380 Installing : texlive-glossaries-english-9:svn35665.1.0-61.f 1790/3380 Installing : texlive-glossaries-estonian-9:svn49928-61.fc38 1791/3380 Installing : texlive-glossaries-french-9:svn42873-61.fc38.n 1792/3380 Installing : texlive-glossaries-german-9:svn35665.1.0-61.fc 1793/3380 Installing : texlive-glossaries-irish-9:svn35665.1.0-61.fc3 1794/3380 Installing : texlive-glossaries-italian-9:svn35665.1.0-61.f 1795/3380 Installing : texlive-glossaries-magyar-9:svn35665.1.0-61.fc 1796/3380 Installing : texlive-glossaries-nynorsk-9:svn55189-61.fc38. 1797/3380 Installing : texlive-glossaries-polish-9:svn35665.1.0-61.fc 1798/3380 Installing : texlive-glossaries-portuges-9:svn36064.1.1-61. 1799/3380 Installing : texlive-glossaries-serbian-9:svn35665.1.0-61.f 1800/3380 Installing : texlive-glossaries-slovene-9:svn51211-61.fc38. 1801/3380 Installing : texlive-glossaries-spanish-9:svn35665.1.0-61.f 1802/3380 Installing : texlive-gnu-freefont-9:svn29349.0-61.fc38.noar 1803/3380 Installing : texlive-gofonts-9:svn54512-61.fc38.noarch 1804/3380 Installing : texlive-gothic-9:svn49869-61.fc38.noarch 1805/3380 Installing : texlive-gotoh-9:svn44764-61.fc38.noarch 1806/3380 Installing : texlive-grabbox-9:svn51052-61.fc38.noarch 1807/3380 Installing : texlive-gradstudentresume-9:svn38832-61.fc38.n 1808/3380 Installing : texlive-grant-9:svn56852-61.fc38.noarch 1809/3380 Installing : texlive-graph35-9:svn47522-61.fc38.noarch 1810/3380 Installing : texlive-graphicxbox-9:svn32630.1.0-61.fc38.noa 1811/3380 Installing : texlive-grayhints-9:svn49052-61.fc38.noarch 1812/3380 Installing : texlive-greek-inputenc-9:svn51612-61.fc38.noar 1813/3380 Installing : texlive-greenpoint-9:svn15878.0-61.fc38.noarch 1814/3380 Installing : texlive-gridset-9:svn53762-61.fc38.noarch 1815/3380 Installing : texlive-gridslides-9:svn54512-61.fc38.noarch 1816/3380 Installing : texlive-grotesq-9:svn35859.0-61.fc38.noarch 1817/3380 Installing : texlive-gtrlib-largetrees-9:svn49062-61.fc38.n 1818/3380 Installing : texlive-gudea-9:svn57359-61.fc38.noarch 1819/3380 Installing : texlive-h2020proposal-9:svn38428-61.fc38.noarc 1820/3380 Installing : texlive-hackthefootline-9:svn46494-61.fc38.noa 1821/3380 Installing : texlive-hacm-9:svn27671.0.1-61.fc38.noarch 1822/3380 Installing : texlive-hagenberg-thesis-9:svn56798-61.fc38.no 1823/3380 Installing : texlive-halloweenmath-9:svn52602-61.fc38.noarc 1824/3380 Installing : texlive-handin-9:svn48255-61.fc38.noarch 1825/3380 Installing : texlive-hands-9:svn13293.0-61.fc38.noarch 1826/3380 Installing : texlive-hang-9:svn43280-61.fc38.noarch 1827/3380 Installing : texlive-hanging-9:svn15878.1.2b-61.fc38.noarch 1828/3380 Installing : texlive-harnon-cv-9:svn26543.1.0-61.fc38.noarc 1829/3380 Installing : texlive-harvmac-9:svn15878.0-61.fc38.noarch 1830/3380 Installing : texlive-helvetic-9:svn31835.0-61.fc38.noarch 1831/3380 Installing : texlive-hep-paper-9:svn57045-61.fc38.noarch 1832/3380 Installing : texlive-hfbright-9:svn29349.0-61.fc38.noarch 1833/3380 Installing : texlive-hindmadurai-9:svn57360-61.fc38.noarch 1834/3380 Installing : texlive-histogr-9:svn15878.1.01-61.fc38.noarch 1835/3380 Installing : texlive-historische-zeitschrift-9:svn42635-61. 1836/3380 Installing : texlive-hitec-9:svn15878.0.0_beta_-61.fc38.noa 1837/3380 Installing : texlive-hithesis-9:svn53362-61.fc38.noarch 1838/3380 Installing : texlive-hitreport-9:svn58357-61.fc38.noarch 1839/3380 Installing : texlive-hitszbeamer-9:svn54381-61.fc38.noarch 1840/3380 Installing : texlive-hitszthesis-9:svn55643-61.fc38.noarch 1841/3380 Installing : texlive-hu-berlin-bundle-9:svn57580-61.fc38.no 1842/3380 Installing : texlive-huawei-9:svn59328-61.fc38.noarch 1843/3380 Installing : texlive-hustthesis-9:svn42547-61.fc38.noarch 1844/3380 Installing : texlive-hvqrurl-9:svn52993-61.fc38.noarch 1845/3380 Installing : texlive-hyperbar-9:svn48147-61.fc38.noarch 1846/3380 Installing : texlive-hyphen-polish-9:svn58609-61.fc38.noarc 1847/3380 Running scriptlet: texlive-hyphen-polish-9:svn58609-61.fc38.noarc 1847/3380 Installing : texlive-hyphenex-9:svn57387-61.fc38.noarch 1848/3380 Installing : texlive-ibarra-9:svn55820-61.fc38.noarch 1849/3380 Installing : texlive-icite-9:svn54512-61.fc38.noarch 1850/3380 Installing : texlive-identkey-9:svn49018-61.fc38.noarch 1851/3380 Installing : texlive-iffont-9:svn38823-61.fc38.noarch 1852/3380 Installing : texlive-iitem-9:svn29613.1.0-61.fc38.noarch 1853/3380 Installing : texlive-ijqc-9:svn15878.1.2-61.fc38.noarch 1854/3380 Installing : texlive-ijsra-9:svn44886-61.fc38.noarch 1855/3380 Installing : texlive-imac-9:svn17347.0-61.fc38.noarch 1856/3380 Installing : texlive-imfellenglish-9:svn38547-61.fc38.noarc 1857/3380 Installing : texlive-inkpaper-9:svn54080-61.fc38.noarch 1858/3380 Installing : texlive-inline-images-9:svn54080-61.fc38.noarc 1859/3380 Installing : texlive-inlinebib-9:svn22018.0-61.fc38.noarch 1860/3380 Installing : texlive-inlinedef-9:svn15878.1.0-61.fc38.noarc 1861/3380 Installing : texlive-inputtrc-9:svn28019.0.3-61.fc38.noarch 1862/3380 Installing : texlive-inriafonts-9:svn54512-61.fc38.noarch 1863/3380 Installing : texlive-intopdf-9:svn58743-61.fc38.noarch 1864/3380 Installing : texlive-inversepath-9:svn15878.0.2-61.fc38.noa 1865/3380 Installing : texlive-invoice-class-9:svn49749-61.fc38.noarc 1866/3380 Installing : texlive-invoice2-9:svn46364-61.fc38.noarch 1867/3380 Installing : texlive-iodhbwm-9:svn57773-61.fc38.noarch 1868/3380 Installing : texlive-iopart-num-9:svn15878.2.1-61.fc38.noar 1869/3380 Installing : texlive-ipaex-type1-9:svn47700-61.fc38.noarch 1870/3380 Installing : texlive-is-bst-9:svn52623-61.fc38.noarch 1871/3380 Installing : texlive-iscram-9:svn45801-61.fc38.noarch 1872/3380 Installing : texlive-iso10303-9:svn15878.1.5-61.fc38.noarch 1873/3380 Installing : texlive-isonums-9:svn17362.1.0-61.fc38.noarch 1874/3380 Installing : texlive-isopt-9:svn45509-61.fc38.noarch 1875/3380 Installing : texlive-isotope-9:svn23711.v0.3-61.fc38.noarch 1876/3380 Installing : texlive-istgame-9:svn49848-61.fc38.noarch 1877/3380 Installing : texlive-itnumpar-9:svn15878.1.0-61.fc38.noarch 1878/3380 Installing : texlive-iwhdp-9:svn37552.0.50-61.fc38.noarch 1879/3380 Installing : texlive-jablantile-9:svn16364.0-61.fc38.noarch 1880/3380 Installing : texlive-jacow-9:svn50870-61.fc38.noarch 1881/3380 Installing : texlive-jbact-9:svn52717-61.fc38.noarch 1882/3380 Installing : texlive-jkmath-9:svn47109-61.fc38.noarch 1883/3380 Installing : texlive-jmb-9:svn52718-61.fc38.noarch 1884/3380 Installing : texlive-jneurosci-9:svn17346.1.00-61.fc38.noar 1885/3380 Installing : texlive-jnuexam-9:svn56867-61.fc38.noarch 1886/3380 Installing : texlive-josefin-9:svn57152-61.fc38.noarch 1887/3380 Installing : texlive-junicode-9:svn53954-61.fc38.noarch 1888/3380 Installing : texlive-jvlisting-9:svn24638.0.7-61.fc38.noarc 1889/3380 Installing : texlive-kalendarium-9:svn48744-61.fc38.noarch 1890/3380 Installing : texlive-karnaugh-9:svn21338.0-61.fc38.noarch 1891/3380 Installing : texlive-kblocks-9:svn57617-61.fc38.noarch 1892/3380 Installing : texlive-keyfloat-9:svn52160-61.fc38.noarch 1893/3380 Installing : texlive-keyindex-9:svn50828-61.fc38.noarch 1894/3380 Installing : texlive-keyvaltable-9:svn54677-61.fc38.noarch 1895/3380 Installing : texlive-kix-9:svn21606.0-61.fc38.noarch 1896/3380 Installing : texlive-kixfont-9:svn18488.0-61.fc38.noarch 1897/3380 Installing : texlive-knowledge-9:svn58724-61.fc38.noarch 1898/3380 Installing : texlive-knuth-local-9:svn57963-61.fc38.noarch 1899/3380 Installing : texlive-koma-script-sfs-9:svn26137.1.0-61.fc38 1900/3380 Installing : texlive-komacv-rg-9:svn49064-61.fc38.noarch 1901/3380 Installing : texlive-ksfh_nat-9:svn24825.1.1-61.fc38.noarch 1902/3380 Installing : texlive-ksp-thesis-9:svn39080-61.fc38.noarch 1903/3380 Installing : texlive-ku-template-9:svn45935-61.fc38.noarch 1904/3380 Installing : texlive-l3build-9:20210325-52.fc38.noarch 1905/3380 Installing : texlive-labels4easylist-9:svn51124-61.fc38.noa 1906/3380 Installing : texlive-labelschanged-9:svn46040-61.fc38.noarc 1907/3380 Installing : texlive-ladder-9:svn44394-61.fc38.noarch 1908/3380 Installing : texlive-lambda-lists-9:svn31402.0-61.fc38.noar 1909/3380 Installing : texlive-langsci-avm-9:svn55846-61.fc38.noarch 1910/3380 Installing : texlive-langsci-9:svn58820-61.fc38.noarch 1911/3380 Installing : texlive-lastpackage-9:svn34481.0.1-61.fc38.noa 1912/3380 Installing : texlive-latex-uni8-9:svn49729-61.fc38.noarch 1913/3380 Installing : texlive-latexbug-9:svn58151-61.fc38.noarch 1914/3380 Installing : texlive-latexcolors-9:svn49888-61.fc38.noarch 1915/3380 Installing : texlive-latexgit-9:svn54811-61.fc38.noarch 1916/3380 Installing : texlive-lccaps-9:svn46432-61.fc38.noarch 1917/3380 Installing : texlive-lcd-9:svn16549.0.3-61.fc38.noarch 1918/3380 Installing : texlive-lectures-9:svn53642-61.fc38.noarch 1919/3380 Installing : texlive-leftidx-9:svn15878.0-61.fc38.noarch 1920/3380 Installing : texlive-leipzig-9:svn52450-61.fc38.noarch 1921/3380 Installing : texlive-letterswitharrows-9:svn53709-61.fc38.n 1922/3380 Installing : texlive-lewis-9:svn15878.0.1-61.fc38.noarch 1923/3380 Installing : texlive-lexend-9:svn57564-61.fc38.noarch 1924/3380 Installing : texlive-lfb-9:svn15878.1.0-61.fc38.noarch 1925/3380 Installing : texlive-libertinegc-9:svn44616-61.fc38.noarch 1926/3380 Installing : texlive-libertinus-9:svn55064-61.fc38.noarch 1927/3380 Installing : texlive-libertinus-type1-9:svn57754-61.fc38.no 1928/3380 Installing : texlive-librebodoni-9:svn39375-61.fc38.noarch 1929/3380 Installing : texlive-librefranklin-9:svn54512-61.fc38.noarc 1930/3380 Installing : texlive-lie-hasse-9:svn53653-61.fc38.noarch 1931/3380 Installing : texlive-limecv-9:svn54329-61.fc38.noarch 1932/3380 Installing : texlive-linguisticspro-9:svn54512-61.fc38.noar 1933/3380 Installing : texlive-linop-9:svn41304-61.fc38.noarch 1934/3380 Installing : texlive-lion-msc-9:svn55415-61.fc38.noarch 1935/3380 Installing : texlive-lisp-on-tex-9:svn38722-61.fc38.noarch 1936/3380 Installing : texlive-listbib-9:20210325-52.fc38.noarch 1937/3380 Installing : texlive-listing-9:svn17373.1.2-61.fc38.noarch 1938/3380 Installing : texlive-listingsutf8-9:svn53097-61.fc38.noarch 1939/3380 Installing : texlive-lkproof-9:svn20021.3.1-61.fc38.noarch 1940/3380 Installing : texlive-llncsconf-9:svn55117-61.fc38.noarch 1941/3380 Installing : texlive-lmake-9:svn25552.1.0-61.fc38.noarch 1942/3380 Installing : texlive-lni-9:svn58061-61.fc38.noarch 1943/3380 Installing : texlive-logbox-9:svn24499.1.0-61.fc38.noarch 1944/3380 Installing : texlive-logical-markup-utils-9:svn15878.0-61.f 1945/3380 Installing : texlive-logix-9:svn57457-61.fc38.noarch 1946/3380 Installing : texlive-longfbox-9:svn39028-61.fc38.noarch 1947/3380 Installing : texlive-lpform-9:svn36918.0-61.fc38.noarch 1948/3380 Installing : texlive-lplfitch-9:svn31077.0.9-61.fc38.noarch 1949/3380 Installing : texlive-lroundrect-9:svn39804-61.fc38.noarch 1950/3380 Installing : texlive-lstbayes-9:svn48160-61.fc38.noarch 1951/3380 Installing : texlive-lstfiracode-9:svn49503-61.fc38.noarch 1952/3380 Installing : texlive-ltb2bib-9:svn43746-61.fc38.noarch 1953/3380 Installing : texlive-ltxguidex-9:svn50992-61.fc38.noarch 1954/3380 Installing : texlive-lua-physical-9:svn59138-61.fc38.noarch 1955/3380 Installing : texlive-luabidi-9:svn54512-61.fc38.noarch 1956/3380 Installing : texlive-luacolor-9:svn57829-61.fc38.noarch 1957/3380 Installing : texlive-luahbtex-9:20210325-52.fc38.x86_64 1958/3380 Installing : texlive-lualibs-9:svn57277-61.fc38.noarch 1959/3380 Installing : texlive-luaotfload-9:20210325-52.fc38.noarch 1960/3380 Installing : texlive-luamesh-9:svn55475-61.fc38.noarch 1961/3380 Installing : texlive-lwarp-9:20210325-52.fc38.noarch 1962/3380 Installing : texlive-magaz-9:svn24694.0.4-61.fc38.noarch 1963/3380 Installing : texlive-make4ht-9:20210325-52.fc38.noarch 1964/3380 Installing : texlive-makebase-9:svn41012-61.fc38.noarch 1965/3380 Installing : texlive-makebox-9:svn15878.0.1-61.fc38.noarch 1966/3380 Installing : texlive-makecirc-9:svn15878.0-61.fc38.noarch 1967/3380 Installing : texlive-makecookbook-9:svn49311-61.fc38.noarch 1968/3380 Installing : texlive-makedtx-9:20210325-52.fc38.noarch 1969/3380 Installing : texlive-makeglos-9:svn15878.0-61.fc38.noarch 1970/3380 Installing : texlive-makeindex-9:20210325-52.fc38.x86_64 1971/3380 Installing : texlive-maker-9:svn44823-61.fc38.noarch 1972/3380 Installing : texlive-makerobust-9:svn52811-61.fc38.noarch 1973/3380 Installing : texlive-manfnt-9:svn54684-61.fc38.noarch 1974/3380 Installing : texlive-manyind-9:svn49874-61.fc38.noarch 1975/3380 Installing : texlive-marcellus-9:svn56016-61.fc38.noarch 1976/3380 Installing : texlive-margbib-9:svn15878.1.0c-61.fc38.noarch 1977/3380 Installing : texlive-marginfit-9:svn48281-61.fc38.noarch 1978/3380 Installing : texlive-markdown-9:svn56414-61.fc38.noarch 1979/3380 Installing : texlive-matc3-9:svn29845.1.0.1-61.fc38.noarch 1980/3380 Installing : texlive-mathcommand-9:svn53044-61.fc38.noarch 1981/3380 Installing : texlive-mathfam256-9:svn53519-61.fc38.noarch 1982/3380 Installing : texlive-mathfixs-9:svn49547-61.fc38.noarch 1983/3380 Installing : texlive-mathfont-9:svn53035-61.fc38.noarch 1984/3380 Installing : texlive-mathlig-9:svn54244-61.fc38.noarch 1985/3380 Installing : texlive-mathpartir-9:svn39864-61.fc38.noarch 1986/3380 Installing : texlive-mathpunctspace-9:svn46754-61.fc38.noar 1987/3380 Installing : texlive-matrix-skeleton-9:svn54080-61.fc38.noa 1988/3380 Installing : texlive-mceinleger-9:svn15878.0-61.fc38.noarch 1989/3380 Installing : texlive-mcexam-9:svn46155-61.fc38.noarch 1990/3380 Installing : texlive-mcite-9:svn18173.1.6-61.fc38.noarch 1991/3380 Installing : texlive-mdputu-9:svn20298.1.2-61.fc38.noarch 1992/3380 Installing : texlive-media4svg-9:svn57528-61.fc38.noarch 1993/3380 Installing : texlive-memexsupp-9:svn15878.0.1-61.fc38.noarc 1994/3380 Installing : texlive-memory-9:svn30452.1.2-61.fc38.noarch 1995/3380 Installing : texlive-memorygraphs-9:svn49631-61.fc38.noarch 1996/3380 Installing : texlive-mercatormap-9:svn56060-61.fc38.noarch 1997/3380 Installing : texlive-metalogox-9:svn49774-61.fc38.noarch 1998/3380 Installing : texlive-metanorma-9:svn55010-61.fc38.noarch 1999/3380 Installing : texlive-metastr-9:svn56246-61.fc38.noarch 2000/3380 Installing : texlive-method-9:svn17485.2.0b-61.fc38.noarch 2001/3380 Installing : texlive-mflogo-font-9:svn54512-61.fc38.noarch 2002/3380 Installing : texlive-mfnfss-9:svn46036-61.fc38.noarch 2003/3380 Installing : texlive-mfware-9:20210325-52.fc38.x86_64 2004/3380 Installing : texlive-mgltex-9:svn41676-61.fc38.noarch 2005/3380 Installing : texlive-mhequ-9:svn38224.1.7-61.fc38.noarch 2006/3380 Installing : texlive-mi-solns-9:svn49651-61.fc38.noarch 2007/3380 Installing : texlive-miama-9:svn54512-61.fc38.noarch 2008/3380 Installing : texlive-midpage-9:svn17484.1.1a-61.fc38.noarch 2009/3380 Installing : texlive-miller-9:svn18789.1.2-61.fc38.noarch 2010/3380 Installing : texlive-milsymb-9:svn54361-61.fc38.noarch 2011/3380 Installing : texlive-minidocument-9:svn43752-61.fc38.noarch 2012/3380 Installing : texlive-minifp-9:svn32559.0.96-61.fc38.noarch 2013/3380 Installing : texlive-minipage-marginpar-9:svn15878.v0.2-61. 2014/3380 Installing : texlive-mismath-9:svn56949-61.fc38.noarch 2015/3380 Installing : texlive-missaali-9:svn58771-61.fc38.noarch 2016/3380 Installing : texlive-mkpic-9:20210325-52.fc38.noarch 2017/3380 Installing : texlive-mla-paper-9:svn54080-61.fc38.noarch 2018/3380 Installing : texlive-mlacls-9:svn56878-61.fc38.noarch 2019/3380 Installing : texlive-mleftright-9:svn53021-61.fc38.noarch 2020/3380 Installing : texlive-mlmodern-9:svn57458-61.fc38.noarch 2021/3380 Installing : texlive-mluexercise-9:svn56927-61.fc38.noarch 2022/3380 Installing : texlive-mnras-9:svn55729-61.fc38.noarch 2023/3380 Installing : texlive-modeles-factures-belges-assocs-9:svn50 2024/3380 Installing : texlive-modernposter-9:svn47269-61.fc38.noarch 2025/3380 Installing : texlive-modular-9:svn44142-61.fc38.noarch 2026/3380 Installing : texlive-montserrat-9:svn54512-61.fc38.noarch 2027/3380 Installing : texlive-moodle-9:svn57683-61.fc38.noarch 2028/3380 Installing : texlive-mpfonts-9:svn54512-61.fc38.noarch 2029/3380 Installing : texlive-mpostinl-9:svn49559-61.fc38.noarch 2030/3380 Installing : texlive-mslapa-9:svn54080-61.fc38.noarch 2031/3380 Installing : texlive-mtgreek-9:svn17967.1.1+-61.fc38.noarch 2032/3380 Installing : texlive-mucproc-9:svn43445-61.fc38.noarch 2033/3380 Installing : texlive-muling-9:svn56991-61.fc38.noarch 2034/3380 Installing : texlive-multenum-9:svn21775.0-61.fc38.noarch 2035/3380 Installing : texlive-multibbl-9:svn15878.v1.1-61.fc38.noarc 2036/3380 Installing : texlive-multibibliography-9:20210325-52.fc38.n 2037/3380 Installing : texlive-multidef-9:svn40637-61.fc38.noarch 2038/3380 Installing : texlive-multienv-9:svn56291-61.fc38.noarch 2039/3380 Installing : texlive-multiexpand-9:svn45943-61.fc38.noarch 2040/3380 Installing : texlive-multilang-9:svn49065-61.fc38.noarch 2041/3380 Installing : texlive-munich-9:svn15878.0-61.fc38.noarch 2042/3380 Installing : texlive-mversion-9:svn29370.1.0.1-61.fc38.noar 2043/3380 Installing : texlive-mylatex-9:svn56751-61.fc38.noarch 2044/3380 Installing : texlive-mylatexformat-9:svn21392.3.4-61.fc38.n 2045/3380 Installing : texlive-mynsfc-9:svn41996-61.fc38.noarch 2046/3380 Installing : texlive-nag-9:svn24741.0.7-61.fc38.noarch 2047/3380 Installing : texlive-namespc-9:svn15878.0-61.fc38.noarch 2048/3380 Installing : texlive-nar-9:svn38100.3.19-61.fc38.noarch 2049/3380 Installing : texlive-natded-9:svn32693.0.1-61.fc38.noarch 2050/3380 Installing : texlive-nath-9:svn15878.0-61.fc38.noarch 2051/3380 Installing : texlive-navydocs-9:svn41643-61.fc38.noarch 2052/3380 Installing : texlive-ncntrsbk-9:svn31835.0-61.fc38.noarch 2053/3380 Installing : texlive-ndsu-thesis-9:svn46639-61.fc38.noarch 2054/3380 Installing : texlive-nestquot-9:svn27323.0-61.fc38.noarch 2055/3380 Installing : texlive-newcomputermodern-9:svn59041-61.fc38.n 2056/3380 Installing : texlive-newvbtm-9:svn23996.1.1-61.fc38.noarch 2057/3380 Installing : texlive-niceframe-type1-9:svn44671-61.fc38.noa 2058/3380 Installing : texlive-nidanfloat-9:svn48295-61.fc38.noarch 2059/3380 Installing : texlive-nihbiosketch-9:svn54191-61.fc38.noarch 2060/3380 Installing : texlive-nimbus15-9:svn58839-61.fc38.noarch 2061/3380 Installing : texlive-nkarta-9:svn16437.0.2-61.fc38.noarch 2062/3380 Installing : texlive-noconflict-9:svn30140.1.0-61.fc38.noar 2063/3380 Installing : texlive-nolbreaks-9:svn26786.1.2-61.fc38.noarc 2064/3380 Installing : texlive-nopageno-9:svn18128.0-61.fc38.noarch 2065/3380 Installing : texlive-normalcolor-9:svn40125-61.fc38.noarch 2066/3380 Installing : texlive-notespages-9:svn41906-61.fc38.noarch 2067/3380 Installing : texlive-notestex-9:svn45396-61.fc38.noarch 2068/3380 Installing : texlive-notex-bst-9:svn42361-61.fc38.noarch 2069/3380 Installing : texlive-noto-emoji-9:svn53968-61.fc38.noarch 2070/3380 Installing : texlive-noto-9:svn54512-61.fc38.noarch 2071/3380 Installing : texlive-notomath-9:svn58726-61.fc38.noarch 2072/3380 Installing : texlive-novel-9:svn54512-61.fc38.noarch 2073/3380 Installing : texlive-nth-9:svn54252-61.fc38.noarch 2074/3380 Installing : texlive-nucleardata-9:svn47307-61.fc38.noarch 2075/3380 Installing : texlive-numname-9:svn18130.0-61.fc38.noarch 2076/3380 Installing : texlive-nwejm-9:svn54392-61.fc38.noarch 2077/3380 Installing : texlive-obnov-9:svn33355.0.11-61.fc38.noarch 2078/3380 Installing : texlive-ocherokee-9:svn25689.0-61.fc38.noarch 2079/3380 Installing : texlive-ocr-b-outline-9:svn20969.0-61.fc38.noa 2080/3380 Installing : texlive-ocr-b-9:svn20852.0-61.fc38.noarch 2081/3380 Installing : texlive-octavo-9:svn15878.1.2-61.fc38.noarch 2082/3380 Installing : texlive-ogham-9:svn24876.0-61.fc38.noarch 2083/3380 Installing : texlive-oinuit-9:svn28668.0-61.fc38.noarch 2084/3380 Installing : texlive-old-arrows-9:svn42872-61.fc38.noarch 2085/3380 Installing : texlive-oldlatin-9:svn17932.1.00-61.fc38.noarc 2086/3380 Installing : texlive-oldstandard-9:svn57213-61.fc38.noarch 2087/3380 Installing : texlive-oldstyle-9:svn15878.0.2-61.fc38.noarch 2088/3380 Installing : texlive-opteng-9:svn27331.1.0-61.fc38.noarch 2089/3380 Installing : texlive-optidef-9:svn50941-61.fc38.noarch 2090/3380 Installing : texlive-options-9:svn39030-61.fc38.noarch 2091/3380 Installing : texlive-orkhun-9:svn15878.0-61.fc38.noarch 2092/3380 Installing : texlive-oubraces-9:svn21833.0-61.fc38.noarch 2093/3380 Installing : texlive-outline-9:svn18360.0-61.fc38.noarch 2094/3380 Installing : texlive-outliner-9:svn21095.0.94-61.fc38.noarc 2095/3380 Installing : texlive-outlining-9:svn45601-61.fc38.noarch 2096/3380 Installing : texlive-pacioli-9:svn24947.0-61.fc38.noarch 2097/3380 Installing : texlive-padcount-9:svn47621-61.fc38.noarch 2098/3380 Installing : texlive-pagesel-9:svn56105-61.fc38.noarch 2099/3380 Installing : texlive-paper-9:svn34521.1.0l-61.fc38.noarch 2100/3380 Installing : texlive-paracol-9:svn49560-61.fc38.noarch 2101/3380 Installing : texlive-parades-9:svn40042-61.fc38.noarch 2102/3380 Installing : texlive-parallel-9:svn15878.0-61.fc38.noarch 2103/3380 Installing : texlive-parnotes-9:svn51720-61.fc38.noarch 2104/3380 Installing : texlive-parselines-9:svn21475.1.4-61.fc38.noar 2105/3380 Installing : texlive-patchcmd-9:svn41379-61.fc38.noarch 2106/3380 Installing : texlive-path-9:svn22045.3.05-61.fc38.noarch 2107/3380 Installing : texlive-pawpict-9:svn21629.1.0-61.fc38.noarch 2108/3380 Installing : texlive-pb-diagram-9:svn15878.5.0-61.fc38.noar 2109/3380 Installing : texlive-pdf14-9:svn17583.0.1-61.fc38.noarch 2110/3380 Installing : texlive-pdfprivacy-9:svn45985-61.fc38.noarch 2111/3380 Installing : texlive-pdfreview-9:svn50100-61.fc38.noarch 2112/3380 Installing : texlive-pdfsync-9:svn20373.0-61.fc38.noarch 2113/3380 Installing : texlive-penrose-9:svn57508-61.fc38.noarch 2114/3380 Installing : texlive-perception-9:svn48861-61.fc38.noarch 2115/3380 Installing : texlive-permute-9:svn15878.0-61.fc38.noarch 2116/3380 Installing : texlive-petri-nets-9:20210325-52.fc38.noarch 2117/3380 Installing : texlive-pgf-spectra-9:svn58467-61.fc38.noarch 2118/3380 Installing : texlive-pgfmorepages-9:svn54770-61.fc38.noarch 2119/3380 Installing : texlive-pgfornament-9:svn55326-61.fc38.noarch 2120/3380 Installing : texlive-phaistos-9:svn18651.1.0-61.fc38.noarch 2121/3380 Installing : texlive-phffullpagefigure-9:svn41857-61.fc38.n 2122/3380 Installing : texlive-phfnote-9:svn41858-61.fc38.noarch 2123/3380 Installing : texlive-phfparen-9:svn41859-61.fc38.noarch 2124/3380 Installing : texlive-phfqit-9:svn45084-61.fc38.noarch 2125/3380 Installing : texlive-phfquotetext-9:svn41869-61.fc38.noarch 2126/3380 Installing : texlive-phfsvnwatermark-9:svn41870-61.fc38.noa 2127/3380 Installing : texlive-phfthm-9:svn41871-61.fc38.noarch 2128/3380 Installing : texlive-phonenumbers-9:svn51933-61.fc38.noarch 2129/3380 Installing : texlive-phonetic-9:svn56468-61.fc38.noarch 2130/3380 Installing : texlive-photo-9:svn18739.0-61.fc38.noarch 2131/3380 Installing : texlive-physconst-9:svn58727-61.fc38.noarch 2132/3380 Installing : texlive-physunits-9:svn58728-61.fc38.noarch 2133/3380 Installing : texlive-pigpen-9:svn15878.0.2-61.fc38.noarch 2134/3380 Installing : texlive-pinoutikz-9:svn55966-61.fc38.noarch 2135/3380 Installing : texlive-pittetd-9:svn15878.1.618-61.fc38.noarc 2136/3380 Installing : texlive-pixelart-9:svn57508-61.fc38.noarch 2137/3380 Installing : texlive-pl-9:svn58661-61.fc38.noarch 2138/3380 Installing : texlive-polski-9:svn44213-61.fc38.noarch 2139/3380 Installing : texlive-plainpkg-9:svn27765.0.4a-61.fc38.noarc 2140/3380 Installing : texlive-dowith-9:svn38860-61.fc38.noarch 2141/3380 Installing : texlive-langcode-9:svn27764.0.2-61.fc38.noarch 2142/3380 Installing : texlive-morehype-9:svn38815-61.fc38.noarch 2143/3380 Installing : texlive-plainyr-9:svn52783-61.fc38.noarch 2144/3380 Installing : texlive-plex-otf-9:svn47562-61.fc38.noarch 2145/3380 Installing : texlive-plex-9:svn54512-61.fc38.noarch 2146/3380 Installing : texlive-plimsoll-9:svn56605-61.fc38.noarch 2147/3380 Installing : texlive-plweb-9:svn15878.3.0-61.fc38.noarch 2148/3380 Installing : texlive-pm-isomath-9:svn59077-61.fc38.noarch 2149/3380 Installing : texlive-pmboxdraw-9:svn53046-61.fc38.noarch 2150/3380 Installing : texlive-pmgraph-9:svn15878.1.0-61.fc38.noarch 2151/3380 Installing : texlive-pnas2009-9:svn16287.1.0-61.fc38.noarch 2152/3380 Installing : texlive-poiretone-9:svn59125-61.fc38.noarch 2153/3380 Installing : texlive-polexpr-9:svn59088-61.fc38.noarch 2154/3380 Installing : texlive-poltawski-9:svn20075.1.101-61.fc38.noa 2155/3380 Installing : texlive-postage-9:svn55920-61.fc38.noarch 2156/3380 Installing : texlive-poster-mac-9:svn18305.1.1-61.fc38.noar 2157/3380 Installing : texlive-powerdot-tuliplab-9:svn47963-61.fc38.n 2158/3380 Installing : texlive-practicalreports-9:svn52312-61.fc38.no 2159/3380 Installing : texlive-prettyref-9:svn15878.3.0-61.fc38.noarc 2160/3380 Installing : texlive-prftree-9:svn54080-61.fc38.noarch 2161/3380 Installing : texlive-printlen-9:svn19847.1.1a-61.fc38.noarc 2162/3380 Installing : texlive-procIAGssymp-9:svn51771-61.fc38.noarch 2163/3380 Installing : texlive-prodint-9:svn21893.0-61.fc38.noarch 2164/3380 Installing : texlive-program-9:svn44214-61.fc38.noarch 2165/3380 Installing : texlive-progress-9:svn19519.1.10-61.fc38.noarc 2166/3380 Installing : texlive-proof-at-the-end-9:svn51194-61.fc38.no 2167/3380 Installing : texlive-prooftrees-9:svn52221-61.fc38.noarch 2168/3380 Installing : texlive-protex-9:svn41633-61.fc38.noarch 2169/3380 Installing : texlive-protocol-9:svn25562.1.13-61.fc38.noarc 2170/3380 Installing : texlive-pspicture-9:svn15878.0-61.fc38.noarch 2171/3380 Installing : texlive-pst-math-9:svn49425-61.fc38.noarch 2172/3380 Installing : texlive-pstring-9:svn42857-61.fc38.noarch 2173/3380 Installing : texlive-ptolemaicastronomy-9:svn50810-61.fc38. 2174/3380 Installing : texlive-punk-latex-9:svn27389.1.1-61.fc38.noar 2175/3380 Installing : texlive-punk-9:svn27388.0-61.fc38.noarch 2176/3380 Installing : texlive-punknova-9:svn24649.1.003-61.fc38.noar 2177/3380 Installing : texlive-pxpgfmark-9:svn30212.0.2-61.fc38.noarc 2178/3380 Installing : texlive-python-9:svn27064.0.21-61.fc38.noarch 2179/3380 Installing : texlive-pythonhighlight-9:svn43191-61.fc38.noa 2180/3380 Installing : texlive-qsharp-9:svn49722-61.fc38.noarch 2181/3380 Installing : texlive-qualitype-9:svn54512-61.fc38.noarch 2182/3380 Installing : texlive-quantikz-9:svn54911-61.fc38.noarch 2183/3380 Installing : texlive-quantumarticle-9:svn56862-61.fc38.noar 2184/3380 Installing : texlive-quicktype-9:svn42183-61.fc38.noarch 2185/3380 Installing : texlive-quotmark-9:svn15878.1.0-61.fc38.noarch 2186/3380 Installing : texlive-rank-2-roots-9:svn48515-61.fc38.noarch 2187/3380 Installing : texlive-rcs-multi-9:svn56291-61.fc38.noarch 2188/3380 Installing : texlive-rcs-9:svn15878.0-61.fc38.noarch 2189/3380 Installing : texlive-readablecv-9:svn57433-61.fc38.noarch 2190/3380 Installing : texlive-recipe-9:svn54080-61.fc38.noarch 2191/3380 Installing : texlive-rectopma-9:svn19980.0-61.fc38.noarch 2192/3380 Installing : texlive-recycle-9:svn15878.0-61.fc38.noarch 2193/3380 Installing : texlive-refcheck-9:svn29128.1.9.1-61.fc38.noar 2194/3380 Installing : texlive-refman-9:svn15878.2.0e-61.fc38.noarch 2195/3380 Installing : texlive-regcount-9:svn19979.1.0-61.fc38.noarch 2196/3380 Installing : texlive-relenc-9:svn22050.0-61.fc38.noarch 2197/3380 Installing : texlive-rest-api-9:svn57068-61.fc38.noarch 2198/3380 Installing : texlive-returntogrid-9:svn48485-61.fc38.noarch 2199/3380 Installing : texlive-revquantum-9:svn43505-61.fc38.noarch 2200/3380 Installing : texlive-revtex4-1-9:svn56590-61.fc38.noarch 2201/3380 Installing : texlive-rgltxdoc-9:svn53858-61.fc38.noarch 2202/3380 Installing : texlive-rlepsf-9:svn19082.0-61.fc38.noarch 2203/3380 Installing : texlive-robustindex-9:svn49877-61.fc38.noarch 2204/3380 Installing : texlive-romanneg-9:svn20087.0-61.fc38.noarch 2205/3380 Installing : texlive-rosario-9:svn51688-61.fc38.noarch 2206/3380 Installing : texlive-roundbox-9:svn29675.0.2-61.fc38.noarch 2207/3380 Installing : texlive-rsfs-9:svn15878.0-61.fc38.noarch 2208/3380 Installing : texlive-rulerbox-9:svn50984-61.fc38.noarch 2209/3380 Installing : texlive-rulercompass-9:svn32392.1-61.fc38.noar 2210/3380 Installing : texlive-runcode-9:svn58908-61.fc38.noarch 2211/3380 Installing : texlive-rutitlepage-9:svn51073-61.fc38.noarch 2212/3380 Installing : texlive-rvwrite-9:svn19614.1.2-61.fc38.noarch 2213/3380 Installing : texlive-ryersonsgsthesis-9:svn50119-61.fc38.no 2214/3380 Installing : texlive-sanitize-umlaut-9:svn53292-61.fc38.noa 2215/3380 Installing : texlive-sansmath-9:svn17997.1.1-61.fc38.noarch 2216/3380 Installing : texlive-sansmathfonts-9:svn51356-61.fc38.noarc 2217/3380 Installing : texlive-sauter-9:svn13293.2.4-61.fc38.noarch 2218/3380 Installing : texlive-sauterfonts-9:svn15878.0-61.fc38.noarc 2219/3380 Installing : texlive-savefnmark-9:svn15878.1.0-61.fc38.noar 2220/3380 Installing : texlive-savesym-9:svn31565.1.2-61.fc38.noarch 2221/3380 Installing : texlive-scale-9:svn15878.1.1.2-61.fc38.noarch 2222/3380 Installing : texlive-scalerel-9:svn42809-61.fc38.noarch 2223/3380 Installing : texlive-scholax-9:svn58733-61.fc38.noarch 2224/3380 Installing : texlive-schulschriften-9:svn35730.4-61.fc38.no 2225/3380 Installing : texlive-scientific-thesis-cover-9:svn47923-61. 2226/3380 Installing : texlive-scratch-9:svn50073-61.fc38.noarch 2227/3380 Installing : texlive-scrlttr2copy-9:svn56733-61.fc38.noarch 2228/3380 Installing : texlive-scsnowman-9:svn54080-61.fc38.noarch 2229/3380 Installing : texlive-sdaps-9:svn54678-61.fc38.noarch 2230/3380 Installing : texlive-secdot-9:svn20208.1.0-61.fc38.noarch 2231/3380 Installing : texlive-secnum-9:svn53657-61.fc38.noarch 2232/3380 Installing : texlive-section-9:svn20180.0-61.fc38.noarch 2233/3380 Installing : texlive-sectionbreak-9:svn50339-61.fc38.noarch 2234/3380 Installing : texlive-selectp-9:svn20185.1.0-61.fc38.noarch 2235/3380 Installing : texlive-semantic-markup-9:svn53607-61.fc38.noa 2236/3380 Installing : texlive-semaphor-9:svn18651.0-61.fc38.noarch 2237/3380 Installing : texlive-sepfootnotes-9:svn41732-61.fc38.noarch 2238/3380 Installing : texlive-sepnum-9:svn20186.2.0-61.fc38.noarch 2239/3380 Installing : texlive-seqsplit-9:svn15878.0.1-61.fc38.noarch 2240/3380 Installing : texlive-sesstime-9:svn49750-61.fc38.noarch 2241/3380 Installing : texlive-seuthesis-9:svn33042.2.1.2-61.fc38.noa 2242/3380 Installing : texlive-seuthesix-9:svn40088-61.fc38.noarch 2243/3380 Installing : texlive-sf298-9:svn41653-61.fc38.noarch 2244/3380 Installing : texlive-sfmath-9:svn15878.0.8-61.fc38.noarch 2245/3380 Installing : texlive-shapepar-9:svn30708.2.2-61.fc38.noarch 2246/3380 Installing : texlive-shobhika-9:svn50555-61.fc38.noarch 2247/3380 Installing : texlive-shortmathj-9:svn54407-61.fc38.noarch 2248/3380 Installing : texlive-show2e-9:svn15878.1.0-61.fc38.noarch 2249/3380 Installing : texlive-showcharinbox-9:svn29803.0.1-61.fc38.n 2250/3380 Installing : texlive-showdim-9:svn28918.1.2-61.fc38.noarch 2251/3380 Installing : texlive-showlabels-9:svn41322-61.fc38.noarch 2252/3380 Installing : texlive-showtags-9:svn20336.1.05-61.fc38.noarc 2253/3380 Installing : texlive-shtthesis-9:svn57740-61.fc38.noarch 2254/3380 Installing : texlive-shuffle-9:svn15878.1.0-61.fc38.noarch 2255/3380 Installing : texlive-signchart-9:svn39707-61.fc38.noarch 2256/3380 Installing : texlive-simplecv-9:svn35537.1.6a-61.fc38.noarc 2257/3380 Installing : texlive-simpleinvoice-9:svn45673-61.fc38.noarc 2258/3380 Installing : texlive-simpleoptics-9:svn54080-61.fc38.noarch 2259/3380 Installing : texlive-simpler-wick-9:svn39074-61.fc38.noarch 2260/3380 Installing : texlive-simplewick-9:svn15878.1.2a-61.fc38.noa 2261/3380 Installing : texlive-sitem-9:svn22136.1.0-61.fc38.noarch 2262/3380 Installing : texlive-skull-9:svn51907-61.fc38.noarch 2263/3380 Installing : texlive-smalltableof-9:svn20333.0-61.fc38.noar 2264/3380 Installing : texlive-smartref-9:svn20311.1.9-61.fc38.noarch 2265/3380 Installing : texlive-smartunits-9:svn39592-61.fc38.noarch 2266/3380 Installing : texlive-snapshot-9:svn56735-61.fc38.noarch 2267/3380 Installing : texlive-sort-by-letters-9:svn27128.0-61.fc38.n 2268/3380 Installing : texlive-spacingtricks-9:svn56840-61.fc38.noarc 2269/3380 Installing : texlive-spalign-9:svn42225-61.fc38.noarch 2270/3380 Installing : texlive-spark-otf-9:svn51005-61.fc38.noarch 2271/3380 Installing : texlive-spectral-9:svn57296-61.fc38.noarch 2272/3380 Installing : texlive-spectralsequences-9:svn50072-61.fc38.n 2273/3380 Installing : texlive-sphack-9:svn20842.0-61.fc38.noarch 2274/3380 Installing : texlive-splitbib-9:svn15878.1.17-61.fc38.noarc 2275/3380 Installing : texlive-spverbatim-9:svn15878.v1.0-61.fc38.noa 2276/3380 Installing : texlive-srbook-mem-9:svn45818-61.fc38.noarch 2277/3380 Installing : texlive-srdp-mathematik-9:svn58734-61.fc38.noa 2278/3380 Installing : texlive-sslides-9:svn32293.0-61.fc38.noarch 2279/3380 Installing : texlive-stack-9:svn15878.1.00-61.fc38.noarch 2280/3380 Installing : texlive-stanli-9:svn54512-61.fc38.noarch 2281/3380 Installing : texlive-starfont-9:svn19982.1.2-61.fc38.noarch 2282/3380 Installing : texlive-statistics-9:svn52212-61.fc38.noarch 2283/3380 Installing : texlive-statmath-9:svn46925-61.fc38.noarch 2284/3380 Installing : texlive-staves-9:svn15878.0-61.fc38.noarch 2285/3380 Installing : texlive-step-9:svn57307-61.fc38.noarch 2286/3380 Installing : texlive-stepgreek-9:svn57074-61.fc38.noarch 2287/3380 Installing : texlive-stickstoo-9:svn57193-61.fc38.noarch 2288/3380 Installing : texlive-stix2-otf-9:svn58735-61.fc38.noarch 2289/3380 Installing : texlive-stix2-type1-9:svn57448-61.fc38.noarch 2290/3380 Installing : texlive-structmech-9:svn58985-61.fc38.noarch 2291/3380 Installing : texlive-studenthandouts-9:svn43516-61.fc38.noa 2292/3380 Installing : texlive-subdocs-9:svn51480-61.fc38.noarch 2293/3380 Installing : texlive-subeqn-9:svn15878.2.0b-61.fc38.noarch 2294/3380 Installing : texlive-subeqnarray-9:svn15878.2.1c-61.fc38.no 2295/3380 Installing : texlive-subsupscripts-9:svn16080.1.0-61.fc38.n 2296/3380 Installing : texlive-subtext-9:svn51273-61.fc38.noarch 2297/3380 Installing : texlive-sugconf-9:svn58752-61.fc38.noarch 2298/3380 Installing : texlive-susy-9:svn19440.0-61.fc38.noarch 2299/3380 Installing : texlive-svgcolor-9:svn15878.1.0-61.fc38.noarch 2300/3380 Installing : texlive-svn-9:svn15878.43-61.fc38.noarch 2301/3380 Installing : texlive-svrsymbols-9:svn50019-61.fc38.noarch 2302/3380 Installing : texlive-syntax-9:svn15878.0-61.fc38.noarch 2303/3380 Installing : texlive-syntaxdi-9:svn56685-61.fc38.noarch 2304/3380 Installing : texlive-table-fct-9:svn41849-61.fc38.noarch 2305/3380 Installing : texlive-tabls-9:svn17255.3.5-61.fc38.noarch 2306/3380 Installing : texlive-tablvar-9:svn51543-61.fc38.noarch 2307/3380 Installing : texlive-tagpdf-9:svn57954-61.fc38.noarch 2308/3380 Installing : texlive-tamefloats-9:svn27345.v0.42-61.fc38.no 2309/3380 Installing : texlive-tapir-9:svn20484.0.2-61.fc38.noarch 2310/3380 Installing : texlive-technics-9:svn29349.1.0-61.fc38.noarch 2311/3380 Installing : texlive-technion-thesis-template-9:svn49889-61 2312/3380 Installing : texlive-ted-9:svn15878.1.06-61.fc38.noarch 2313/3380 Installing : texlive-tempora-9:svn39596-61.fc38.noarch 2314/3380 Installing : texlive-tensind-9:svn51481-61.fc38.noarch 2315/3380 Installing : texlive-tensor-9:svn15878.2.1-61.fc38.noarch 2316/3380 Installing : texlive-termlist-9:svn18923.1.1-61.fc38.noarch 2317/3380 Installing : texlive-testhyphens-9:svn38928-61.fc38.noarch 2318/3380 Installing : texlive-testidx-9:svn52213-61.fc38.noarch 2319/3380 Installing : texlive-tex-ewd-9:svn15878.0-61.fc38.noarch 2320/3380 Installing : texlive-tex-gyre-math-9:svn41264-61.fc38.noarc 2321/3380 Installing : texlive-tex-locale-9:svn48500-61.fc38.noarch 2322/3380 Installing : texlive-texilikechaps-9:svn28553.1.0a-61.fc38. 2323/3380 Installing : texlive-texilikecover-9:svn15878.0.1-61.fc38.n 2324/3380 Installing : texlive-texlive-en-9:20210325-52.fc38.noarch 2325/3380 Installing : texlive-texlive-msg-translations-9:svn59096-61 2326/3380 Installing : texlive-textfit-9:svn20591.5-61.fc38.noarch 2327/3380 Installing : texlive-textmerg-9:svn20677.2.01-61.fc38.noarc 2328/3380 Installing : texlive-textualicomma-9:svn48474-61.fc38.noarc 2329/3380 Installing : texlive-texvc-9:svn46844-61.fc38.noarch 2330/3380 Installing : texlive-tfrupee-9:svn20770.1.02-61.fc38.noarch 2331/3380 Installing : texlive-theanodidot-9:svn54512-61.fc38.noarch 2332/3380 Installing : texlive-theanomodern-9:svn54512-61.fc38.noarch 2333/3380 Installing : texlive-theanooldstyle-9:svn54512-61.fc38.noar 2334/3380 Installing : texlive-theoremref-9:svn54512-61.fc38.noarch 2335/3380 Installing : texlive-thesis-gwu-9:svn54287-61.fc38.noarch 2336/3380 Installing : texlive-thesis-qom-9:svn49124-61.fc38.noarch 2337/3380 Installing : texlive-thinsp-9:svn39669-61.fc38.noarch 2338/3380 Installing : texlive-thuaslogos-9:svn51347-61.fc38.noarch 2339/3380 Installing : texlive-thucoursework-9:svn56435-61.fc38.noarc 2340/3380 Installing : texlive-tikz-bayesnet-9:svn38295.0.1-61.fc38.n 2341/3380 Installing : texlive-tikz-bbox-9:svn57444-61.fc38.noarch 2342/3380 Installing : texlive-tikz-feynhand-9:svn51915-61.fc38.noarc 2343/3380 Installing : texlive-tikz-feynman-9:svn56615-61.fc38.noarch 2344/3380 Installing : texlive-tikz-imagelabels-9:svn51490-61.fc38.no 2345/3380 Installing : texlive-tikz-karnaugh-9:svn47026-61.fc38.noarc 2346/3380 Installing : texlive-tikz-ladder-9:svn46555-61.fc38.noarch 2347/3380 Installing : texlive-tikz-layers-9:svn46660-61.fc38.noarch 2348/3380 Installing : texlive-tikz-nef-9:svn55920-61.fc38.noarch 2349/3380 Installing : texlive-tikz-optics-9:svn43466-61.fc38.noarch 2350/3380 Installing : texlive-tikz-page-9:svn42039-61.fc38.noarch 2351/3380 Installing : texlive-tikz-relay-9:svn51355-61.fc38.noarch 2352/3380 Installing : texlive-tikz-sfc-9:svn49424-61.fc38.noarch 2353/3380 Installing : texlive-tikz-trackschematic-9:svn57300-61.fc38 2354/3380 Installing : texlive-tikz-truchet-9:svn50020-61.fc38.noarch 2355/3380 Installing : texlive-tikzcodeblocks-9:svn54758-61.fc38.noar 2356/3380 Installing : texlive-tikzducks-9:svn55713-61.fc38.noarch 2357/3380 Installing : texlive-tikzlings-9:svn58885-61.fc38.noarch 2358/3380 Installing : texlive-tikzmark-9:svn57843-61.fc38.noarch 2359/3380 Installing : texlive-tikzmarmots-9:svn54080-61.fc38.noarch 2360/3380 Installing : texlive-tikzpeople-9:svn43978-61.fc38.noarch 2361/3380 Installing : texlive-tikztosvg-9:20210325-52.fc38.x86_64 2362/3380 Installing : texlive-timbreicmc-9:svn49740-61.fc38.noarch 2363/3380 Installing : texlive-times-9:svn35058.0-61.fc38.noarch 2364/3380 Installing : texlive-tinos-9:svn42882-61.fc38.noarch 2365/3380 Installing : texlive-titlefoot-9:svn15878.0-61.fc38.noarch 2366/3380 Installing : texlive-titlepic-9:svn43497-61.fc38.noarch 2367/3380 Installing : texlive-titleref-9:svn18729.3.1-61.fc38.noarch 2368/3380 Installing : texlive-tkz-doc-9:svn55265-61.fc38.noarch 2369/3380 Installing : texlive-tlc-article-9:svn51431-61.fc38.noarch 2370/3380 Installing : texlive-tocdata-9:svn55852-61.fc38.noarch 2371/3380 Installing : texlive-tokcycle-9:svn58254-61.fc38.noarch 2372/3380 Installing : texlive-topfloat-9:svn19084.0-61.fc38.noarch 2373/3380 Installing : texlive-topletter-9:svn48182-61.fc38.noarch 2374/3380 Installing : texlive-tree-dvips-9:svn21751.91-61.fc38.noarc 2375/3380 Installing : texlive-linguex-9:svn30815.4.3-61.fc38.noarch 2376/3380 Installing : texlive-trfsigns-9:svn15878.1.01-61.fc38.noarc 2377/3380 Installing : texlive-trsym-9:svn18732.1.0-61.fc38.noarch 2378/3380 Installing : texlive-tsemlines-9:svn23440.1.0-61.fc38.noarc 2379/3380 Installing : texlive-ttfutils-9:20210325-52.fc38.x86_64 2380/3380 Installing : texlive-tugboat-plain-9:svn51373-61.fc38.noarc 2381/3380 Installing : texlive-turabian-9:svn36298.0.1.0-61.fc38.noar 2382/3380 Installing : texlive-twemoji-colr-9:svn55675-61.fc38.noarch 2383/3380 Installing : texlive-twoinone-9:svn17024.0-61.fc38.noarch 2384/3380 Installing : texlive-twoup-9:svn15878.1.3-61.fc38.noarch 2385/3380 Installing : texlive-txuprcal-9:svn43327-61.fc38.noarch 2386/3380 Installing : texlive-typed-checklist-9:svn49731-61.fc38.noa 2387/3380 Installing : texlive-typicons-9:svn37623.2.0.7-61.fc38.noar 2388/3380 Installing : texlive-typoaid-9:svn44238-61.fc38.noarch 2389/3380 Installing : texlive-uafthesis-9:svn57349-61.fc38.noarch 2390/3380 Installing : texlive-ucalgmthesis-9:svn52527-61.fc38.noarch 2391/3380 Installing : texlive-ucbthesis-9:svn51690-61.fc38.noarch 2392/3380 Installing : texlive-ucsmonograph-9:svn52698-61.fc38.noarch 2393/3380 Installing : texlive-ucthesis-9:svn15878.3.2-61.fc38.noarch 2394/3380 Installing : texlive-uhc-9:svn16791.0-61.fc38.noarch 2395/3380 Installing : texlive-uhhassignment-9:svn44026-61.fc38.noarc 2396/3380 Installing : texlive-umbclegislation-9:svn41348-61.fc38.noa 2397/3380 Installing : texlive-umoline-9:svn19085.0-61.fc38.noarch 2398/3380 Installing : texlive-umtypewriter-9:svn18651.001.002-61.fc3 2399/3380 Installing : texlive-unam-thesis-9:svn51207-61.fc38.noarch 2400/3380 Installing : texlive-underlin-9:svn15878.1.01-61.fc38.noarc 2401/3380 Installing : texlive-unifith-9:svn51968-61.fc38.noarch 2402/3380 Installing : texlive-unitn-bimrep-9:svn45581-61.fc38.noarch 2403/3380 Installing : texlive-universa-9:svn51984-61.fc38.noarch 2404/3380 Installing : texlive-univie-ling-9:svn56913-61.fc38.noarch 2405/3380 Installing : texlive-unizgklasa-9:svn51647-61.fc38.noarch 2406/3380 Installing : texlive-uppunctlm-9:svn42334-61.fc38.noarch 2407/3380 Installing : texlive-uptex-base-9:svn56832-61.fc38.noarch 2408/3380 Installing : texlive-urlbst-9:20210325-52.fc38.noarch 2409/3380 Installing : texlive-uspace-9:svn42456-61.fc38.noarch 2410/3380 Installing : texlive-uspatent-9:svn27744.1.0-61.fc38.noarch 2411/3380 Installing : texlive-utexasthesis-9:svn48648-61.fc38.noarch 2412/3380 Installing : texlive-utopia-9:svn15878.0-61.fc38.noarch 2413/3380 Installing : texlive-uwthesis-9:svn15878.6.13-61.fc38.noarc 2414/3380 Installing : texlive-vak-9:svn23431.0-61.fc38.noarch 2415/3380 Installing : texlive-vancouver-9:svn55423-61.fc38.noarch 2416/3380 Installing : texlive-variablelm-9:svn46611-61.fc38.noarch 2417/3380 Installing : texlive-venn-9:svn15878.0-61.fc38.noarch 2418/3380 Installing : texlive-verbdef-9:svn17177.0.2-61.fc38.noarch 2419/3380 Installing : texlive-verse-9:svn34017.2.4b-61.fc38.noarch 2420/3380 Installing : texlive-musuos-9:svn24857.1.1d-61.fc38.noarch 2421/3380 Installing : texlive-version-9:svn21920.2.0-61.fc38.noarch 2422/3380 Installing : texlive-versonotes-9:svn55777-61.fc38.noarch 2423/3380 Installing : texlive-vruler-9:svn21598.2.3-61.fc38.noarch 2424/3380 Installing : texlive-vtable-9:svn51126-61.fc38.noarch 2425/3380 Installing : texlive-wadalab-9:svn42428-61.fc38.noarch 2426/3380 Installing : texlive-wallcalendar-9:svn45568-61.fc38.noarch 2427/3380 Installing : texlive-widows-and-orphans-9:svn58172-61.fc38. 2428/3380 Installing : texlive-willowtreebook-9:svn54866-61.fc38.noar 2429/3380 Installing : texlive-windycity-9:svn59067-61.fc38.noarch 2430/3380 Installing : texlive-wordcount-9:20210325-52.fc38.noarch 2431/3380 Installing : texlive-worksheet-9:svn48423-61.fc38.noarch 2432/3380 Installing : texlive-wsuipa-9:svn25469.0-61.fc38.noarch 2433/3380 Installing : texlive-xassoccnt-9:svn55876-61.fc38.noarch 2434/3380 Installing : texlive-xbmks-9:svn53448-61.fc38.noarch 2435/3380 Installing : texlive-xcntperchap-9:svn54080-61.fc38.noarch 2436/3380 Installing : texlive-xcolor-material-9:svn42289-61.fc38.noa 2437/3380 Installing : texlive-xcpdftips-9:svn50449-61.fc38.noarch 2438/3380 Installing : texlive-xduthesis-9:svn39694-61.fc38.noarch 2439/3380 Installing : texlive-xdvi-9:20210325-52.fc38.x86_64 2440/3380 Installing : texlive-xellipsis-9:svn47546-61.fc38.noarch 2441/3380 Installing : texlive-xetexconfig-9:svn45845-61.fc38.noarch 2442/3380 Installing : texlive-xits-9:svn55730-61.fc38.noarch 2443/3380 Installing : texlive-xkcdcolors-9:svn54512-61.fc38.noarch 2444/3380 Installing : texlive-xltabular-9:svn56855-61.fc38.noarch 2445/3380 Installing : texlive-xnewcommand-9:svn15878.1.2-61.fc38.noa 2446/3380 Installing : texlive-xoptarg-9:svn15878.1.0-61.fc38.noarch 2447/3380 Installing : texlive-xsavebox-9:svn54097-61.fc38.noarch 2448/3380 Installing : texlive-xurl-9:svn57265-61.fc38.noarch 2449/3380 Installing : texlive-yafoot-9:svn48568-61.fc38.noarch 2450/3380 Installing : texlive-yaletter-9:svn42830-61.fc38.noarch 2451/3380 Installing : texlive-yazd-thesis-9:svn51725-61.fc38.noarch 2452/3380 Installing : texlive-ycbook-9:svn46201-61.fc38.noarch 2453/3380 Installing : texlive-yfonts-t1-9:svn36013-61.fc38.noarch 2454/3380 Installing : texlive-yinit-otf-9:svn40207-61.fc38.noarch 2455/3380 Installing : texlive-youngtab-9:svn56500-61.fc38.noarch 2456/3380 Installing : texlive-yquant-9:svn58712-61.fc38.noarch 2457/3380 Installing : texlive-zapfchan-9:svn31835.0-61.fc38.noarch 2458/3380 Installing : texlive-zebra-goodies-9:svn51554-61.fc38.noarc 2459/3380 Installing : texlive-zed-csp-9:svn17258.0-61.fc38.noarch 2460/3380 Installing : texlive-zhmetrics-9:svn22207.r206-61.fc38.noar 2461/3380 Installing : texlive-zhmetrics-uptex-9:svn40728-61.fc38.noa 2462/3380 Installing : texlive-ziffer-9:svn32279.2.1-61.fc38.noarch 2463/3380 Installing : texlive-zootaxa-bst-9:svn50619-61.fc38.noarch 2464/3380 Installing : texlive-zwgetfdate-9:svn15878.0-61.fc38.noarch 2465/3380 Installing : fdk-aac-free-2.0.0-9.fc37.x86_64 2466/3380 Installing : emacs-filesystem-1:28.1-3.fc37.noarch 2467/3380 Installing : desktop-file-utils-0.26-7.fc37.x86_64 2468/3380 Installing : xdg-utils-1.1.3-12.fc37.noarch 2469/3380 Installing : dbus-common-1:1.14.4-1.fc38.noarch 2470/3380 Running scriptlet: dbus-common-1:1.14.4-1.fc38.noarch 2470/3380 Running scriptlet: dbus-broker-32-1.fc37.x86_64 2471/3380 Installing : dbus-broker-32-1.fc37.x86_64 2471/3380 Running scriptlet: dbus-broker-32-1.fc37.x86_64 2471/3380 Installing : dbus-1:1.14.4-1.fc38.x86_64 2472/3380 Installing : at-spi2-core-2.46.0-2.fc38.x86_64 2473/3380 Installing : atk-2.46.0-2.fc38.x86_64 2474/3380 Installing : at-spi2-atk-2.46.0-2.fc38.x86_64 2475/3380 Installing : gupnp-1.6.3-1.fc38.x86_64 2476/3380 Installing : gupnp-igd-1.2.0-7.fc38.x86_64 2477/3380 Installing : libnice-0.1.19-3.fc38.x86_64 2478/3380 Installing : xdg-dbus-proxy-0.1.3-2.fc37.x86_64 2479/3380 Installing : cdparanoia-libs-10.2-40.fc37.x86_64 2480/3380 Installing : bubblewrap-0.5.0-3.fc37.x86_64 2481/3380 Installing : alsa-lib-1.2.8-2.fc38.x86_64 2482/3380 Installing : adwaita-cursor-theme-43-1.fc38.noarch 2483/3380 Installing : adwaita-icon-theme-43-1.fc38.noarch 2484/3380 Installing : gtk3-3.24.35-2.fc38.x86_64 2485/3380 Installing : adobe-mappings-pdf-20190401-2.fc37.noarch 2486/3380 Installing : libgs-9.56.1-5.fc38.x86_64 2487/3380 Installing : ghostscript-tools-fonts-9.56.1-5.fc38.x86_64 2488/3380 Installing : ghostscript-tools-printing-9.56.1-5.fc38.x86_6 2489/3380 Installing : ghostscript-9.56.1-5.fc38.x86_64 2490/3380 Installing : texlive-thumbpdf-9:20210325-52.fc38.noarch 2491/3380 Installing : texlive-l3packages-9:svn59118-61.fc38.noarch 2492/3380 Installing : texlive-algorithms-9:svn42428-61.fc38.noarch 2493/3380 Installing : texlive-bera-9:svn20031.0-61.fc38.noarch 2494/3380 Installing : texlive-cm-super-9:svn15878.0-61.fc38.noarch 2495/3380 Installing : texlive-fontspec-9:svn56594-61.fc38.noarch 2496/3380 Installing : texlive-xunicode-9:svn30466.0.981-61.fc38.noar 2497/3380 Installing : texlive-tipa-9:svn29349.1.3-61.fc38.noarch 2498/3380 Installing : texlive-xifthen-9:svn38929-61.fc38.noarch 2499/3380 Installing : texlive-latex-9:20210325-52.fc38.noarch 2500/3380 Installing : texlive-currfile-9:svn56478-61.fc38.noarch 2501/3380 Installing : texlive-lualatex-math-9:svn56541-61.fc38.noarc 2502/3380 Installing : texlive-unicode-math-9:svn56594-61.fc38.noarch 2503/3380 Installing : texlive-filehook-9:svn56479-61.fc38.noarch 2504/3380 Installing : texlive-pgf-9:svn57240-61.fc38.noarch 2505/3380 Installing : texlive-ms-9:svn57473-61.fc38.noarch 2506/3380 Installing : texlive-koma-script-9:svn58585-61.fc38.noarch 2507/3380 Installing : texlive-polyglossia-9:svn58869-61.fc38.noarch 2508/3380 Installing : texlive-bidi-9:svn55193-61.fc38.noarch 2509/3380 Installing : texlive-showexpl-9:svn57414-61.fc38.noarch 2510/3380 Installing : texlive-listings-9:svn55265-61.fc38.noarch 2511/3380 Installing : texlive-oberdiek-9:20210325-52.fc38.noarch 2512/3380 Installing : texlive-fancyvrb-9:svn57488-61.fc38.noarch 2513/3380 Installing : texlive-l3kernel-9:svn59118-61.fc38.noarch 2514/3380 Installing : texlive-xpatch-9:svn54563-61.fc38.noarch 2515/3380 Installing : texlive-biblatex-9:svn63878-61.fc38.noarch 2516/3380 Installing : texlive-pst-3d-9:svn17257.1.10-61.fc38.noarch 2517/3380 Installing : texlive-pst-coil-9:svn37377.1.07-61.fc38.noarc 2518/3380 Installing : texlive-pst-eps-9:svn15878.1.0-61.fc38.noarch 2519/3380 Installing : texlive-pst-fill-9:svn15878.1.01-61.fc38.noarc 2520/3380 Installing : texlive-pst-grad-9:svn15878.1.06-61.fc38.noarc 2521/3380 Installing : texlive-pst-node-9:svn54687-61.fc38.noarch 2522/3380 Installing : texlive-pst-ovl-9:svn54963-61.fc38.noarch 2523/3380 Installing : texlive-pst-plot-9:svn54080-61.fc38.noarch 2524/3380 Installing : texlive-pst-text-9:svn49542-61.fc38.noarch 2525/3380 Installing : texlive-pst-tools-9:svn54518-61.fc38.noarch 2526/3380 Installing : texlive-pst-tree-9:svn43272-61.fc38.noarch 2527/3380 Installing : texlive-pstricks-add-9:svn53763-61.fc38.noarch 2528/3380 Installing : texlive-pstricks-9:svn58371-61.fc38.noarch 2529/3380 Installing : texlive-amscls-9:svn55378-61.fc38.noarch 2530/3380 Installing : texlive-pgfopts-9:svn56615-61.fc38.noarch 2531/3380 Installing : texlive-adjustbox-9:svn56291-61.fc38.noarch 2532/3380 Installing : texlive-tcolorbox-9:svn56610-61.fc38.noarch 2533/3380 Installing : texlive-siunitx-9:svn58909-61.fc38.noarch 2534/3380 Installing : texlive-datatool-9:svn52663-61.fc38.noarch 2535/3380 Installing : texlive-glossaries-9:20210325-52.fc38.noarch 2536/3380 Installing : texlive-newtx-9:svn58748-61.fc38.noarch 2537/3380 Installing : texlive-numprint-9:svn27498.1.39-61.fc38.noarc 2538/3380 Installing : texlive-units-9:svn42428-61.fc38.noarch 2539/3380 Installing : texlive-pdfpages-9:svn58212-61.fc38.noarch 2540/3380 Installing : texlive-forloop-9:svn15878.3.0-61.fc38.noarch 2541/3380 Installing : texlive-nfssext-cfr-9:svn43640-61.fc38.noarch 2542/3380 Installing : texlive-jknapltx-9:svn19440.0-61.fc38.noarch 2543/3380 Installing : texlive-slantsc-9:svn25007.2.11-61.fc38.noarch 2544/3380 Installing : texlive-libertine-9:svn54583-61.fc38.noarch 2545/3380 Installing : texlive-ntheorem-9:svn27609.1.33-61.fc38.noarc 2546/3380 Installing : texlive-pbox-9:svn24807.1.2-61.fc38.noarch 2547/3380 Installing : texlive-imakeidx-9:svn42287-61.fc38.noarch 2548/3380 Installing : texlive-chemgreek-9:svn53437-61.fc38.noarch 2549/3380 Installing : texlive-mhchem-9:svn52662-61.fc38.noarch 2550/3380 Installing : texlive-morewrites-9:svn49531-61.fc38.noarch 2551/3380 Installing : texlive-datetime2-9:svn58590-61.fc38.noarch 2552/3380 Installing : texlive-cjk-9:svn36951.4.8.4-61.fc38.noarch 2553/3380 Installing : texlive-fmtcount-9:svn53912-61.fc38.noarch 2554/3380 Installing : texlive-datetime-9:svn36650.2.60-61.fc38.noarc 2555/3380 Installing : texlive-fourier-9:svn54090-61.fc38.noarch 2556/3380 Installing : texlive-opensans-9:svn54512-61.fc38.noarch 2557/3380 Installing : texlive-seminar-9:svn34011.1.62-61.fc38.noarch 2558/3380 Installing : texlive-tikzpagenodes-9:svn56291-61.fc38.noarc 2559/3380 Installing : texlive-todonotes-9:svn58998-61.fc38.noarch 2560/3380 Installing : texlive-ae-9:svn15878.1.4-61.fc38.noarch 2561/3380 Installing : texlive-algorithm2e-9:svn44846-61.fc38.noarch 2562/3380 Installing : texlive-algorithmicx-9:svn15878.0-61.fc38.noar 2563/3380 Installing : texlive-ebgaramond-9:svn54721-61.fc38.noarch 2564/3380 Installing : texlive-isodate-9:svn16613.2.28-61.fc38.noarch 2565/3380 Installing : texlive-kpfonts-9:svn55643-61.fc38.noarch 2566/3380 Installing : texlive-minitoc-9:svn48196-61.fc38.noarch 2567/3380 Installing : texlive-mnsymbol-9:svn18651.1.4-61.fc38.noarch 2568/3380 Installing : texlive-upquote-9:svn26059.v1.3-61.fc38.noarch 2569/3380 Installing : texlive-xmpincl-9:svn15878.2.2-61.fc38.noarch 2570/3380 Installing : texlive-metalogo-9:svn18611.0.12-61.fc38.noarc 2571/3380 Installing : texlive-pdfx-9:svn50338-61.fc38.noarch 2572/3380 Installing : texlive-fvextra-9:svn49947-61.fc38.noarch 2573/3380 Installing : texlive-tkz-base-9:svn54758-61.fc38.noarch 2574/3380 Installing : texlive-pst-slpe-9:svn24391.1.31-61.fc38.noarc 2575/3380 Installing : texlive-biblatex-dw-9:svn42649-61.fc38.noarch 2576/3380 Installing : texlive-breqn-9:svn56422-61.fc38.noarch 2577/3380 Installing : texlive-xcjk2uni-9:svn54958-61.fc38.noarch 2578/3380 Installing : texlive-soulpos-9:svn52663-61.fc38.noarch 2579/3380 Installing : texlive-pdfcomment-9:svn49047-61.fc38.noarch 2580/3380 Installing : texlive-pgfplots-9:svn59132-61.fc38.noarch 2581/3380 Installing : texlive-svninfo-9:svn17554.0.7.4-61.fc38.noarc 2582/3380 Installing : texlive-makeshape-9:svn28973.2.1-61.fc38.noarc 2583/3380 Installing : texlive-skeyval-9:svn30560.1.3-61.fc38.noarch 2584/3380 Installing : texlive-abntex2-9:svn49248-61.fc38.noarch 2585/3380 Installing : texlive-bguq-9:svn27401.0.4-61.fc38.noarch 2586/3380 Installing : texlive-coolstr-9:svn15878.2.2-61.fc38.noarch 2587/3380 Installing : texlive-coollist-9:svn15878.1.4-61.fc38.noarch 2588/3380 Installing : texlive-fifo-stack-9:svn33288.1.0-61.fc38.noar 2589/3380 Installing : texlive-sourcecodepro-9:svn54512-61.fc38.noarc 2590/3380 Installing : texlive-tocvsec2-9:svn33146.1.3a-61.fc38.noarc 2591/3380 Installing : texlive-ucs-9:svn35853.2.2-61.fc38.noarch 2592/3380 Installing : texlive-tkz-euclide-9:svn54758-61.fc38.noarch 2593/3380 Installing : texlive-tkz-fct-9:svn55031-61.fc38.noarch 2594/3380 Installing : texlive-minted-9:svn44855-61.fc38.noarch 2595/3380 Installing : texlive-ltxmisc-9:svn21927.0-61.fc38.noarch 2596/3380 Installing : texlive-ebgaramond-maths-9:svn52168-61.fc38.no 2597/3380 Installing : texlive-mathastext-9:svn52840-61.fc38.noarch 2598/3380 Installing : texlive-xecjk-9:svn56711-61.fc38.noarch 2599/3380 Installing : texlive-sidenotes-9:svn54524-61.fc38.noarch 2600/3380 Installing : texlive-droid-9:svn54512-61.fc38.noarch 2601/3380 Installing : texlive-chemformula-9:svn57206-61.fc38.noarch 2602/3380 Installing : texlive-fnumprint-9:svn29173.1.1a-61.fc38.noar 2603/3380 Installing : texlive-newpx-9:svn58838-61.fc38.noarch 2604/3380 Installing : texlive-ocg-p-9:svn28803.0.4-61.fc38.noarch 2605/3380 Installing : texlive-circuitikz-9:svn59147-61.fc38.noarch 2606/3380 Installing : texlive-gincltex-9:svn56291-61.fc38.noarch 2607/3380 Installing : texlive-standalone-9:svn56291-61.fc38.noarch 2608/3380 Installing : texlive-menukeys-9:svn57172-61.fc38.noarch 2609/3380 Installing : texlive-idxcmds-9:svn54554-61.fc38.noarch 2610/3380 Installing : texlive-subfiles-9:svn56977-61.fc38.noarch 2611/3380 Installing : texlive-kantlipsum-9:svn51727-61.fc38.noarch 2612/3380 Installing : texlive-mdframed-9:svn31075.1.9b-61.fc38.noarc 2613/3380 Installing : texlive-thmtools-9:svn56070-61.fc38.noarch 2614/3380 Installing : texlive-withargs-9:svn52641-61.fc38.noarch 2615/3380 Installing : texlive-lt3graph-9:svn45913-61.fc38.noarch 2616/3380 Installing : texlive-l3experimental-9:svn57789-61.fc38.noar 2617/3380 Installing : texlive-media9-9:svn58025-61.fc38.noarch 2618/3380 Installing : texlive-ocgx2-9:svn57531-61.fc38.noarch 2619/3380 Installing : texlive-nicematrix-9:svn59105-61.fc38.noarch 2620/3380 Installing : texlive-skmath-9:svn52411-61.fc38.noarch 2621/3380 Installing : texlive-zhnumber-9:svn54960-61.fc38.noarch 2622/3380 Installing : texlive-doctools-9:svn34474.0.1-61.fc38.noarch 2623/3380 Installing : texlive-ydoc-9:svn56291-61.fc38.noarch 2624/3380 Installing : texlive-interfaces-9:svn21474.3.1-61.fc38.noar 2625/3380 Installing : texlive-prelim2e-9:svn57000-61.fc38.noarch 2626/3380 Installing : texlive-classicthesis-9:svn48041-61.fc38.noarc 2627/3380 Installing : texlive-rcsinfo-9:svn15878.1.11-61.fc38.noarch 2628/3380 Installing : texlive-background-9:svn42428-61.fc38.noarch 2629/3380 Installing : texlive-efbox-9:svn33236.1.0-61.fc38.noarch 2630/3380 Installing : texlive-fancytabs-9:svn41549-61.fc38.noarch 2631/3380 Installing : texlive-overlays-9:svn57866-61.fc38.noarch 2632/3380 Installing : texlive-superiors-9:svn51909-61.fc38.noarch 2633/3380 Installing : texlive-fnpct-9:svn57508-61.fc38.noarch 2634/3380 Installing : texlive-translations-9:svn57461-61.fc38.noarch 2635/3380 Installing : texlive-cnltx-9:svn55265-61.fc38.noarch 2636/3380 Installing : texlive-cntformats-9:svn34668.0.7-61.fc38.noar 2637/3380 Installing : texlive-tasks-9:svn57835-61.fc38.noarch 2638/3380 Installing : texlive-elements-9:svn52398-61.fc38.noarch 2639/3380 Installing : texlive-enotez-9:svn57130-61.fc38.noarch 2640/3380 Installing : texlive-ghsystem-9:svn53822-61.fc38.noarch 2641/3380 Installing : texlive-chemmacros-9:svn56983-61.fc38.noarch 2642/3380 Installing : texlive-svn-multi-9:20210325-52.fc38.noarch 2643/3380 Installing : texlive-tkz-graph-9:svn57484-61.fc38.noarch 2644/3380 Installing : texlive-tkz-tab-9:svn54940-61.fc38.noarch 2645/3380 Installing : texlive-urcls-9:svn49903-61.fc38.noarch 2646/3380 Installing : texlive-sansmathaccent-9:svn53628-61.fc38.noar 2647/3380 Installing : texlive-beamer-9:svn58537-61.fc38.noarch 2648/3380 Installing : texlive-stex-9:svn50489-61.fc38.noarch 2649/3380 Installing : texlive-akktex-9:svn26055.0.3.2-61.fc38.noarch 2650/3380 Installing : texlive-bibtopic-9:svn15878.1.1a-61.fc38.noarc 2651/3380 Installing : texlive-chessfss-9:svn19440.1.2a-61.fc38.noarc 2652/3380 Installing : texlive-cprotect-9:svn21209.1.0e-61.fc38.noarc 2653/3380 Installing : texlive-dashbox-9:svn23425.1.14-61.fc38.noarch 2654/3380 Installing : texlive-realboxes-9:svn56291-61.fc38.noarch 2655/3380 Installing : texlive-fancylabel-9:svn46736-61.fc38.noarch 2656/3380 Installing : texlive-fira-9:svn55437-61.fc38.noarch 2657/3380 Installing : texlive-fnbreak-9:svn25003.1.30-61.fc38.noarch 2658/3380 Installing : texlive-harvard-9:svn15878.2.0.5-61.fc38.noarc 2659/3380 Installing : texlive-hfoldsty-9:svn29349.1.15-61.fc38.noarc 2660/3380 Installing : texlive-ifthenx-9:svn25819.0.1a-61.fc38.noarch 2661/3380 Installing : texlive-inconsolata-9:svn54512-61.fc38.noarch 2662/3380 Installing : texlive-jurabib-9:svn15878.0.6-61.fc38.noarch 2663/3380 Installing : texlive-ly1-9:svn47848-61.fc38.noarch 2664/3380 Installing : texlive-mathdesign-9:svn31639.2.31-61.fc38.noa 2665/3380 Installing : texlive-arev-9:svn15878.0-61.fc38.noarch 2666/3380 Installing : texlive-mathcomp-9:svn15878.0.1f-61.fc38.noarc 2667/3380 Installing : texlive-modroman-9:svn29803.1-61.fc38.noarch 2668/3380 Installing : texlive-newtxtt-9:svn54512-61.fc38.noarch 2669/3380 Installing : texlive-pdfcprot-9:svn18735.1.7a-61.fc38.noarc 2670/3380 Installing : texlive-roboto-9:svn54512-61.fc38.noarch 2671/3380 Installing : texlive-struktex-9:svn47931-61.fc38.noarch 2672/3380 Installing : texlive-tpslifonts-9:svn42428-61.fc38.noarch 2673/3380 Installing : texlive-texpower-9:svn29349.0.2-61.fc38.noarch 2674/3380 Installing : texlive-wallpaper-9:svn15878.1.10-61.fc38.noar 2675/3380 Installing : texlive-xcharter-9:svn58755-61.fc38.noarch 2676/3380 Installing : texlive-xdoc-9:svn15878.prot2.5-61.fc38.noarch 2677/3380 Installing : texlive-leftindex-9:svn56182-61.fc38.noarch 2678/3380 Installing : texlive-semtex-9:svn56530-61.fc38.noarch 2679/3380 Installing : texlive-semantex-9:svn56863-61.fc38.noarch 2680/3380 Installing : texlive-tcldoc-9:svn22018.2.40-61.fc38.noarch 2681/3380 Installing : texlive-tuda-ci-9:svn58661-61.fc38.noarch 2682/3380 Installing : texlive-elpres-9:svn58015-61.fc38.noarch 2683/3380 Installing : texlive-ifmslide-9:svn20727.0.47-61.fc38.noarc 2684/3380 Installing : texlive-bgteubner-9:svn54080-61.fc38.noarch 2685/3380 Installing : texlive-ticollege-9:svn36306.1.0-61.fc38.noarc 2686/3380 Installing : texlive-drm-9:svn38157.4.4-61.fc38.noarch 2687/3380 Installing : texlive-skrapport-9:svn52412-61.fc38.noarch 2688/3380 Installing : texlive-resphilosophica-9:svn50935-61.fc38.noa 2689/3380 Installing : texlive-mentis-9:svn15878.1.5-61.fc38.noarch 2690/3380 Installing : texlive-acmart-9:svn58893-61.fc38.noarch 2691/3380 Installing : texlive-typeface-9:svn27046.0.1-61.fc38.noarch 2692/3380 Installing : texlive-adfathesis-9:svn26048.2.42-61.fc38.noa 2693/3380 Installing : texlive-beamertheme-metropolis-9:svn43031-61.f 2694/3380 Installing : texlive-chemschemex-9:svn46723-61.fc38.noarch 2695/3380 Installing : texlive-cv4tw-9:svn34577.0.2-61.fc38.noarch 2696/3380 Installing : texlive-beameraudience-9:svn23427.0.1-61.fc38. 2697/3380 Installing : texlive-skak-9:svn46259-61.fc38.noarch 2698/3380 Installing : texlive-texmate-9:svn15878.2-61.fc38.noarch 2699/3380 Installing : texlive-bibtopicprefix-9:svn15878.1.10-61.fc38 2700/3380 Installing : texlive-uebungsblatt-9:svn15878.1.5.0-61.fc38. 2701/3380 Installing : texlive-proposal-9:svn40538-61.fc38.noarch 2702/3380 Installing : texlive-beamerthemenirma-9:svn20765.0.1-61.fc3 2703/3380 Installing : texlive-skb-9:svn22781.0.52-61.fc38.noarch 2704/3380 Installing : texlive-sesamanuel-9:svn36613.0.6-61.fc38.noar 2705/3380 Installing : texlive-tkz-berge-9:svn57485-61.fc38.noarch 2706/3380 Installing : texlive-substances-9:svn40989-61.fc38.noarch 2707/3380 Installing : texlive-skeldoc-9:svn57922-61.fc38.noarch 2708/3380 Installing : texlive-bohr-9:svn54512-61.fc38.noarch 2709/3380 Installing : texlive-exsheets-9:svn52227-61.fc38.noarch 2710/3380 Installing : texlive-acro-9:svn57447-61.fc38.noarch 2711/3380 Installing : texlive-chemnum-9:svn57490-61.fc38.noarch 2712/3380 Installing : texlive-cooking-units-9:svn53403-61.fc38.noarc 2713/3380 Installing : texlive-listlbls-9:svn34893.1.03-61.fc38.noarc 2714/3380 Installing : texlive-xsim-9:svn57619-61.fc38.noarch 2715/3380 Installing : texlive-scrjrnl-9:svn27810.0.1-61.fc38.noarch 2716/3380 Installing : texlive-pygmentex-9:20210325-52.fc38.noarch 2717/3380 Installing : texlive-medstarbeamer-9:svn38828-61.fc38.noarc 2718/3380 Installing : texlive-dateiliste-9:svn27974.0.6-61.fc38.noar 2719/3380 Installing : texlive-arsclassica-9:svn45656-61.fc38.noarch 2720/3380 Installing : texlive-fcltxdoc-9:svn24500.1.0-61.fc38.noarch 2721/3380 Installing : texlive-skdoc-9:svn56950-61.fc38.noarch 2722/3380 Installing : texlive-latexdemo-9:svn55265-61.fc38.noarch 2723/3380 Installing : texlive-projlib-9:svn59347-61.fc38.noarch 2724/3380 Installing : texlive-minimalist-9:svn59317-61.fc38.noarch 2725/3380 Installing : texlive-einfart-9:svn59321-61.fc38.noarch 2726/3380 Installing : texlive-simplivre-9:svn59323-61.fc38.noarch 2727/3380 Installing : texlive-decision-table-9:svn57094-61.fc38.noar 2728/3380 Installing : texlive-pkgloader-9:svn47486-61.fc38.noarch 2729/3380 Installing : texlive-denisbdoc-9:svn56664-61.fc38.noarch 2730/3380 Installing : texlive-fei-9:svn55960-61.fc38.noarch 2731/3380 Installing : texlive-gzt-9:svn57765-61.fc38.noarch 2732/3380 Installing : texlive-upmethodology-9:svn54758-61.fc38.noarc 2733/3380 Installing : texlive-mandi-9:svn49720-61.fc38.noarch 2734/3380 Installing : texlive-shdoc-9:svn41991-61.fc38.noarch 2735/3380 Installing : texlive-tikz-lake-fig-9:svn55288-61.fc38.noarc 2736/3380 Installing : texlive-ocgx-9:svn54512-61.fc38.noarch 2737/3380 Installing : texlive-calcage-9:svn27725.0.90-61.fc38.noarch 2738/3380 Installing : texlive-cmpj-9:svn58506-61.fc38.noarch 2739/3380 Installing : texlive-mnotes-9:svn35521.0.8-61.fc38.noarch 2740/3380 Installing : texlive-xpinyin-9:svn56709-61.fc38.noarch 2741/3380 Installing : texlive-tudscr-9:svn58713-61.fc38.noarch 2742/3380 Installing : texlive-verbasef-9:svn21922.1.1-61.fc38.noarch 2743/3380 Installing : texlive-nl-interval-9:svn58328-61.fc38.noarch 2744/3380 Installing : texlive-europecv-9:svn59162-61.fc38.noarch 2745/3380 Installing : texlive-yathesis-9:svn58683-61.fc38.noarch 2746/3380 Installing : texlive-algpseudocodex-9:svn56125-61.fc38.noar 2747/3380 Installing : texlive-bangorcsthesis-9:svn48834-61.fc38.noar 2748/3380 Installing : texlive-cool-9:svn15878.1.35-61.fc38.noarch 2749/3380 Installing : texlive-dyntree-9:svn15878.1.0-61.fc38.noarch 2750/3380 Installing : texlive-frege-9:svn27417.1.3-61.fc38.noarch 2751/3380 Installing : texlive-grundgesetze-9:svn58997-61.fc38.noarch 2752/3380 Installing : texlive-fcavtex-9:svn38074.1.1-61.fc38.noarch 2753/3380 Installing : texlive-loops-9:svn30704.1.3-61.fc38.noarch 2754/3380 Installing : texlive-paresse-9:svn56621-61.fc38.noarch 2755/3380 Installing : texlive-flowchart-9:svn36572.3.3-61.fc38.noarc 2756/3380 Installing : texlive-tikz-opm-9:svn32769.0.1.1-61.fc38.noar 2757/3380 Installing : texlive-tikz-dimline-9:svn35805.1.0-61.fc38.no 2758/3380 Installing : texlive-dataref-9:svn42883-61.fc38.noarch 2759/3380 Installing : texlive-fixme-9:svn49591-61.fc38.noarch 2760/3380 Installing : texlive-luatodonotes-9:svn53825-61.fc38.noarch 2761/3380 Installing : texlive-pkuthss-9:svn58729-61.fc38.noarch 2762/3380 Installing : texlive-perfectcut-9:svn54080-61.fc38.noarch 2763/3380 Installing : texlive-rmathbr-9:svn57173-61.fc38.noarch 2764/3380 Installing : texlive-biblatex-juradiss-9:svn56502-61.fc38.n 2765/3380 Installing : texlive-uni-wtal-ger-9:svn31541.0.2-61.fc38.no 2766/3380 Installing : texlive-HA-prosper-9:svn15878.4.21-61.fc38.noa 2767/3380 Installing : texlive-prosper-9:svn33033.1.0h-61.fc38.noarch 2768/3380 Installing : texlive-toptesi-9:svn56276-61.fc38.noarch 2769/3380 Installing : texlive-luatextra-9:svn20747.1.0.1-61.fc38.noa 2770/3380 Installing : texlive-lua-check-hyphen-9:svn47527-61.fc38.no 2771/3380 Installing : texlive-jmlr-9:svn56395-61.fc38.noarch 2772/3380 Installing : texlive-nostarch-9:svn15878.1.3-61.fc38.noarch 2773/3380 Installing : texlive-pythontex-9:20210325-52.fc38.noarch 2774/3380 Installing : texlive-puyotikz-9:svn57254-61.fc38.noarch 2775/3380 Installing : texlive-mathspec-9:svn42773-61.fc38.noarch 2776/3380 Installing : texlive-tui-9:svn27253.1.9-61.fc38.noarch 2777/3380 Installing : texlive-minutes-9:svn42186-61.fc38.noarch 2778/3380 Installing : texlive-thumb-9:svn16549.1.0-61.fc38.noarch 2779/3380 Installing : texlive-moderncv-9:svn57496-61.fc38.noarch 2780/3380 Installing : texlive-algxpar-9:svn56006-61.fc38.noarch 2781/3380 Installing : texlive-neuralnetwork-9:svn31500.1.0-61.fc38.n 2782/3380 Installing : texlive-sphdthesis-9:svn34374.1.0-61.fc38.noar 2783/3380 Installing : texlive-aeguill-9:svn15878.0-61.fc38.noarch 2784/3380 Installing : texlive-latex-make-9:svn57349-61.fc38.noarch 2785/3380 Installing : texlive-smflatex-9:svn58910-61.fc38.noarch 2786/3380 Installing : texlive-easyreview-9:svn38352.1.0-61.fc38.noar 2787/3380 Installing : texlive-uothesis-9:svn25355.2.5.6-61.fc38.noar 2788/3380 Installing : texlive-gridpapers-9:svn58723-61.fc38.noarch 2789/3380 Installing : texlive-fouriernc-9:svn29646.0-61.fc38.noarch 2790/3380 Installing : texlive-nlctdoc-9:svn53768-61.fc38.noarch 2791/3380 Installing : texlive-gitver-9:svn56810-61.fc38.noarch 2792/3380 Installing : texlive-jslectureplanner-9:svn57095-61.fc38.no 2793/3380 Installing : texlive-papertex-9:svn19230.1.2b-61.fc38.noarc 2794/3380 Installing : texlive-recipebook-9:svn37026.0-61.fc38.noarch 2795/3380 Installing : texlive-datetime2-en-fulltext-9:svn36705.1.0-6 2796/3380 Installing : texlive-moreenum-9:svn24479.1.03-61.fc38.noarc 2797/3380 Installing : texlive-thuthesis-9:svn58750-61.fc38.noarch 2798/3380 Installing : texlive-datetime2-it-fulltext-9:svn54779-61.fc 2799/3380 Installing : texlive-mensa-tex-9:svn45997-61.fc38.noarch 2800/3380 Installing : texlive-cntperchap-9:svn37572.0.3-61.fc38.noar 2801/3380 Installing : texlive-chemexec-9:svn21632.1.0-61.fc38.noarch 2802/3380 Installing : texlive-modiagram-9:svn56886-61.fc38.noarch 2803/3380 Installing : texlive-cryptocode-9:svn55920-61.fc38.noarch 2804/3380 Installing : texlive-factura-9:svn56948-61.fc38.noarch 2805/3380 Installing : texlive-tikzpackets-9:svn55827-61.fc38.noarch 2806/3380 Installing : texlive-coolthms-9:svn29062.1.2-61.fc38.noarch 2807/3380 Installing : texlive-uestcthesis-9:svn36371.1.1.0-61.fc38.n 2808/3380 Installing : texlive-dtk-9:svn56696-61.fc38.noarch 2809/3380 Installing : texlive-cantarell-9:svn54512-61.fc38.noarch 2810/3380 Installing : texlive-comfortaa-9:svn54512-61.fc38.noarch 2811/3380 Installing : texlive-lato-9:svn54512-61.fc38.noarch 2812/3380 Installing : texlive-enumitem-zref-9:svn21472.1.8-61.fc38.n 2813/3380 Installing : texlive-mcmthesis-9:svn57333-61.fc38.noarch 2814/3380 Installing : texlive-sduthesis-9:svn41401-61.fc38.noarch 2815/3380 Installing : texlive-semantic-9:svn15878.2.0-61.fc38.noarch 2816/3380 Installing : texlive-baskervald-9:svn19490.1.016-61.fc38.no 2817/3380 Installing : texlive-berenisadf-9:svn32215.1.004-61.fc38.no 2818/3380 Installing : texlive-cfr-lm-9:svn36195.1.5-61.fc38.noarch 2819/3380 Installing : texlive-electrum-9:svn19705.1.005_b-61.fc38.no 2820/3380 Installing : texlive-libris-9:svn19409.1.007-61.fc38.noarch 2821/3380 Installing : texlive-romande-9:svn19537.1.008_v7_sc-61.fc38 2822/3380 Installing : texlive-venturisadf-9:svn19444.1.005-61.fc38.n 2823/3380 Installing : texlive-association-matrix-9:svn56759-61.fc38. 2824/3380 Installing : texlive-grid-system-9:svn32981.0.3.0-61.fc38.n 2825/3380 Installing : texlive-rjlparshap-9:svn15878.1.0-61.fc38.noar 2826/3380 Installing : texlive-bewerbung-9:svn56998-61.fc38.noarch 2827/3380 Installing : texlive-confproc-9:svn29349.0.8-61.fc38.noarch 2828/3380 Installing : texlive-lectureslides-9:svn58393-61.fc38.noarc 2829/3380 Installing : texlive-pdfoverlay-9:svn57923-61.fc38.noarch 2830/3380 Installing : texlive-unswcover-9:svn29476.1.0-61.fc38.noarc 2831/3380 Installing : texlive-cuisine-9:svn34453.0.7-61.fc38.noarch 2832/3380 Installing : texlive-unitsdef-9:svn15878.0.2-61.fc38.noarch 2833/3380 Installing : texlive-utf8add-9:svn55291-61.fc38.noarch 2834/3380 Installing : texlive-xcookybooky-9:svn36435.1.5-61.fc38.noa 2835/3380 Installing : texlive-calctab-9:svn15878.v0.6.1-61.fc38.noar 2836/3380 Installing : texlive-hecthese-9:svn56181-61.fc38.noarch 2837/3380 Installing : texlive-tabularcalc-9:svn15878.0.2-61.fc38.noa 2838/3380 Installing : texlive-ulthese-9:svn52972-61.fc38.noarch 2839/3380 Installing : texlive-IEEEtran-9:svn51065-61.fc38.noarch 2840/3380 Installing : texlive-asmeconf-9:svn57833-61.fc38.noarch 2841/3380 Installing : texlive-asmejour-9:svn57598-61.fc38.noarch 2842/3380 Installing : texlive-newtxsf-9:svn56527-61.fc38.noarch 2843/3380 Installing : texlive-prtec-9:svn51919-61.fc38.noarch 2844/3380 Installing : texlive-glosmathtools-9:svn55920-61.fc38.noarc 2845/3380 Installing : texlive-glossaries-extra-9:svn54688-61.fc38.no 2846/3380 Installing : texlive-glossaries-finnish-9:svn54080-61.fc38. 2847/3380 Installing : texlive-ryethesis-9:svn33945.1.36-61.fc38.noar 2848/3380 Installing : texlive-skills-9:svn56734-61.fc38.noarch 2849/3380 Installing : texlive-bankstatement-9:svn38857-61.fc38.noarc 2850/3380 Installing : texlive-properties-9:svn15878.0.2-61.fc38.noar 2851/3380 Installing : texlive-tikz-network-9:svn51884-61.fc38.noarch 2852/3380 Installing : texlive-chemstyle-9:svn31096.2.0m-61.fc38.noar 2853/3380 Installing : texlive-endiagram-9:svn34486.0.1d-61.fc38.noar 2854/3380 Installing : texlive-sankey-9:svn58661-61.fc38.noarch 2855/3380 Installing : texlive-tikz-palattice-9:svn43442-61.fc38.noar 2856/3380 Installing : texlive-verifiche-9:svn57766-61.fc38.noarch 2857/3380 Installing : texlive-genealogytree-9:svn55978-61.fc38.noarc 2858/3380 Installing : texlive-jumplines-9:svn37553.0.2-61.fc38.noarc 2859/3380 Installing : texlive-jupynotex-9:svn56715-61.fc38.noarch 2860/3380 Installing : texlive-easyfig-9:svn56291-61.fc38.noarch 2861/3380 Installing : texlive-parsa-9:svn54840-61.fc38.noarch 2862/3380 Installing : texlive-dynkin-diagrams-9:svn58758-61.fc38.noa 2863/3380 Installing : texlive-forest-9:svn57398-61.fc38.noarch 2864/3380 Installing : texlive-snotez-9:svn57147-61.fc38.noarch 2865/3380 Installing : texlive-stealcaps-9:svn46434-61.fc38.noarch 2866/3380 Installing : texlive-tikz-timing-9:svn56291-61.fc38.noarch 2867/3380 Installing : texlive-ytableau-9:svn27430.1.3-61.fc38.noarch 2868/3380 Installing : texlive-apxproof-9:svn56673-61.fc38.noarch 2869/3380 Installing : texlive-bhcexam-9:svn39041-61.fc38.noarch 2870/3380 Installing : texlive-ebsthesis-9:svn15878.1.0-61.fc38.noarc 2871/3380 Installing : texlive-ejpecp-9:svn56728-61.fc38.noarch 2872/3380 Installing : texlive-gaceta-9:svn15878.1.06-61.fc38.noarch 2873/3380 Installing : texlive-matc3mem-9:svn35773.1.1-61.fc38.noarch 2874/3380 Installing : texlive-pbsheet-9:svn24830.0.1-61.fc38.noarch 2875/3380 Installing : texlive-tabriz-thesis-9:svn51729-61.fc38.noarc 2876/3380 Installing : texlive-uaclasses-9:svn15878.0-61.fc38.noarch 2877/3380 Installing : texlive-wsemclassic-9:svn31532.1.0.1-61.fc38.n 2878/3380 Installing : texlive-bardiag-9:svn22013.0.4a-61.fc38.noarch 2879/3380 Installing : texlive-colortab-9:svn22155.1.0-61.fc38.noarch 2880/3380 Installing : texlive-jlabels-9:svn24858.0-61.fc38.noarch 2881/3380 Installing : texlive-lsc-9:svn15878.0-61.fc38.noarch 2882/3380 Installing : texlive-msc-9:svn15878.1.16-61.fc38.noarch 2883/3380 Installing : texlive-numericplots-9:svn31729.2.0.2-61.fc38. 2884/3380 Installing : texlive-ppr-prv-9:svn15878.0.13c-61.fc38.noarc 2885/3380 Installing : texlive-pst-blur-9:svn15878.2.0-61.fc38.noarch 2886/3380 Installing : texlive-powerdot-9:svn58730-61.fc38.noarch 2887/3380 Installing : texlive-sfg-9:svn20209.0.91-61.fc38.noarch 2888/3380 Installing : texlive-swimgraf-9:svn25446.0-61.fc38.noarch 2889/3380 Installing : texlive-synproof-9:svn15878.1.0-61.fc38.noarch 2890/3380 Installing : texlive-tableaux-9:svn42413-61.fc38.noarch 2891/3380 Installing : texlive-xymtex-9:svn32182.5.06-61.fc38.noarch 2892/3380 Installing : texlive-randbild-9:svn15878.0.2-61.fc38.noarch 2893/3380 Installing : texlive-apa6-9:svn53406-61.fc38.noarch 2894/3380 Installing : texlive-biblatex-apa-9:svn56208-61.fc38.noarch 2895/3380 Installing : texlive-biblatex-bwl-9:svn26556.0.02-61.fc38.n 2896/3380 Installing : texlive-biblatex-caspervector-9:svn56837-61.fc 2897/3380 Installing : texlive-biblatex-chem-9:svn57904-61.fc38.noarc 2898/3380 Installing : texlive-biblatex-chicago-9:svn58715-61.fc38.no 2899/3380 Installing : texlive-biblatex-fiwi-9:svn45876-61.fc38.noarc 2900/3380 Installing : texlive-biblatex-gost-9:svn59135-61.fc38.noarc 2901/3380 Installing : texlive-biblatex-historian-9:svn19787.0.4-61.f 2902/3380 Installing : texlive-biblatex-ieee-9:svn58716-61.fc38.noarc 2903/3380 Installing : texlive-biblatex-luh-ipw-9:svn32180.0.3-61.fc3 2904/3380 Installing : texlive-biblatex-manuscripts-philology-9:svn53 2905/3380 Installing : texlive-biblatex-mla-9:svn42445-61.fc38.noarch 2906/3380 Installing : texlive-biblatex-musuos-9:svn24097.1.0-61.fc38 2907/3380 Installing : texlive-biblatex-nature-9:svn57262-61.fc38.noa 2908/3380 Installing : texlive-biblatex-nejm-9:svn49839-61.fc38.noarc 2909/3380 Installing : texlive-biblatex-philosophy-9:svn58925-61.fc38 2910/3380 Installing : texlive-biblatex-phys-9:svn55643-61.fc38.noarc 2911/3380 Installing : texlive-biblatex-publist-9:svn56392-61.fc38.no 2912/3380 Installing : texlive-biblatex-realauthor-9:svn45865-61.fc38 2913/3380 Installing : texlive-biblatex-science-9:svn48945-61.fc38.no 2914/3380 Installing : texlive-biblatex-subseries-9:svn43330-61.fc38. 2915/3380 Installing : texlive-biblatex-trad-9:svn58169-61.fc38.noarc 2916/3380 Installing : texlive-cleanthesis-9:svn51472-61.fc38.noarch 2917/3380 Installing : texlive-gcite-9:svn15878.1.0.1-61.fc38.noarch 2918/3380 Installing : texlive-geschichtsfrkl-9:svn42121-61.fc38.noar 2919/3380 Installing : texlive-oscola-9:svn54328-61.fc38.noarch 2920/3380 Installing : texlive-savetrees-9:svn40525-61.fc38.noarch 2921/3380 Installing : texlive-semproc-9:svn37568.0.1-61.fc38.noarch 2922/3380 Installing : texlive-uni-wtal-lin-9:svn31409.0.2-61.fc38.no 2923/3380 Installing : texlive-biblatex-opcit-booktitle-9:svn48983-61 2924/3380 Installing : texlive-biblatex-source-division-9:svn45379-61 2925/3380 Installing : texlive-indextools-9:svn38931-61.fc38.noarch 2926/3380 Installing : texlive-GS1-9:svn44822-61.fc38.noarch 2927/3380 Installing : texlive-algobox-9:svn52204-61.fc38.noarch 2928/3380 Installing : texlive-cellprops-9:svn57599-61.fc38.noarch 2929/3380 Installing : texlive-citeall-9:svn45975-61.fc38.noarch 2930/3380 Installing : texlive-classics-9:svn53671-61.fc38.noarch 2931/3380 Installing : texlive-conteq-9:svn37868.0.1.1-61.fc38.noarch 2932/3380 Installing : texlive-copyedit-9:svn37928.1.6-61.fc38.noarch 2933/3380 Installing : texlive-diffcoeff-9:svn53244-61.fc38.noarch 2934/3380 Installing : texlive-download-9:svn52257-61.fc38.noarch 2935/3380 Installing : texlive-ebproof-9:svn57544-61.fc38.noarch 2936/3380 Installing : texlive-embrac-9:svn57814-61.fc38.noarch 2937/3380 Installing : texlive-erw-l3-9:svn55414-61.fc38.noarch 2938/3380 Installing : texlive-gatherenum-9:svn52209-61.fc38.noarch 2939/3380 Installing : texlive-gtl-9:svn49527-61.fc38.noarch 2940/3380 Installing : texlive-unravel-9:svn52822-61.fc38.noarch 2941/3380 Installing : texlive-hobby-9:svn44474-61.fc38.noarch 2942/3380 Installing : texlive-hobete-9:svn27036.0-61.fc38.noarch 2943/3380 Installing : texlive-kvmap-9:svn56361-61.fc38.noarch 2944/3380 Installing : texlive-lengthconvert-9:svn55064-61.fc38.noarc 2945/3380 Installing : texlive-luatexja-9:svn58542-61.fc38.noarch 2946/3380 Installing : texlive-minibox-9:svn30914.0.2a-61.fc38.noarch 2947/3380 Installing : texlive-multicolrule-9:svn56366-61.fc38.noarch 2948/3380 Installing : texlive-notes2bib-9:svn52231-61.fc38.noarch 2949/3380 Installing : texlive-numerica-9:svn57759-61.fc38.noarch 2950/3380 Installing : texlive-pbalance-9:svn59331-61.fc38.noarch 2951/3380 Installing : texlive-pseudo-9:svn52582-61.fc38.noarch 2952/3380 Installing : texlive-pstool-9:svn46393-61.fc38.noarch 2953/3380 Installing : texlive-randomwalk-9:svn49513-61.fc38.noarch 2954/3380 Installing : texlive-regexpatch-9:svn58668-61.fc38.noarch 2955/3380 Installing : texlive-scontents-9:svn53504-61.fc38.noarch 2956/3380 Installing : texlive-simplebnf-9:svn56761-61.fc38.noarch 2957/3380 Installing : texlive-spath3-9:svn57842-61.fc38.noarch 2958/3380 Installing : texlive-topiclongtable-9:svn54758-61.fc38.noar 2959/3380 Installing : texlive-tzplot-9:svn58558-61.fc38.noarch 2960/3380 Installing : texlive-witharrows-9:svn58120-61.fc38.noarch 2961/3380 Installing : texlive-xpeek-9:svn27442.0.2-61.fc38.noarch 2962/3380 Installing : texlive-asypictureb-9:svn33490.0.3-61.fc38.noa 2963/3380 Installing : texlive-engpron-9:svn16558.2-61.fc38.noarch 2964/3380 Installing : texlive-exsol-9:svn48977-61.fc38.noarch 2965/3380 Installing : texlive-tablor-9:svn31855.4.07_g-61.fc38.noarc 2966/3380 Installing : texlive-texments-9:svn15878.0.2.0-61.fc38.noar 2967/3380 Installing : texlive-verbments-9:svn23670.1.2-61.fc38.noarc 2968/3380 Installing : texlive-animate-9:svn56583-61.fc38.noarch 2969/3380 Installing : texlive-easy-todo-9:svn32677.0-61.fc38.noarch 2970/3380 Installing : texlive-hypdvips-9:svn53197-61.fc38.noarch 2971/3380 Installing : texlive-ltxdockit-9:svn21869.1.2d-61.fc38.noar 2972/3380 Installing : texlive-numspell-9:svn56912-61.fc38.noarch 2973/3380 Installing : texlive-templatetools-9:svn34495.0-61.fc38.noa 2974/3380 Installing : texlive-bashful-9:svn25597.0.93-61.fc38.noarch 2975/3380 Installing : texlive-embedall-9:svn51177-61.fc38.noarch 2976/3380 Installing : texlive-highlightlatex-9:svn58392-61.fc38.noar 2977/3380 Installing : texlive-lstaddons-9:svn56291-61.fc38.noarch 2978/3380 Installing : texlive-matlab-prettifier-9:svn34323.0.3-61.fc 2979/3380 Installing : texlive-sasnrdisplay-9:svn45963-61.fc38.noarch 2980/3380 Installing : texlive-sclang-prettifier-9:svn35087.0.1-61.fc 2981/3380 Installing : texlive-vdmlisting-9:svn56905-61.fc38.noarch 2982/3380 Installing : texlive-crbox-9:svn29803.0.1-61.fc38.noarch 2983/3380 Installing : texlive-br-lex-9:svn44939-61.fc38.noarch 2984/3380 Installing : texlive-blowup-9:svn46213-61.fc38.noarch 2985/3380 Installing : texlive-hc-9:svn15878.0-61.fc38.noarch 2986/3380 Installing : texlive-komacv-9:svn57721-61.fc38.noarch 2987/3380 Installing : texlive-scrlayer-fancyhdr-9:svn58746-61.fc38.n 2988/3380 Installing : texlive-sr-vorl-9:svn39529-61.fc38.noarch 2989/3380 Installing : texlive-stdpage-9:svn15878.0.6-61.fc38.noarch 2990/3380 Installing : texlive-volumes-9:svn15878.1.0-61.fc38.noarch 2991/3380 Installing : texlive-adigraph-9:svn49862-61.fc38.noarch 2992/3380 Installing : texlive-alertmessage-9:svn38055.1.1-61.fc38.no 2993/3380 Installing : texlive-blox-9:svn57949-61.fc38.noarch 2994/3380 Installing : texlive-bodegraph-9:svn20047.1.4-61.fc38.noarc 2995/3380 Installing : texlive-bondgraph-9:svn21670.1.0-61.fc38.noarc 2996/3380 Installing : texlive-bondgraphs-9:svn36605.1.0.1-61.fc38.no 2997/3380 Installing : texlive-bookcover-9:svn57326-61.fc38.noarch 2998/3380 Installing : texlive-chemfig-9:svn58014-61.fc38.noarch 2999/3380 Installing : texlive-chronology-9:svn37934.1.1.1-61.fc38.no 3000/3380 Installing : texlive-copyrightbox-9:svn24829.0.1-61.fc38.no 3001/3380 Installing : texlive-csvsimple-9:svn51010-61.fc38.noarch 3002/3380 Installing : texlive-drawstack-9:svn28582.0-61.fc38.noarch 3003/3380 Installing : texlive-dynblocks-9:svn35193.0.2b-61.fc38.noar 3004/3380 Installing : texlive-easyfloats-9:svn57204-61.fc38.noarch 3005/3380 Installing : texlive-ecv-9:svn24928.0.3-61.fc38.noarch 3006/3380 Installing : texlive-fancypar-9:svn58895-61.fc38.noarch 3007/3380 Installing : texlive-fancyslides-9:svn36263.1.0-61.fc38.noa 3008/3380 Installing : texlive-fast-diagram-9:svn29264.1.1-61.fc38.no 3009/3380 Installing : texlive-figchild-9:svn58964-61.fc38.noarch 3010/3380 Installing : texlive-grafcet-9:svn22509.1.3.5-61.fc38.noarc 3011/3380 Installing : texlive-harveyballs-9:svn32003.1.1-61.fc38.noa 3012/3380 Installing : texlive-hf-tikz-9:svn34733.0.3a-61.fc38.noarch 3013/3380 Installing : texlive-incgraph-9:svn36500.1.12-61.fc38.noarc 3014/3380 Installing : texlive-interval-9:svn50265-61.fc38.noarch 3015/3380 Installing : texlive-karnaugh-map-9:svn44131-61.fc38.noarch 3016/3380 Installing : texlive-karnaughmap-9:svn36989.2.0-61.fc38.noa 3017/3380 Installing : texlive-kdgdocs-9:svn24498.1.0-61.fc38.noarch 3018/3380 Installing : texlive-luasseq-9:svn37877.0-61.fc38.noarch 3019/3380 Installing : texlive-moderntimeline-9:svn55518-61.fc38.noar 3020/3380 Installing : texlive-msu-thesis-9:svn59146-61.fc38.noarch 3021/3380 Installing : texlive-mycv-9:svn26807.1.5.6-61.fc38.noarch 3022/3380 Installing : texlive-oplotsymbl-9:svn44951-61.fc38.noarch 3023/3380 Installing : texlive-orcidlink-9:svn58432-61.fc38.noarch 3024/3380 Installing : texlive-pas-cours-9:svn55859-61.fc38.noarch 3025/3380 Installing : texlive-pas-cv-9:svn32263.2.01-61.fc38.noarch 3026/3380 Installing : texlive-pas-tableur-9:svn39542-61.fc38.noarch 3027/3380 Installing : texlive-pdfmarginpar-9:svn23492.0.92-61.fc38.n 3028/3380 Installing : texlive-pgf-blur-9:svn54512-61.fc38.noarch 3029/3380 Installing : texlive-pgf-cmykshadings-9:svn52635-61.fc38.no 3030/3380 Installing : texlive-pgf-soroban-9:svn32269.1.1-61.fc38.noa 3031/3380 Installing : texlive-pgfgantt-9:svn52662-61.fc38.noarch 3032/3380 Installing : texlive-pgfmolbio-9:svn35152.0.21-61.fc38.noar 3033/3380 Installing : texlive-prerex-9:svn54512-61.fc38.noarch 3034/3380 Installing : texlive-pressrelease-9:svn35147.1.0-61.fc38.no 3035/3380 Installing : texlive-productbox-9:svn20886.1.1-61.fc38.noar 3036/3380 Installing : texlive-progressbar-9:svn33822.v1.0b_4-61.fc38 3037/3380 Installing : texlive-proofread-9:svn50938-61.fc38.noarch 3038/3380 Installing : texlive-quiz2socrative-9:svn52276-61.fc38.noar 3039/3380 Installing : texlive-reflectgraphics-9:svn40612-61.fc38.noa 3040/3380 Installing : texlive-reotex-9:svn34924.1.1-61.fc38.noarch 3041/3380 Installing : texlive-ribbonproofs-9:svn31137.1.0-61.fc38.no 3042/3380 Installing : texlive-sa-tikz-9:svn32815.0.7a-61.fc38.noarch 3043/3380 Installing : texlive-scanpages-9:svn42633-61.fc38.noarch 3044/3380 Installing : texlive-schemabloc-9:svn58212-61.fc38.noarch 3045/3380 Installing : texlive-scratch3-9:svn56258-61.fc38.noarch 3046/3380 Installing : texlive-scratchx-9:svn44906-61.fc38.noarch 3047/3380 Installing : texlive-semesterplanner-9:svn56841-61.fc38.noa 3048/3380 Installing : texlive-setdeck-9:svn40613-61.fc38.noarch 3049/3380 Installing : texlive-smartdiagram-9:svn42781-61.fc38.noarch 3050/3380 Installing : texlive-sparklines-9:svn42821-61.fc38.noarch 3051/3380 Installing : texlive-spot-9:svn22408.1.1-61.fc38.noarch 3052/3380 Installing : texlive-sseq-9:svn31585.2.01-61.fc38.noarch 3053/3380 Installing : texlive-talk-9:svn42428-61.fc38.noarch 3054/3380 Installing : texlive-thumby-9:svn16736.0.1-61.fc38.noarch 3055/3380 Installing : texlive-tikz-3dplot-9:svn25087.0-61.fc38.noarc 3056/3380 Installing : texlive-tikz-among-us-9:svn56820-61.fc38.noarc 3057/3380 Installing : texlive-tikz-cd-9:svn59133-61.fc38.noarch 3058/3380 Installing : texlive-tikz-dependency-9:svn54512-61.fc38.noa 3059/3380 Installing : texlive-tikz-inet-9:svn15878.0.1-61.fc38.noarc 3060/3380 Installing : texlive-tikz-kalender-9:svn52890-61.fc38.noarc 3061/3380 Installing : texlive-tikz-planets-9:svn55002-61.fc38.noarch 3062/3380 Installing : texlive-tikz-qtree-9:svn26108.1.2-61.fc38.noar 3063/3380 Installing : texlive-tikzinclude-9:svn28715.1.0-61.fc38.noa 3064/3380 Installing : texlive-tikzorbital-9:svn36439.0-61.fc38.noarc 3065/3380 Installing : texlive-tikzpfeile-9:svn25777.1.0-61.fc38.noar 3066/3380 Installing : texlive-tikzscale-9:svn30637.0.2.6-61.fc38.noa 3067/3380 Installing : texlive-tikzsymbols-9:svn49975-61.fc38.noarch 3068/3380 Installing : texlive-timing-diagrams-9:svn31491.0-61.fc38.n 3069/3380 Installing : texlive-tkz-orm-9:svn54512-61.fc38.noarch 3070/3380 Installing : texlive-tqft-9:svn44455-61.fc38.noarch 3071/3380 Installing : texlive-ulqda-9:20210325-52.fc38.noarch 3072/3380 Installing : texlive-unitipa-9:svn58749-61.fc38.noarch 3073/3380 Installing : texlive-utfsym-9:svn56729-61.fc38.noarch 3074/3380 Installing : texlive-venndiagram-9:svn47952-61.fc38.noarch 3075/3380 Installing : texlive-vgrid-9:svn32457.0.1-61.fc38.noarch 3076/3380 Installing : texlive-worldflags-9:svn59171-61.fc38.noarch 3077/3380 Installing : texlive-xmuthesis-9:svn56614-61.fc38.noarch 3078/3380 Installing : texlive-curve-9:svn20745.1.16-61.fc38.noarch 3079/3380 Installing : texlive-kpfonts-otf-9:svn59304-61.fc38.noarch 3080/3380 Installing : texlive-aastex-9:svn58057-61.fc38.noarch 3081/3380 Installing : texlive-accanthis-9:svn32089.0-61.fc38.noarch 3082/3380 Installing : texlive-active-conf-9:svn15878.0.3a-61.fc38.no 3083/3380 Installing : texlive-aiaa-9:svn15878.3.6-61.fc38.noarch 3084/3380 Installing : texlive-alegreya-9:svn54512-61.fc38.noarch 3085/3380 Installing : texlive-alg-9:svn15878.0-61.fc38.noarch 3086/3380 Installing : texlive-allrunes-9:svn42221-61.fc38.noarch 3087/3380 Installing : texlive-alnumsec-9:svn15878.v0.03-61.fc38.noar 3088/3380 Installing : texlive-altfont-9:svn15878.1.1-61.fc38.noarch 3089/3380 Installing : texlive-apa6e-9:svn23350.0.3-61.fc38.noarch 3090/3380 Installing : texlive-arraysort-9:svn31576.1.0-61.fc38.noarc 3091/3380 Installing : texlive-ascelike-9:svn29129.2.3-61.fc38.noarch 3092/3380 Installing : texlive-assignment-9:svn20431.0-61.fc38.noarch 3093/3380 Installing : texlive-autopdf-9:svn32377.1.1-61.fc38.noarch 3094/3380 Installing : texlive-baskervaldx-9:svn57080-61.fc38.noarch 3095/3380 Installing : texlive-beamerthemenord-9:svn56180-61.fc38.noa 3096/3380 Installing : texlive-binomexp-9:svn15878.1.0-61.fc38.noarch 3097/3380 Installing : texlive-biocon-9:svn15878.0-61.fc38.noarch 3098/3380 Installing : texlive-bizcard-9:svn15878.1.1-61.fc38.noarch 3099/3380 Installing : texlive-boxhandler-9:svn28031.1.30-61.fc38.noa 3100/3380 Installing : texlive-cabin-9:svn55907-61.fc38.noarch 3101/3380 Installing : texlive-caladea-9:svn34991.0-61.fc38.noarch 3102/3380 Installing : texlive-carlito-9:svn35002.0-61.fc38.noarch 3103/3380 Installing : texlive-cascadilla-9:svn25144.1.8.2-61.fc38.no 3104/3380 Installing : texlive-catechis-9:svn49061-61.fc38.noarch 3105/3380 Installing : texlive-cbcoptic-9:svn16666.0.2-61.fc38.noarch 3106/3380 Installing : texlive-cellspace-9:svn50374-61.fc38.noarch 3107/3380 Installing : texlive-chapterfolder-9:svn15878.2.0.1-61.fc38 3108/3380 Installing : texlive-chscite-9:svn28552.2.9999-61.fc38.noar 3109/3380 Installing : texlive-cinzel-9:svn54512-61.fc38.noarch 3110/3380 Installing : texlive-clearsans-9:svn54512-61.fc38.noarch 3111/3380 Installing : texlive-cmll-9:svn17964.0-61.fc38.noarch 3112/3380 Installing : texlive-codedoc-9:svn17630.0.3-61.fc38.noarch 3113/3380 Installing : texlive-colordoc-9:svn18270.0-61.fc38.noarch 3114/3380 Installing : texlive-colorwav-9:svn15878.1.0-61.fc38.noarch 3115/3380 Installing : texlive-comicneue-9:svn54891-61.fc38.noarch 3116/3380 Installing : texlive-commath-9:svn15878.0.3-61.fc38.noarch 3117/3380 Installing : texlive-complexity-9:svn45322-61.fc38.noarch 3118/3380 Installing : texlive-computational-complexity-9:svn44847-61 3119/3380 Installing : texlive-concmath-9:svn17219.0-61.fc38.noarch 3120/3380 Installing : texlive-coseoul-9:svn23862.1.1-61.fc38.noarch 3121/3380 Installing : texlive-coverpage-9:svn15878.1.01-61.fc38.noar 3122/3380 Installing : texlive-ctib-9:svn15878.0-61.fc38.noarch 3123/3380 Installing : texlive-pecha-9:svn15878.0.1-61.fc38.noarch 3124/3380 Installing : texlive-currvita-9:svn15878.0-61.fc38.noarch 3125/3380 Installing : texlive-dantelogo-9:svn38599-61.fc38.noarch 3126/3380 Installing : texlive-dashundergaps-9:svn58150-61.fc38.noarc 3127/3380 Installing : texlive-dblfloatfix-9:svn28983.1.0a-61.fc38.no 3128/3380 Installing : texlive-decorule-9:svn55230-61.fc38.noarch 3129/3380 Installing : texlive-dirtytalk-9:svn20520.1.0-61.fc38.noarc 3130/3380 Installing : texlive-dotarrow-9:svn15878.0.01a-61.fc38.noar 3131/3380 Installing : texlive-dozenal-9:svn47680-61.fc38.noarch 3132/3380 Installing : texlive-dvdcoll-9:svn15878.v1.1a-61.fc38.noarc 3133/3380 Installing : texlive-eco-9:svn29349.1.3-61.fc38.noarch 3134/3380 Installing : texlive-economic-9:svn32639.0-61.fc38.noarch 3135/3380 Installing : texlive-egplot-9:svn20617.1.02a-61.fc38.noarch 3136/3380 Installing : texlive-elbioimp-9:svn21758.1.2-61.fc38.noarch 3137/3380 Installing : texlive-emulateapj-9:svn28469.0-61.fc38.noarch 3138/3380 Installing : texlive-epsdice-9:svn15878.2.1-61.fc38.noarch 3139/3380 Installing : texlive-erewhon-9:svn58722-61.fc38.noarch 3140/3380 Installing : texlive-europasscv-9:svn56829-61.fc38.noarch 3141/3380 Installing : texlive-exam-n-9:svn42755-61.fc38.noarch 3142/3380 Installing : texlive-exam-9:svn58023-61.fc38.noarch 3143/3380 Installing : texlive-exercise-9:svn35417.1.6-61.fc38.noarch 3144/3380 Installing : texlive-extsizes-9:svn17263.1.4a-61.fc38.noarc 3145/3380 Installing : texlive-fbb-9:svn55728-61.fc38.noarch 3146/3380 Installing : texlive-fdsymbol-9:svn26722.0.8-61.fc38.noarch 3147/3380 Installing : texlive-figsize-9:svn18784.0.1-61.fc38.noarch 3148/3380 Installing : texlive-fithesis-9:svn54483-61.fc38.noarch 3149/3380 Installing : texlive-fixmetodonotes-9:svn30168.0.2.2-61.fc3 3150/3380 Installing : texlive-flagderiv-9:svn15878.0.10-61.fc38.noar 3151/3380 Installing : texlive-flashcards-9:svn19667.1.0.1-61.fc38.no 3152/3380 Installing : texlive-flashmovie-9:svn25768.0.4-61.fc38.noar 3153/3380 Installing : texlive-flipbook-9:svn25584.0.2-61.fc38.noarch 3154/3380 Installing : texlive-flowfram-9:svn35291.1.17-61.fc38.noarc 3155/3380 Installing : texlive-fonetika-9:svn21326.0-61.fc38.noarch 3156/3380 Installing : texlive-frcursive-9:svn24559.0-61.fc38.noarch 3157/3380 Installing : texlive-g-brief-9:svn50415-61.fc38.noarch 3158/3380 Installing : texlive-getmap-9:20210325-52.fc38.noarch 3159/3380 Installing : texlive-gillius-9:svn32068.0-61.fc38.noarch 3160/3380 Installing : texlive-gnuplottex-9:svn54758-61.fc38.noarch 3161/3380 Installing : texlive-gu-9:svn15878.0-61.fc38.noarch 3162/3380 Installing : texlive-hepthesis-9:svn46054-61.fc38.noarch 3163/3380 Installing : texlive-heuristica-9:svn51362-61.fc38.noarch 3164/3380 Installing : texlive-hletter-9:svn30002.4.2-61.fc38.noarch 3165/3380 Installing : texlive-hvindex-9:svn46051-61.fc38.noarch 3166/3380 Installing : texlive-icsv-9:svn15878.0.2-61.fc38.noarch 3167/3380 Installing : texlive-inter-9:svn58892-61.fc38.noarch 3168/3380 Installing : texlive-interactiveworkbook-9:svn15878.0-61.fc 3169/3380 Installing : texlive-invoice-9:svn48359-61.fc38.noarch 3170/3380 Installing : texlive-kerntest-9:svn15878.1.32-61.fc38.noarc 3171/3380 Installing : texlive-kluwer-9:svn54074-61.fc38.noarch 3172/3380 Installing : texlive-koma-moderncvclassic-9:svn25025.v0.5-6 3173/3380 Installing : texlive-labbook-9:svn15878.0-61.fc38.noarch 3174/3380 Installing : texlive-librebaskerville-9:svn56018-61.fc38.no 3175/3380 Installing : texlive-librecaslon-9:svn56003-61.fc38.noarch 3176/3380 Installing : texlive-listofsymbols-9:svn16134.0.2-61.fc38.n 3177/3380 Installing : texlive-lobster2-9:svn56019-61.fc38.noarch 3178/3380 Installing : texlive-logicproof-9:svn33254.0-61.fc38.noarch 3179/3380 Installing : texlive-lpic-9:svn20843.0.8-61.fc38.noarch 3180/3380 Installing : texlive-luainputenc-9:svn20491.0.973-61.fc38.n 3181/3380 Installing : texlive-chextras-9:svn27118.1.01-61.fc38.noarc 3182/3380 Installing : texlive-magra-9:svn57373-61.fc38.noarch 3183/3380 Installing : texlive-mailmerge-9:svn15878.1.0-61.fc38.noarc 3184/3380 Installing : texlive-manuscript-9:svn36110.1.7-61.fc38.noar 3185/3380 Installing : texlive-mathexam-9:svn15878.1.00-61.fc38.noarc 3186/3380 Installing : texlive-mdsymbol-9:svn28399.0.5-61.fc38.noarch 3187/3380 Installing : texlive-meetingmins-9:svn31878.1.6-61.fc38.noa 3188/3380 Installing : texlive-membranecomputing-9:svn55918-61.fc38.n 3189/3380 Installing : texlive-merriweather-9:svn56365-61.fc38.noarch 3190/3380 Installing : texlive-miniplot-9:svn17483.0-61.fc38.noarch 3191/3380 Installing : texlive-mintspirit-9:svn32069.0-61.fc38.noarch 3192/3380 Installing : texlive-msg-9:svn49578-61.fc38.noarch 3193/3380 Installing : texlive-multicap-9:svn15878.0-61.fc38.noarch 3194/3380 Installing : texlive-nature-9:svn21819.1.0-61.fc38.noarch 3195/3380 Installing : texlive-ncclatex-9:svn15878.1.5-61.fc38.noarch 3196/3380 Installing : texlive-nddiss-9:svn45107-61.fc38.noarch 3197/3380 Installing : texlive-newlfm-9:svn15878.9.4-61.fc38.noarch 3198/3380 Installing : texlive-nih-9:svn15878.0-61.fc38.noarch 3199/3380 Installing : texlive-nomentbl-9:svn16549.0.4-61.fc38.noarch 3200/3380 Installing : texlive-nonfloat-9:svn17598.1.0-61.fc38.noarch 3201/3380 Installing : texlive-nrc-9:svn29027.2.01a-61.fc38.noarch 3202/3380 Installing : texlive-nuc-9:svn22256.0.1-61.fc38.noarch 3203/3380 Installing : texlive-ocr-latex-9:svn15878.0-61.fc38.noarch 3204/3380 Installing : texlive-oswald-9:svn57253-61.fc38.noarch 3205/3380 Installing : texlive-outlines-9:svn25192.1.1-61.fc38.noarch 3206/3380 Installing : texlive-overlock-9:svn56079-61.fc38.noarch 3207/3380 Installing : texlive-pauldoc-9:svn16005.0.5-61.fc38.noarch 3208/3380 Installing : texlive-pdfscreen-9:svn42428-61.fc38.noarch 3209/3380 Installing : texlive-pdfslide-9:svn15878.0-61.fc38.noarch 3210/3380 Installing : texlive-philex-9:svn36396.1.3-61.fc38.noarch 3211/3380 Installing : texlive-philosophersimprint-9:svn56954-61.fc38 3212/3380 Installing : texlive-plates-9:svn15878.0.1-61.fc38.noarch 3213/3380 Installing : texlive-playfair-9:svn56005-61.fc38.noarch 3214/3380 Installing : texlive-powerdot-fuberlin-9:svn52922-61.fc38.n 3215/3380 Installing : texlive-pracjourn-9:svn15878.0.4n-61.fc38.noar 3216/3380 Installing : texlive-probsoln-9:svn44783-61.fc38.noarch 3217/3380 Installing : texlive-pseudocode-9:svn54080-61.fc38.noarch 3218/3380 Installing : texlive-qcm-9:svn15878.2.1-61.fc38.noarch 3219/3380 Installing : texlive-quattrocento-9:svn56020-61.fc38.noarch 3220/3380 Installing : texlive-raleway-9:svn42629-61.fc38.noarch 3221/3380 Installing : texlive-realhats-9:svn52865-61.fc38.noarch 3222/3380 Installing : texlive-recipecard-9:svn15878.2.0-61.fc38.noar 3223/3380 Installing : texlive-refenums-9:svn44131-61.fc38.noarch 3224/3380 Installing : texlive-register-9:svn54485-61.fc38.noarch 3225/3380 Installing : texlive-repeatindex-9:svn24305.0.01-61.fc38.no 3226/3380 Installing : texlive-revtex-9:svn56591-61.fc38.noarch 3227/3380 Installing : texlive-rotpages-9:svn18740.3.0-61.fc38.noarch 3228/3380 Installing : texlive-scalebar-9:svn15878.1.0-61.fc38.noarch 3229/3380 Installing : texlive-schooldocs-9:svn55838-61.fc38.noarch 3230/3380 Installing : texlive-sciposter-9:svn15878.1.18-61.fc38.noar 3231/3380 Installing : texlive-sectionbox-9:svn37749.1.01-61.fc38.noa 3232/3380 Installing : texlive-sffms-9:svn15878.2.0-61.fc38.noarch 3233/3380 Installing : texlive-shipunov-9:svn52334-61.fc38.noarch 3234/3380 Installing : texlive-sidecap-9:svn15878.1.6f-61.fc38.noarch 3235/3380 Installing : texlive-simplecd-9:svn29260.1.4-61.fc38.noarch 3236/3380 Installing : texlive-songbook-9:svn18136.4.5-61.fc38.noarch 3237/3380 Installing : texlive-epigraph-keys-9:svn54851-61.fc38.noarc 3238/3380 Installing : texlive-sourcesanspro-9:svn54892-61.fc38.noarc 3239/3380 Installing : texlive-sourceserifpro-9:svn54512-61.fc38.noar 3240/3380 Installing : texlive-spie-9:svn15878.3.25-61.fc38.noarch 3241/3380 Installing : texlive-srcltx-9:svn15878.1.6-61.fc38.noarch 3242/3380 Installing : texlive-statex-9:svn20306.1.6-61.fc38.noarch 3243/3380 Installing : texlive-statex2-9:svn23961.2.1-61.fc38.noarch 3244/3380 Installing : texlive-stellenbosch-9:svn36696.11a-61.fc38.no 3245/3380 Installing : texlive-stix-9:svn54512-61.fc38.noarch 3246/3380 Installing : texlive-suftesi-9:svn57650-61.fc38.noarch 3247/3380 Installing : texlive-syllogism-9:svn15878.1.2-61.fc38.noarc 3248/3380 Installing : texlive-sympytexpackage-9:svn57090-61.fc38.noa 3249/3380 Installing : texlive-syntrace-9:svn15878.1.1-61.fc38.noarch 3250/3380 Installing : texlive-termcal-9:svn22514.1.8-61.fc38.noarch 3251/3380 Installing : texlive-texlogos-9:svn19083.1.3.1-61.fc38.noar 3252/3380 Installing : texlive-ticket-9:svn42280-61.fc38.noarch 3253/3380 Installing : texlive-tipauni-9:svn59009-61.fc38.noarch 3254/3380 Installing : texlive-tokenizer-9:svn15878.1.1.0-61.fc38.noa 3255/3380 Installing : texlive-turabian-formatting-9:svn58561-61.fc38 3256/3380 Installing : texlive-turnstile-9:svn15878.1.0-61.fc38.noarc 3257/3380 Installing : texlive-typehtml-9:svn17134.0-61.fc38.noarch 3258/3380 Installing : texlive-uassign-9:svn38459-61.fc38.noarch 3259/3380 Installing : texlive-ucdavisthesis-9:svn40772-61.fc38.noarc 3260/3380 Installing : texlive-umthesis-9:svn15878.0.2-61.fc38.noarch 3261/3380 Installing : texlive-universalis-9:svn33860.0-61.fc38.noarc 3262/3380 Installing : texlive-varsfromjobname-9:svn44154-61.fc38.noa 3263/3380 Installing : texlive-williams-9:svn15878.0-61.fc38.noarch 3264/3380 Installing : texlive-xyling-9:svn15878.1.1-61.fc38.noarch 3265/3380 Installing : texlive-sdrt-9:svn15878.1.0-61.fc38.noarch 3266/3380 Installing : texlive-york-thesis-9:svn23348.3.6-61.fc38.noa 3267/3380 Installing : texlive-yplan-9:20210325-52.fc38.noarch 3268/3380 Installing : texlive-acroterm-9:svn20498.0.1-61.fc38.noarch 3269/3380 Installing : texlive-changes-9:svn58773-61.fc38.noarch 3270/3380 Installing : texlive-dithesis-9:svn34295.0.2-61.fc38.noarch 3271/3380 Installing : texlive-doclicense-9:svn58350-61.fc38.noarch 3272/3380 Installing : texlive-rec-thy-9:svn58732-61.fc38.noarch 3273/3380 Installing : texlive-romanbarpagenumber-9:svn36236.1.0-61.f 3274/3380 Installing : texlive-tablefootnote-9:svn32804.1.1c-61.fc38. 3275/3380 Installing : texlive-tufte-latex-9:svn37649.3.5.2-61.fc38.n 3276/3380 Installing : texlive-yagusylo-9:svn29803.1.2-61.fc38.noarch 3277/3380 Installing : texlive-ot-tableau-9:svn44889-61.fc38.noarch 3278/3380 Installing : texlive-helmholtz-ellis-ji-notation-9:svn55213 3279/3380 Installing : texlive-realscripts-9:svn56594-61.fc38.noarch 3280/3380 Installing : texlive-xltxtra-9:svn56594-61.fc38.noarch 3281/3380 Installing : texlive-collection-fontsrecommended-9:svn54074 3282/3380 Installing : texlive-collection-latex-9:svn57048-61.fc38.no 3283/3380 Installing : texlive-collection-latexrecommended-9:svn57862 3284/3380 Installing : texlive-collection-basic-9:svn59159-61.fc38.no 3285/3380 Installing : texlive-dvipdfmx-9:20210325-52.fc38.x86_64 3286/3380 Installing : texlive-xetex-9:20210325-52.fc38.x86_64 3287/3380 Installing : texlive-gmutils-9:svn24287.v0.996-61.fc38.noar 3288/3380 Installing : texlive-gmverb-9:svn24288.v0.98-61.fc38.noarch 3289/3380 Installing : texlive-gmdoc-9:svn21292.0.993-61.fc38.noarch 3290/3380 Installing : texlive-pgf-umlcd-9:svn55342-61.fc38.noarch 3291/3380 Installing : texlive-pgf-umlsd-9:svn55342-61.fc38.noarch 3292/3380 Installing : texlive-schule-9:svn56683-61.fc38.noarch 3293/3380 Installing : texlive-gmdoc-enhance-9:svn15878.v0.2-61.fc38. 3294/3380 Installing : tex-preview-13.1-2.fc37.noarch 3295/3380 Installing : texlive-pgf-pie-9:svn59075-61.fc38.noarch 3296/3380 Installing : texlive-ptex-9:20210325-52.fc38.x86_64 3297/3380 Installing : texlive-platex-9:svn58842-61.fc38.noarch 3298/3380 Installing : texlive-platex-tools-9:svn57729-61.fc38.noarch 3299/3380 Installing : texlive-beamer2thesis-9:svn27539.2.2-61.fc38.n 3300/3380 Installing : texlive-sapthesis-9:svn48365-61.fc38.noarch 3301/3380 Installing : texlive-concepts-9:svn29020.0.0.5_r1-61.fc38.n 3302/3380 Installing : texlive-elzcards-9:svn51894-61.fc38.noarch 3303/3380 Installing : texlive-eqexpl-9:svn54080-61.fc38.noarch 3304/3380 Installing : texlive-longdivision-9:svn55117-61.fc38.noarch 3305/3380 Installing : texlive-ninecolors-9:svn59330-61.fc38.noarch 3306/3380 Installing : texlive-physics-9:svn28590.1.3-61.fc38.noarch 3307/3380 Installing : texlive-swfigure-9:svn57213-61.fc38.noarch 3308/3380 Installing : texlive-tucv-9:svn20680.1.0-61.fc38.noarch 3309/3380 Installing : texlive-underoverlap-9:svn29019.0.0.1_r1-61.fc 3310/3380 Installing : texlive-unitconv-9:svn55060-61.fc38.noarch 3311/3380 Installing : texlive-wtref-9:svn55558-61.fc38.noarch 3312/3380 Installing : texlive-dvisvgm-9:20210325-52.fc38.x86_64 3313/3380 Installing : texlive-webquiz-9:20210325-52.fc38.noarch 3314/3380 Installing : abc-libs-1.01-35.git20220731.fc37.x86_64 3315/3380 Installing : libstdc++-devel-13.0.0-0.4.fc38.x86_64 3316/3380 Installing : libatomic-13.0.0-0.4.fc38.x86_64 3317/3380 Installing : javascriptcoregtk4.0-2.39.3-3.fc38.x86_64 3318/3380 Installing : annobin-docs-10.99-1.0.1.fc38.noarch 3319/3380 Installing : svt-av1-libs-1.4.1-1.fc38.x86_64 3320/3380 Installing : ruby-libs-3.1.3-175.fc38.x86_64 3321/3380 Installing : rubypick-1.1.1-17.fc37.noarch 3322/3380 Installing : ruby-3.1.3-175.fc38.x86_64 3323/3380 Installing : texlive-convbkmk-9:20210325-52.fc38.noarch 3324/3380 Installing : texlive-uptex-9:20210325-52.fc38.x86_64 3325/3380 Installing : texlive-uplatex-9:svn58842-61.fc38.noarch 3326/3380 Installing : texlive-ctex-9:svn58583-61.fc38.noarch 3327/3380 Installing : texlive-resumecls-9:svn54815-61.fc38.noarch 3328/3380 Installing : openblas-0.3.21-4.fc38.x86_64 3329/3380 Installing : openblas-openmp-0.3.21-4.fc38.x86_64 3330/3380 Installing : flexiblas-netlib-3.2.1-3.fc38.x86_64 3331/3380 Installing : flexiblas-openblas-openmp-3.2.1-3.fc38.x86_64 3332/3380 Installing : R-core-4.2.2-5.fc38.x86_64 3333/3380 Running scriptlet: R-core-4.2.2-5.fc38.x86_64 3333/3380 Installing : R-evaluate-0.15-1.fc38.noarch 3334/3380 Installing : R-stringi-1.7.8-1.fc38.x86_64 3335/3380 Installing : R-yaml-2.3.5-1.fc38.x86_64 3336/3380 Installing : R-magrittr-2.0.3-1.fc38.x86_64 3337/3380 Installing : R-glue-1.6.2-1.fc38.x86_64 3338/3380 Installing : R-stringr-1.4.0-14.fc38.noarch 3339/3380 Installing : R-highr-0.9-7.fc38.noarch 3340/3380 Installing : R-xfun-0.33-1.fc38.x86_64 3341/3380 Installing : R-knitr-1.39-1.fc38.noarch 3342/3380 Installing : texlive-includernw-9:svn47557-61.fc38.noarch 3343/3380 Installing : libvmaf-2.3.0-4.fc38.x86_64 3344/3380 Installing : libaom-3.5.0-2.fc38.x86_64 3345/3380 Installing : libavif-0.11.1-4.fc38.x86_64 3346/3380 Installing : gd-2.3.3-9.fc38.x86_64 3347/3380 Installing : graphviz-7.0.5-1.fc38.x86_64 3348/3380 Running scriptlet: graphviz-7.0.5-1.fc38.x86_64 3348/3380 Installing : ImageMagick-libs-1:6.9.12.70-1.fc38.x86_64 3349/3380 Installing : ImageMagick-1:6.9.12.70-1.fc38.x86_64 3350/3380 Installing : texlive-graphicxpsd-9:svn57341-61.fc38.noarch 3351/3380 Installing : texlive-collection-pictures-9:svn59115-61.fc38 3352/3380 Installing : ImageMagick-c++-1:6.9.12.70-1.fc38.x86_64 3353/3380 Installing : pstoedit-3.78-5.fc37.x86_64 3354/3380 Installing : autotrace-0.31.9-2.fc38.x86_64 3355/3380 Installing : fontforge-20220308-3.fc37.x86_64 3356/3380 Installing : texlive-aesupp-9:svn58253-61.fc38.noarch 3357/3380 Installing : libunwind-1.6.2-5.fc38.x86_64 3358/3380 Installing : gstreamer1-1.20.4-1.fc38.x86_64 3359/3380 Installing : gstreamer1-plugins-base-1.20.4-1.fc38.x86_64 3360/3380 Installing : gstreamer1-plugins-bad-free-1.20.4-2.fc38.x86_ 3361/3380 Installing : webkit2gtk4.0-2.39.3-3.fc38.x86_64 3362/3380 Installing : pdfpc-4.5.0-8.fc37.x86_64 3363/3380 Installing : texlive-pdfpc-movie-9:svn48245-61.fc38.noarch 3364/3380 Installing : texlive-collection-latexextra-9:svn59009-61.fc 3365/3380 Installing : texlive-collection-fontsextra-9:svn58253-61.fc 3366/3380 Installing : texlive-collection-mathscience-9:svn57759-61.f 3367/3380 Installing : texlive-collection-publishers-9:svn59002-61.fc 3368/3380 Installing : annobin-plugin-gcc-10.99-1.0.1.fc38.x86_64 3369/3380 Running scriptlet: annobin-plugin-gcc-10.99-1.0.1.fc38.x86_64 3369/3380 Installing : gcc-c++-13.0.0-0.4.fc38.x86_64 3370/3380 Installing : abc-1.01-35.git20220731.fc37.x86_64 3371/3380 Installing : texlive-collection-bibtexextra-9:svn58437-61.f 3372/3380 Installing : gcc-plugin-annobin-13.0.0-0.4.fc38.x86_64 3373/3380 Installing : readline-devel-8.2-2.fc38.x86_64 3374/3380 Installing : tcl-devel-1:8.6.12-3.fc38.x86_64 3375/3380 Installing : bison-3.8.2-3.fc37.x86_64 3376/3380 Installing : flex-2.6.4-11.fc37.x86_64 3377/3380 Installing : txt2man-1.7.1-3.fc37.noarch 3378/3380 Installing : libffi-devel-3.4.4-1.fc38.x86_64 3379/3380 Installing : iverilog-11.0-6.fc38.x86_64 3380/3380 Running scriptlet: texlive-base-9:20210325-52.fc38.x86_64 3380/3380 Running scriptlet: urw-base35-bookman-fonts-20200910-15.fc37.noar 3380/3380 Running scriptlet: urw-base35-c059-fonts-20200910-15.fc37.noarch 3380/3380 Running scriptlet: urw-base35-d050000l-fonts-20200910-15.fc37.noa 3380/3380 Running scriptlet: urw-base35-gothic-fonts-20200910-15.fc37.noarc 3380/3380 Running scriptlet: urw-base35-nimbus-mono-ps-fonts-20200910-15.fc 3380/3380 Running scriptlet: urw-base35-nimbus-roman-fonts-20200910-15.fc37 3380/3380 Running scriptlet: urw-base35-nimbus-sans-fonts-20200910-15.fc37. 3380/3380 Running scriptlet: urw-base35-p052-fonts-20200910-15.fc37.noarch 3380/3380 Running scriptlet: urw-base35-standard-symbols-ps-fonts-20200910- 3380/3380 Running scriptlet: urw-base35-z003-fonts-20200910-15.fc37.noarch 3380/3380 Running scriptlet: crypto-policies-scripts-20221215-1.gita4c31a3. 3380/3380 Running scriptlet: nss-3.85.0-1.fc38.x86_64 3380/3380 Running scriptlet: fontconfig-2.14.1-2.fc38.x86_64 3380/3380 Running scriptlet: R-core-4.2.2-5.fc38.x86_64 3380/3380 Running scriptlet: iverilog-11.0-6.fc38.x86_64 3380/3380 Verifying : colord-libs-1.4.6-2.fc38.x86_64 1/3380 Verifying : gstreamer1-plugins-bad-free-1.20.4-2.fc38.x86_ 2/3380 Verifying : libXxf86vm-1.1.5-1.fc38.x86_64 3/3380 Verifying : libnice-0.1.19-3.fc38.x86_64 4/3380 Verifying : libunwind-1.6.2-5.fc38.x86_64 5/3380 Verifying : libvmaf-2.3.0-4.fc38.x86_64 6/3380 Verifying : openblas-0.3.21-4.fc38.x86_64 7/3380 Verifying : openblas-openmp-0.3.21-4.fc38.x86_64 8/3380 Verifying : opus-1.3.1-11.fc38.x86_64 9/3380 Verifying : ruby-3.1.3-175.fc38.x86_64 10/3380 Verifying : ruby-libs-3.1.3-175.fc38.x86_64 11/3380 Verifying : svt-av1-libs-1.4.1-1.fc38.x86_64 12/3380 Verifying : zziplib-0.13.72-2.fc38.x86_64 13/3380 Verifying : annobin-docs-10.99-1.0.1.fc38.noarch 14/3380 Verifying : annobin-plugin-gcc-10.99-1.0.1.fc38.x86_64 15/3380 Verifying : cpp-13.0.0-0.4.fc38.x86_64 16/3380 Verifying : gcc-13.0.0-0.4.fc38.x86_64 17/3380 Verifying : gcc-c++-13.0.0-0.4.fc38.x86_64 18/3380 Verifying : gcc-plugin-annobin-13.0.0-0.4.fc38.x86_64 19/3380 Verifying : libatomic-13.0.0-0.4.fc38.x86_64 20/3380 Verifying : libgfortran-13.0.0-0.4.fc38.x86_64 21/3380 Verifying : libquadmath-13.0.0-0.4.fc38.x86_64 22/3380 Verifying : libstdc++-devel-13.0.0-0.4.fc38.x86_64 23/3380 Verifying : libtool-ltdl-2.4.7-3.0.1.fc38.x86_64 24/3380 Verifying : ImageMagick-1:6.9.12.70-1.fc38.x86_64 25/3380 Verifying : ImageMagick-c++-1:6.9.12.70-1.fc38.x86_64 26/3380 Verifying : ImageMagick-libs-1:6.9.12.70-1.fc38.x86_64 27/3380 Verifying : LibRaw-0.21.0-1.fc38.x86_64 28/3380 Verifying : R-core-4.2.2-5.fc38.x86_64 29/3380 Verifying : R-evaluate-0.15-1.fc38.noarch 30/3380 Verifying : R-glue-1.6.2-1.fc38.x86_64 31/3380 Verifying : R-highr-0.9-7.fc38.noarch 32/3380 Verifying : R-knitr-1.39-1.fc38.noarch 33/3380 Verifying : R-magrittr-2.0.3-1.fc38.x86_64 34/3380 Verifying : R-stringi-1.7.8-1.fc38.x86_64 35/3380 Verifying : R-stringr-1.4.0-14.fc38.noarch 36/3380 Verifying : R-xfun-0.33-1.fc38.x86_64 37/3380 Verifying : R-yaml-2.3.5-1.fc38.x86_64 38/3380 Verifying : abc-1.01-35.git20220731.fc37.x86_64 39/3380 Verifying : abc-libs-1.01-35.git20220731.fc37.x86_64 40/3380 Verifying : adobe-mappings-cmap-20190730-4.fc37.noarch 41/3380 Verifying : adobe-mappings-cmap-deprecated-20190730-4.fc37 42/3380 Verifying : adobe-mappings-pdf-20190401-2.fc37.noarch 43/3380 Verifying : adwaita-cursor-theme-43-1.fc38.noarch 44/3380 Verifying : adwaita-icon-theme-43-1.fc38.noarch 45/3380 Verifying : alsa-lib-1.2.8-2.fc38.x86_64 46/3380 Verifying : at-spi2-atk-2.46.0-2.fc38.x86_64 47/3380 Verifying : at-spi2-core-2.46.0-2.fc38.x86_64 48/3380 Verifying : atk-2.46.0-2.fc38.x86_64 49/3380 Verifying : autotrace-0.31.9-2.fc38.x86_64 50/3380 Verifying : avahi-libs-0.8-19.fc38.x86_64 51/3380 Verifying : biber-2.18-1.fc38.noarch 52/3380 Verifying : bison-3.8.2-3.fc37.x86_64 53/3380 Verifying : bubblewrap-0.5.0-3.fc37.x86_64 54/3380 Verifying : cairo-1.17.6-2.fc37.x86_64 55/3380 Verifying : cairo-gobject-1.17.6-2.fc37.x86_64 56/3380 Verifying : cdparanoia-libs-10.2-40.fc37.x86_64 57/3380 Verifying : crypto-policies-scripts-20221215-1.gita4c31a3. 58/3380 Verifying : cups-libs-1:2.4.2-5.fc38.x86_64 59/3380 Verifying : dbus-1:1.14.4-1.fc38.x86_64 60/3380 Verifying : dbus-broker-32-1.fc37.x86_64 61/3380 Verifying : dbus-common-1:1.14.4-1.fc38.noarch 62/3380 Verifying : dbus-libs-1:1.14.4-1.fc38.x86_64 63/3380 Verifying : desktop-file-utils-0.26-7.fc37.x86_64 64/3380 Verifying : emacs-filesystem-1:28.1-3.fc37.noarch 65/3380 Verifying : enchant2-2.3.3-2.fc37.x86_64 66/3380 Verifying : expat-2.5.0-1.fc38.x86_64 67/3380 Verifying : fdk-aac-free-2.0.0-9.fc37.x86_64 68/3380 Verifying : flac-libs-1.4.2-1.fc38.x86_64 69/3380 Verifying : flex-2.6.4-11.fc37.x86_64 70/3380 Verifying : flexiblas-3.2.1-3.fc38.x86_64 71/3380 Verifying : flexiblas-netlib-3.2.1-3.fc38.x86_64 72/3380 Verifying : flexiblas-openblas-openmp-3.2.1-3.fc38.x86_64 73/3380 Verifying : fontawesome-fonts-1:4.7.0-14.fc37.noarch 74/3380 Verifying : fontconfig-2.14.1-2.fc38.x86_64 75/3380 Verifying : fontforge-20220308-3.fc37.x86_64 76/3380 Verifying : fonts-filesystem-1:2.0.5-10.fc38.noarch 77/3380 Verifying : freetype-2.12.1-3.fc37.x86_64 78/3380 Verifying : fribidi-1.0.12-2.fc37.x86_64 79/3380 Verifying : gc-8.2.2-1.fc38.x86_64 80/3380 Verifying : gd-2.3.3-9.fc38.x86_64 81/3380 Verifying : gdk-pixbuf2-2.42.10-1.fc38.x86_64 82/3380 Verifying : gdk-pixbuf2-modules-2.42.10-1.fc38.x86_64 83/3380 Verifying : ghostscript-9.56.1-5.fc38.x86_64 84/3380 Verifying : ghostscript-tools-fonts-9.56.1-5.fc38.x86_64 85/3380 Verifying : ghostscript-tools-printing-9.56.1-5.fc38.x86_6 86/3380 Verifying : giflib-5.2.1-14.fc37.x86_64 87/3380 Verifying : glib-networking-2.74.0-1.fc38.x86_64 88/3380 Verifying : glib2-2.74.1-2.fc38.x86_64 89/3380 Verifying : glibc-devel-2.36.9000-19.fc38.x86_64 90/3380 Verifying : glibc-headers-x86-2.36.9000-19.fc38.noarch 91/3380 Verifying : gnutls-3.7.8-9.fc38.x86_64 92/3380 Verifying : google-droid-sans-fonts-20200215-13.fc37.noarc 93/3380 Verifying : google-noto-fonts-common-20201206^1.git0c78c83 94/3380 Verifying : google-noto-sans-vf-fonts-20201206^1.git0c78c8 95/3380 Verifying : graphene-1.10.6-4.fc37.x86_64 96/3380 Verifying : graphite2-1.3.14-10.fc37.x86_64 97/3380 Verifying : graphviz-7.0.5-1.fc38.x86_64 98/3380 Verifying : groff-base-1.22.4-10.fc37.x86_64 99/3380 Verifying : gsettings-desktop-schemas-43.0-1.fc38.x86_64 100/3380 Verifying : gsm-1.0.22-1.fc37.x86_64 101/3380 Verifying : gssdp-1.6.2-1.fc38.x86_64 102/3380 Verifying : gstreamer1-1.20.4-1.fc38.x86_64 103/3380 Verifying : gstreamer1-plugins-base-1.20.4-1.fc38.x86_64 104/3380 Verifying : gtk-update-icon-cache-3.24.35-2.fc38.x86_64 105/3380 Verifying : gtk3-3.24.35-2.fc38.x86_64 106/3380 Verifying : gts-0.7.6-43.20121130.fc38.x86_64 107/3380 Verifying : guile22-2.2.7-6.fc37.x86_64 108/3380 Verifying : gupnp-1.6.3-1.fc38.x86_64 109/3380 Verifying : gupnp-igd-1.2.0-7.fc38.x86_64 110/3380 Verifying : harfbuzz-6.0.0-1.fc38.x86_64 111/3380 Verifying : harfbuzz-icu-6.0.0-1.fc38.x86_64 112/3380 Verifying : hicolor-icon-theme-0.17-14.fc37.noarch 113/3380 Verifying : highway-1.0.2-1.fc38.x86_64 114/3380 Verifying : hunspell-1.7.1-1.fc38.x86_64 115/3380 Verifying : hunspell-en-US-0.20201207-2.fc38.noarch 116/3380 Verifying : hunspell-filesystem-1.7.1-1.fc38.x86_64 117/3380 Verifying : hwdata-0.365-1.fc38.noarch 118/3380 Verifying : hyphen-2.8.8-18.fc37.x86_64 119/3380 Verifying : imath-3.1.6-1.fc38.x86_64 120/3380 Verifying : iso-codes-4.12.0-2.fc38.noarch 121/3380 Verifying : iverilog-11.0-6.fc38.x86_64 122/3380 Verifying : jasper-libs-3.0.6-1.fc37.x86_64 123/3380 Verifying : javascriptcoregtk4.0-2.39.3-3.fc38.x86_64 124/3380 Verifying : jbig2dec-libs-0.19-7.fc37.x86_64 125/3380 Verifying : jbigkit-libs-2.1-24.fc37.x86_64 126/3380 Verifying : json-glib-1.6.6-3.fc37.x86_64 127/3380 Verifying : kernel-headers-6.1.0-1.fc38.x86_64 128/3380 Verifying : lame-libs-3.100-13.fc37.x86_64 129/3380 Verifying : langpacks-core-font-en-3.0-27.fc38.noarch 130/3380 Verifying : lasi-1.1.3-9.fc37.x86_64 131/3380 Verifying : lcms2-2.14-1.fc38.x86_64 132/3380 Verifying : less-608-1.fc38.x86_64 133/3380 Verifying : libEMF-1.0.13-6.fc37.x86_64 134/3380 Verifying : libICE-1.0.10-9.fc37.x86_64 135/3380 Verifying : libRmath-4.2.2-5.fc38.x86_64 136/3380 Verifying : libSM-1.2.3-11.fc37.x86_64 137/3380 Verifying : libX11-1.8.1-2.fc38.x86_64 138/3380 Verifying : libX11-common-1.8.1-2.fc38.noarch 139/3380 Verifying : libX11-xcb-1.8.1-2.fc38.x86_64 140/3380 Verifying : libXau-1.0.11-1.fc38.x86_64 141/3380 Verifying : libXaw-1.0.14-3.fc37.x86_64 142/3380 Verifying : libXcomposite-0.4.5-8.fc37.x86_64 143/3380 Verifying : libXcursor-1.2.1-2.fc37.x86_64 144/3380 Verifying : libXdamage-1.1.5-8.fc37.x86_64 145/3380 Verifying : libXext-1.3.5-1.fc38.x86_64 146/3380 Verifying : libXfixes-6.0.0-4.fc37.x86_64 147/3380 Verifying : libXft-2.3.6-1.fc38.x86_64 148/3380 Verifying : libXi-1.8-3.fc37.x86_64 149/3380 Verifying : libXinerama-1.1.5-1.fc38.x86_64 150/3380 Verifying : libXmu-1.1.4-1.fc38.x86_64 151/3380 Verifying : libXpm-3.5.13-8.fc37.x86_64 152/3380 Verifying : libXrandr-1.5.2-9.fc37.x86_64 153/3380 Verifying : libXrender-0.9.11-1.fc38.x86_64 154/3380 Verifying : libXt-1.2.1-3.fc37.x86_64 155/3380 Verifying : libXtst-1.2.4-1.fc38.x86_64 156/3380 Verifying : libXv-1.0.11-17.fc37.x86_64 157/3380 Verifying : libaom-3.5.0-2.fc38.x86_64 158/3380 Verifying : libavif-0.11.1-4.fc38.x86_64 159/3380 Verifying : libb2-0.98.1-7.fc37.x86_64 160/3380 Verifying : libcloudproviders-0.3.1-6.fc37.x86_64 161/3380 Verifying : libdatrie-0.2.13-4.fc37.x86_64 162/3380 Verifying : libdav1d-1.0.0-2.fc37.x86_64 163/3380 Verifying : libdrm-2.4.114-1.fc38.x86_64 164/3380 Verifying : libdvdnav-6.1.1-4.fc37.x86_64 165/3380 Verifying : libdvdread-6.1.3-2.fc37.x86_64 166/3380 Verifying : libepoxy-1.5.10-2.fc37.x86_64 167/3380 Verifying : libevdev-1.13.0-1.fc37.x86_64 168/3380 Verifying : libffi-devel-3.4.4-1.fc38.x86_64 169/3380 Verifying : libfontenc-1.1.6-1.fc38.x86_64 170/3380 Verifying : libgcrypt-1.10.1-6.fc38.x86_64 171/3380 Verifying : libgee-0.20.6-1.fc38.x86_64 172/3380 Verifying : libglvnd-1:1.6.0-1.fc38.x86_64 173/3380 Verifying : libglvnd-egl-1:1.6.0-1.fc38.x86_64 174/3380 Verifying : libglvnd-glx-1:1.6.0-1.fc38.x86_64 175/3380 Verifying : libgpg-error-1.46-1.fc38.x86_64 176/3380 Verifying : libgs-9.56.1-5.fc38.x86_64 177/3380 Verifying : libgudev-237-3.fc37.x86_64 178/3380 Verifying : libgusb-0.4.3-1.fc38.x86_64 179/3380 Verifying : libicu-71.1-2.fc38.x86_64 180/3380 Verifying : libijs-0.35-16.fc37.x86_64 181/3380 Verifying : libimagequant-2.17.0-3.fc37.x86_64 182/3380 Verifying : libjpeg-turbo-2.1.4-1.fc38.x86_64 183/3380 Verifying : libjxl-1:0.7.0-5.fc38.x86_64 184/3380 Verifying : liblqr-1-0.4.2-20.fc37.x86_64 185/3380 Verifying : libmanette-0.2.6-5.fc37.x86_64 186/3380 Verifying : libmarkdown-2.2.7-3.fc38.x86_64 187/3380 Verifying : libmpc-1.2.1-5.fc37.x86_64 188/3380 Verifying : libogg-2:1.3.5-4.fc37.x86_64 189/3380 Verifying : libpaper-1.1.28-5.fc37.x86_64 190/3380 Verifying : libpciaccess-0.16-7.fc37.x86_64 191/3380 Verifying : libpng-2:1.6.37-13.fc37.x86_64 192/3380 Verifying : libproxy-0.4.18-4.fc38.x86_64 193/3380 Verifying : libraqm-0.8.0-2.fc37.x86_64 194/3380 Verifying : librsvg2-2.55.1-3.fc38.x86_64 195/3380 Verifying : libseccomp-2.5.3-3.fc37.x86_64 196/3380 Verifying : libsecret-0.20.5-2.fc37.x86_64 197/3380 Verifying : libsndfile-1.1.0-5.fc38.x86_64 198/3380 Verifying : libsoup-2.74.3-1.fc38.x86_64 199/3380 Verifying : libsoup3-3.2.2-1.fc38.x86_64 200/3380 Verifying : libspiro-20221101-1.fc38.x86_64 201/3380 Verifying : libsrtp-2.3.0-9.fc37.x86_64 202/3380 Verifying : libstemmer-2.2.0-4.fc38.x86_64 203/3380 Verifying : libthai-0.1.29-3.fc37.x86_64 204/3380 Verifying : libtheora-1:1.1.1-32.fc37.x86_64 205/3380 Verifying : libtiff-4.4.0-4.fc37.x86_64 206/3380 Verifying : libtracker-sparql-3.4.2-1.fc38.x86_64 207/3380 Verifying : libusb1-1.0.26-1.fc38.x86_64 208/3380 Verifying : libva-2.17.0-1.fc38.x86_64 209/3380 Verifying : libvisual-1:0.4.0-36.fc37.x86_64 210/3380 Verifying : libvorbis-1:1.3.7-6.fc37.x86_64 211/3380 Verifying : libwayland-client-1.21.0-1.fc37.x86_64 212/3380 Verifying : libwayland-cursor-1.21.0-1.fc37.x86_64 213/3380 Verifying : libwayland-egl-1.21.0-1.fc37.x86_64 214/3380 Verifying : libwayland-server-1.21.0-1.fc37.x86_64 215/3380 Verifying : libwebp-1.2.4-2.fc38.x86_64 216/3380 Verifying : libwmf-lite-0.2.12-9.fc37.x86_64 217/3380 Verifying : libwpe-1.14.0-1.fc38.x86_64 218/3380 Verifying : libxcb-1.13.1-10.fc37.x86_64 219/3380 Verifying : libxcrypt-devel-4.4.33-5.fc38.x86_64 220/3380 Verifying : libxkbcommon-1.4.1-2.fc37.x86_64 221/3380 Verifying : libxshmfence-1.3-11.fc37.x86_64 222/3380 Verifying : libxslt-1.1.37-1.fc38.x86_64 223/3380 Verifying : libzip-1.9.2-2.fc37.x86_64 224/3380 Verifying : lilv-libs-0.24.14-3.fc37.x86_64 225/3380 Verifying : m4-1.4.19-4.fc37.x86_64 226/3380 Verifying : mailcap-2.1.53-4.fc37.noarch 227/3380 Verifying : make-1:4.3-11.fc37.x86_64 228/3380 Verifying : mesa-filesystem-22.3.1-1.fc38.x86_64 229/3380 Verifying : mesa-libEGL-22.3.1-1.fc38.x86_64 230/3380 Verifying : mesa-libGL-22.3.1-1.fc38.x86_64 231/3380 Verifying : mesa-libgbm-22.3.1-1.fc38.x86_64 232/3380 Verifying : mesa-libglapi-22.3.1-1.fc38.x86_64 233/3380 Verifying : mkfontscale-1.2.2-2.fc37.x86_64 234/3380 Verifying : mpdecimal-2.5.1-4.fc37.x86_64 235/3380 Verifying : mpg123-libs-1.31.1-3.fc38.x86_64 236/3380 Verifying : ncurses-6.3-5.20221126.fc38.x86_64 237/3380 Verifying : ncurses-c++-libs-6.3-5.20221126.fc38.x86_64 238/3380 Verifying : ncurses-devel-6.3-5.20221126.fc38.x86_64 239/3380 Verifying : netpbm-11.00.00-2.fc38.x86_64 240/3380 Verifying : nspr-4.35.0-2.fc38.x86_64 241/3380 Verifying : nss-3.85.0-1.fc38.x86_64 242/3380 Verifying : nss-softokn-3.85.0-1.fc38.x86_64 243/3380 Verifying : nss-softokn-freebl-3.85.0-1.fc38.x86_64 244/3380 Verifying : nss-sysinit-3.85.0-1.fc38.x86_64 245/3380 Verifying : nss-util-3.85.0-1.fc38.x86_64 246/3380 Verifying : oldstandard-sfd-fonts-2.0.2-32.fc37.noarch 247/3380 Verifying : openexr-libs-3.1.5-2.fc37.x86_64 248/3380 Verifying : openjpeg2-2.5.0-2.fc37.x86_64 249/3380 Verifying : orc-0.4.33-1.fc38.x86_64 250/3380 Verifying : pango-1.50.12-1.fc38.x86_64 251/3380 Verifying : pcre-8.45-1.fc37.2.x86_64 252/3380 Verifying : pdfpc-4.5.0-8.fc37.x86_64 253/3380 Verifying : perl-Authen-SASL-2.16-28.fc37.noarch 254/3380 Verifying : perl-AutoLoader-5.74-492.fc38.noarch 255/3380 Verifying : perl-B-1.83-492.fc38.x86_64 256/3380 Verifying : perl-B-Hooks-EndOfScope-0.26-4.fc37.noarch 257/3380 Verifying : perl-BibTeX-Parser-1.03-6.fc37.noarch 258/3380 Verifying : perl-Bit-Vector-7.4-28.fc38.x86_64 259/3380 Verifying : perl-Business-ISBN-3.007-4.fc37.noarch 260/3380 Verifying : perl-Business-ISBN-Data-20210112.006-6.fc37.no 261/3380 Verifying : perl-Business-ISMN-1.202-6.fc37.noarch 262/3380 Verifying : perl-Business-ISSN-1.005-3.fc37.noarch 263/3380 Verifying : perl-Carp-1.52-489.fc37.noarch 264/3380 Verifying : perl-Carp-Clan-6.08-13.fc37.noarch 265/3380 Verifying : perl-Class-Accessor-0.51-16.fc37.noarch 266/3380 Verifying : perl-Class-Data-Inheritable-0.09-4.fc37.noarch 267/3380 Verifying : perl-Class-Inspector-1.36-12.fc38.noarch 268/3380 Verifying : perl-Class-Method-Modifiers-2.13-13.fc37.noarc 269/3380 Verifying : perl-Class-Singleton-1.6-7.fc37.noarch 270/3380 Verifying : perl-Class-Struct-0.66-492.fc38.noarch 271/3380 Verifying : perl-Clone-0.46-1.fc38.x86_64 272/3380 Verifying : perl-Compress-Raw-Bzip2-2.201-2.fc37.x86_64 273/3380 Verifying : perl-Compress-Raw-Zlib-2.202-4.fc38.x86_64 274/3380 Verifying : perl-Convert-ASN1-0.33-4.fc37.noarch 275/3380 Verifying : perl-Crypt-RC4-2.02-33.fc37.noarch 276/3380 Verifying : perl-Data-Compare-1.27-10.fc37.noarch 277/3380 Verifying : perl-Data-Dump-1.25-5.fc37.noarch 278/3380 Verifying : perl-Data-Dumper-2.184-490.fc37.x86_64 279/3380 Verifying : perl-Data-OptList-0.112-5.fc37.noarch 280/3380 Verifying : perl-Data-Uniqid-0.12-29.fc37.noarch 281/3380 Verifying : perl-Date-Calc-6.4-23.fc37.noarch 282/3380 Verifying : perl-Date-ISO8601-0.005-16.fc37.noarch 283/3380 Verifying : perl-Date-Manip-6.90-1.fc38.noarch 284/3380 Verifying : perl-DateTime-2:1.59-1.fc38.x86_64 285/3380 Verifying : perl-DateTime-Calendar-Julian-0.107-3.fc37.noa 286/3380 Verifying : perl-DateTime-Format-Builder-0.8300-8.fc37.noa 287/3380 Verifying : perl-DateTime-Format-Strptime-1:1.79-6.fc37.no 288/3380 Verifying : perl-DateTime-Locale-1.37-1.fc38.noarch 289/3380 Verifying : perl-DateTime-TimeZone-2.57-1.fc38.noarch 290/3380 Verifying : perl-DateTime-TimeZone-SystemV-0.010-17.fc37.n 291/3380 Verifying : perl-DateTime-TimeZone-Tzfile-0.011-17.fc37.no 292/3380 Verifying : perl-Devel-CallChecker-0.008-18.fc38.x86_64 293/3380 Verifying : perl-Devel-Caller-2.06-29.fc37.x86_64 294/3380 Verifying : perl-Devel-GlobalDestruction-0.14-19.fc37.noar 295/3380 Verifying : perl-Devel-LexAlias-0.05-30.fc37.x86_64 296/3380 Verifying : perl-Devel-StackTrace-1:2.04-14.fc38.noarch 297/3380 Verifying : perl-Digest-1.20-489.fc37.noarch 298/3380 Verifying : perl-Digest-HMAC-1.04-6.fc37.noarch 299/3380 Verifying : perl-Digest-MD4-1.9-32.fc37.x86_64 300/3380 Verifying : perl-Digest-MD5-2.58-489.fc37.x86_64 301/3380 Verifying : perl-Digest-Perl-MD5-1.9-27.fc37.noarch 302/3380 Verifying : perl-Digest-SHA-1:6.03-1.fc37.x86_64 303/3380 Verifying : perl-Digest-SHA1-2.13-37.fc37.x86_64 304/3380 Verifying : perl-Dist-CheckConflicts-0.11-26.fc37.noarch 305/3380 Verifying : perl-DynaLoader-1.52-492.fc38.x86_64 306/3380 Verifying : perl-DynaLoader-Functions-0.003-17.fc38.noarch 307/3380 Verifying : perl-Email-Date-Format-1.005-23.fc37.noarch 308/3380 Verifying : perl-Encode-4:3.19-492.fc38.x86_64 309/3380 Verifying : perl-Encode-Locale-1.05-25.fc38.noarch 310/3380 Verifying : perl-English-1.11-492.fc38.noarch 311/3380 Verifying : perl-Errno-1.36-492.fc38.x86_64 312/3380 Verifying : perl-Eval-Closure-0.14-19.fc37.noarch 313/3380 Verifying : perl-Exception-Class-1.45-6.fc37.noarch 314/3380 Verifying : perl-Exporter-5.77-489.fc37.noarch 315/3380 Verifying : perl-ExtUtils-MM-Utils-2:7.64-490.fc37.noarch 316/3380 Verifying : perl-Fcntl-1.15-492.fc38.x86_64 317/3380 Verifying : perl-File-Basename-2.85-492.fc38.noarch 318/3380 Verifying : perl-File-Compare-1.100.700-492.fc38.noarch 319/3380 Verifying : perl-File-Copy-2.39-492.fc38.noarch 320/3380 Verifying : perl-File-Find-1.40-492.fc38.noarch 321/3380 Verifying : perl-File-Find-Rule-0.34-24.fc38.noarch 322/3380 Verifying : perl-File-Listing-6.15-4.fc38.noarch 323/3380 Verifying : perl-File-Path-2.18-489.fc37.noarch 324/3380 Verifying : perl-File-ShareDir-1.118-7.fc37.noarch 325/3380 Verifying : perl-File-Slurper-0.014-1.fc38.noarch 326/3380 Verifying : perl-File-Temp-1:0.231.100-489.fc37.noarch 327/3380 Verifying : perl-File-Which-1.27-6.fc37.noarch 328/3380 Verifying : perl-File-stat-1.12-492.fc38.noarch 329/3380 Verifying : perl-FileHandle-2.03-492.fc38.noarch 330/3380 Verifying : perl-Filter-2:1.64-1.fc38.x86_64 331/3380 Verifying : perl-FindBin-1.53-492.fc38.noarch 332/3380 Verifying : perl-GSSAPI-0.28-40.fc37.x86_64 333/3380 Verifying : perl-Getopt-Long-1:2.54-1.fc38.noarch 334/3380 Verifying : perl-Getopt-Std-1.13-492.fc38.noarch 335/3380 Verifying : perl-HTML-Parser-3.80-1.fc38.x86_64 336/3380 Verifying : perl-HTML-Tagset-3.20-52.fc37.noarch 337/3380 Verifying : perl-HTTP-Cookies-6.10-8.fc38.noarch 338/3380 Verifying : perl-HTTP-Date-6.05-11.fc38.noarch 339/3380 Verifying : perl-HTTP-Message-6.44-1.fc38.noarch 340/3380 Verifying : perl-HTTP-Negotiate-6.01-34.fc38.noarch 341/3380 Verifying : perl-HTTP-Tiny-0.082-1.fc37.noarch 342/3380 Verifying : perl-Hash-Util-FieldHash-1.26-492.fc38.x86_64 343/3380 Verifying : perl-I18N-LangTags-0.45-492.fc38.noarch 344/3380 Verifying : perl-I18N-Langinfo-0.21-492.fc38.x86_64 345/3380 Verifying : perl-IO-1.50-492.fc38.x86_64 346/3380 Verifying : perl-IO-Compress-2.201-3.fc37.noarch 347/3380 Verifying : perl-IO-HTML-1.004-9.fc38.noarch 348/3380 Verifying : perl-IO-Socket-IP-0.41-490.fc37.noarch 349/3380 Verifying : perl-IO-Socket-SSL-2.078-1.fc38.noarch 350/3380 Verifying : perl-IO-String-1.08-46.fc37.noarch 351/3380 Verifying : perl-IO-stringy-2.113-10.fc37.noarch 352/3380 Verifying : perl-IPC-Cmd-2:1.04-490.fc37.noarch 353/3380 Verifying : perl-IPC-Open3-1.22-492.fc38.noarch 354/3380 Verifying : perl-IPC-Run3-0.048-27.fc38.noarch 355/3380 Verifying : perl-IPC-SysV-2.09-490.fc37.x86_64 356/3380 Verifying : perl-IPC-System-Simple-1.30-9.fc37.noarch 357/3380 Verifying : perl-JSON-4.10-1.fc38.noarch 358/3380 Verifying : perl-Jcode-2.07-39.fc37.noarch 359/3380 Verifying : perl-LDAP-1:0.68-8.fc37.noarch 360/3380 Verifying : perl-LWP-MediaTypes-6.04-13.fc38.noarch 361/3380 Verifying : perl-LWP-Protocol-https-6.10-8.fc38.noarch 362/3380 Verifying : perl-LaTeX-ToUnicode-0.11-7.fc37.noarch 363/3380 Verifying : perl-Lingua-Translit-0.29-2.fc37.noarch 364/3380 Verifying : perl-List-AllUtils-0.19-8.fc38.noarch 365/3380 Verifying : perl-List-SomeUtils-0.59-1.fc38.noarch 366/3380 Verifying : perl-List-UtilsBy-0.12-5.fc38.noarch 367/3380 Verifying : perl-Locale-Maketext-1.32-1.fc38.noarch 368/3380 Verifying : perl-Locale-Maketext-Simple-1:0.21-492.fc38.no 369/3380 Verifying : perl-Log-Dispatch-2.70-9.fc38.noarch 370/3380 Verifying : perl-Log-Dispatch-FileRotate-1.38-5.fc37.noarc 371/3380 Verifying : perl-Log-Log4perl-1.57-1.fc38.noarch 372/3380 Verifying : perl-MIME-Base64-3.16-489.fc37.x86_64 373/3380 Verifying : perl-MIME-Charset-1.013.1-1.fc38.noarch 374/3380 Verifying : perl-MIME-Lite-3.033-5.fc37.noarch 375/3380 Verifying : perl-MIME-Types-2.24-1.fc38.noarch 376/3380 Verifying : perl-MRO-Compat-0.15-4.fc37.noarch 377/3380 Verifying : perl-Mail-Sender-1:0.903-19.fc37.noarch 378/3380 Verifying : perl-Mail-Sendmail-0.80-16.fc37.noarch 379/3380 Verifying : perl-MailTools-2.21-12.fc37.noarch 380/3380 Verifying : perl-Math-BigInt-1:1.9998.37-2.fc37.noarch 381/3380 Verifying : perl-Math-BigRat-0.2624-2.fc37.noarch 382/3380 Verifying : perl-Math-Complex-1.59-492.fc38.noarch 383/3380 Verifying : perl-Module-CoreList-1:5.20221220-1.fc38.noarc 384/3380 Verifying : perl-Module-Implementation-0.09-35.fc37.noarch 385/3380 Verifying : perl-Module-Load-1:0.36-489.fc37.noarch 386/3380 Verifying : perl-Module-Load-Conditional-0.74-489.fc37.noa 387/3380 Verifying : perl-Module-Metadata-1.000037-489.fc37.noarch 388/3380 Verifying : perl-Module-Runtime-0.016-18.fc38.noarch 389/3380 Verifying : perl-Mozilla-CA-20221114-1.fc38.noarch 390/3380 Verifying : perl-NTLM-1.09-33.fc37.noarch 391/3380 Verifying : perl-Net-HTTP-6.22-4.fc38.noarch 392/3380 Verifying : perl-Net-SMTP-SSL-1.04-19.fc37.noarch 393/3380 Verifying : perl-Net-SSLeay-1.92-4.fc37.x86_64 394/3380 Verifying : perl-Number-Compare-0.03-34.fc38.noarch 395/3380 Verifying : perl-OLE-Storage_Lite-0.20-10.fc37.noarch 396/3380 Verifying : perl-Opcode-1.57-492.fc38.x86_64 397/3380 Verifying : perl-POSIX-2.03-492.fc38.x86_64 398/3380 Verifying : perl-Package-Generator-1.106-26.fc37.noarch 399/3380 Verifying : perl-Package-Stash-0.40-3.fc37.noarch 400/3380 Verifying : perl-Package-Stash-XS-0.30-2.fc37.x86_64 401/3380 Verifying : perl-PadWalker-2.5-7.fc37.x86_64 402/3380 Verifying : perl-Params-Check-1:0.38-489.fc37.noarch 403/3380 Verifying : perl-Params-Classify-0.015-17.fc37.x86_64 404/3380 Verifying : perl-Params-Util-1.102-9.fc38.x86_64 405/3380 Verifying : perl-Params-Validate-1.31-2.fc38.x86_64 406/3380 Verifying : perl-Params-ValidationCompiler-0.30-17.fc37.no 407/3380 Verifying : perl-Parse-RecDescent-1.967015-18.fc37.noarch 408/3380 Verifying : perl-PathTools-3.84-489.fc37.x86_64 409/3380 Verifying : perl-Pod-Escapes-1:1.07-489.fc37.noarch 410/3380 Verifying : perl-Pod-Html-1.33-492.fc38.noarch 411/3380 Verifying : perl-Pod-Perldoc-3.28.01-490.fc37.noarch 412/3380 Verifying : perl-Pod-Simple-1:3.43-490.fc37.noarch 413/3380 Verifying : perl-Pod-Usage-4:2.03-3.fc37.noarch 414/3380 Verifying : perl-Ref-Util-0.204-15.fc37.noarch 415/3380 Verifying : perl-Ref-Util-XS-0.117-16.fc37.x86_64 416/3380 Verifying : perl-Regexp-Common-2017060201-20.fc38.noarch 417/3380 Verifying : perl-Role-Tiny-2.002004-7.fc37.noarch 418/3380 Verifying : perl-Safe-2.43-492.fc38.noarch 419/3380 Verifying : perl-Scalar-List-Utils-5:1.63-489.fc38.x86_64 420/3380 Verifying : perl-SelectSaver-1.02-492.fc38.noarch 421/3380 Verifying : perl-Socket-4:2.036-1.fc38.x86_64 422/3380 Verifying : perl-Sort-Key-1.33-25.fc37.x86_64 423/3380 Verifying : perl-Specio-0.48-2.fc37.noarch 424/3380 Verifying : perl-Spreadsheet-ParseExcel-0.6500-33.fc37.x86 425/3380 Verifying : perl-Spreadsheet-WriteExcel-2.40-26.fc37.noarc 426/3380 Verifying : perl-Storable-1:3.26-489.fc37.x86_64 427/3380 Verifying : perl-Sub-Exporter-0.988-5.fc37.noarch 428/3380 Verifying : perl-Sub-Exporter-Progressive-0.001013-19.fc37 429/3380 Verifying : perl-Sub-Identify-0.14-20.fc37.x86_64 430/3380 Verifying : perl-Sub-Install-0.928-33.fc37.noarch 431/3380 Verifying : perl-Symbol-1.09-492.fc38.noarch 432/3380 Verifying : perl-Sys-Hostname-1.24-492.fc38.x86_64 433/3380 Verifying : perl-Sys-Syslog-0.36-490.fc37.x86_64 434/3380 Verifying : perl-Term-ANSIColor-5.01-490.fc37.noarch 435/3380 Verifying : perl-Term-Cap-1.17-489.fc37.noarch 436/3380 Verifying : perl-Text-Balanced-2.06-2.fc37.noarch 437/3380 Verifying : perl-Text-BibTeX-0.88-12.fc37.x86_64 438/3380 Verifying : perl-Text-CSV-2.02-1.fc38.noarch 439/3380 Verifying : perl-Text-CSV_XS-1.48-3.fc37.x86_64 440/3380 Verifying : perl-Text-Glob-0.11-19.fc38.noarch 441/3380 Verifying : perl-Text-ParseWords-3.31-489.fc37.noarch 442/3380 Verifying : perl-Text-Roman-3.5-23.fc37.noarch 443/3380 Verifying : perl-Text-Soundex-3.05-24.fc38.x86_64 444/3380 Verifying : perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch 445/3380 Verifying : perl-Text-Unidecode-1.30-19.fc37.noarch 446/3380 Verifying : perl-Tie-4.6-492.fc38.noarch 447/3380 Verifying : perl-Tie-Cycle-1.227-4.fc37.noarch 448/3380 Verifying : perl-Tie-RefHash-1.40-489.fc37.noarch 449/3380 Verifying : perl-Time-HiRes-4:1.9770-489.fc37.x86_64 450/3380 Verifying : perl-Time-Local-2:1.300-489.fc37.noarch 451/3380 Verifying : perl-TimeDate-1:2.33-9.fc37.noarch 452/3380 Verifying : perl-Try-Tiny-0.31-4.fc37.noarch 453/3380 Verifying : perl-UNIVERSAL-isa-1.20171012-15.fc37.noarch 454/3380 Verifying : perl-URI-5.17-1.fc38.noarch 455/3380 Verifying : perl-Unicode-Collate-1.31-489.fc37.x86_64 456/3380 Verifying : perl-Unicode-LineBreak-2019.001-14.fc37.x86_64 457/3380 Verifying : perl-Unicode-Map-0.112-58.fc37.x86_64 458/3380 Verifying : perl-Unicode-Normalize-1.31-489.fc37.x86_64 459/3380 Verifying : perl-Unicode-UCD-0.78-492.fc38.noarch 460/3380 Verifying : perl-Variable-Magic-0.63-1.fc38.x86_64 461/3380 Verifying : perl-WWW-RobotRules-6.02-35.fc38.noarch 462/3380 Verifying : perl-XML-LibXML-1:2.0208-1.fc38.x86_64 463/3380 Verifying : perl-XML-LibXML-Simple-1.01-10.fc37.noarch 464/3380 Verifying : perl-XML-LibXSLT-2.002.000-3.fc37.x86_64 465/3380 Verifying : perl-XML-NamespaceSupport-1.12-18.fc37.noarch 466/3380 Verifying : perl-XML-Parser-2.46-12.fc37.x86_64 467/3380 Verifying : perl-XML-SAX-1.02-11.fc37.noarch 468/3380 Verifying : perl-XML-SAX-Base-1.09-18.fc37.noarch 469/3380 Verifying : perl-XML-Writer-0.900-9.fc38.noarch 470/3380 Verifying : perl-XML-XPath-1.48-2.fc38.noarch 471/3380 Verifying : perl-XString-0.005-7.fc37.x86_64 472/3380 Verifying : perl-autodie-2.34-490.fc37.noarch 473/3380 Verifying : perl-autouse-1.11-492.fc38.noarch 474/3380 Verifying : perl-autovivification-0.18-17.fc37.x86_64 475/3380 Verifying : perl-base-2.27-492.fc38.noarch 476/3380 Verifying : perl-constant-1.33-490.fc37.noarch 477/3380 Verifying : perl-deprecate-0.04-492.fc38.noarch 478/3380 Verifying : perl-encoding-4:3.00-492.fc38.x86_64 479/3380 Verifying : perl-if-0.61.000-492.fc38.noarch 480/3380 Verifying : perl-interpreter-4:5.36.0-492.fc38.x86_64 481/3380 Verifying : perl-lib-0.65-492.fc38.x86_64 482/3380 Verifying : perl-libnet-3.14-490.fc37.noarch 483/3380 Verifying : perl-libs-4:5.36.0-492.fc38.x86_64 484/3380 Verifying : perl-libwww-perl-6.67-3.fc38.noarch 485/3380 Verifying : perl-locale-1.10-492.fc38.noarch 486/3380 Verifying : perl-meta-notation-5.36.0-492.fc38.noarch 487/3380 Verifying : perl-mro-1.26-492.fc38.x86_64 488/3380 Verifying : perl-namespace-autoclean-0.29-13.fc37.noarch 489/3380 Verifying : perl-namespace-clean-0.27-21.fc37.noarch 490/3380 Verifying : perl-open-1.13-492.fc38.noarch 491/3380 Verifying : perl-overload-1.35-492.fc38.noarch 492/3380 Verifying : perl-overloading-0.02-492.fc38.noarch 493/3380 Verifying : perl-parent-1:0.239-1.fc38.noarch 494/3380 Verifying : perl-podlators-1:5.00-1.fc38.noarch 495/3380 Verifying : perl-sigtrap-1.10-492.fc38.noarch 496/3380 Verifying : perl-subs-1.04-492.fc38.noarch 497/3380 Verifying : perl-vars-1.05-492.fc38.noarch 498/3380 Verifying : perl-version-8:0.99.29-490.fc37.x86_64 499/3380 Verifying : perltidy-20221112-1.fc38.noarch 500/3380 Verifying : pixman-0.40.0-6.fc37.x86_64 501/3380 Verifying : plotutils-2.6-29.fc37.x86_64 502/3380 Verifying : poppler-22.08.0-4.fc38.x86_64 503/3380 Verifying : poppler-data-0.4.11-3.fc37.noarch 504/3380 Verifying : poppler-glib-22.08.0-4.fc38.x86_64 505/3380 Verifying : pstoedit-3.78-5.fc37.x86_64 506/3380 Verifying : python-pip-wheel-22.3.1-1.fc38.noarch 507/3380 Verifying : python-setuptools-wheel-65.5.1-1.fc38.noarch 508/3380 Verifying : python-unversioned-command-3.11.1-1.fc38.noarc 509/3380 Verifying : python3-3.11.1-1.fc38.x86_64 510/3380 Verifying : python3-libs-3.11.1-1.fc38.x86_64 511/3380 Verifying : python3-pygments-2.13.0-1.fc38.noarch 512/3380 Verifying : rav1e-libs-0.5.1-5.fc37.x86_64 513/3380 Verifying : readline-devel-8.2-2.fc38.x86_64 514/3380 Verifying : rubypick-1.1.1-17.fc37.noarch 515/3380 Verifying : serd-0.30.12-2.fc37.x86_64 516/3380 Verifying : shared-mime-info-2.2-2.fc37.x86_64 517/3380 Verifying : sombok-2.4.0-17.fc37.x86_64 518/3380 Verifying : sord-0.16.10-1.fc37.x86_64 519/3380 Verifying : soundtouch-2.3.1-3.fc37.x86_64 520/3380 Verifying : sratom-0.6.10-2.fc37.x86_64 521/3380 Verifying : tcl-1:8.6.12-3.fc38.x86_64 522/3380 Verifying : tcl-devel-1:8.6.12-3.fc38.x86_64 523/3380 Verifying : teckit-2.5.9-9.fc37.x86_64 524/3380 Verifying : tex-preview-13.1-2.fc37.noarch 525/3380 Verifying : texlive-12many-9:svn15878.0.3-61.fc38.noarch 526/3380 Verifying : texlive-2up-9:svn55076-61.fc38.noarch 527/3380 Verifying : texlive-ESIEEcv-9:svn15878.0-61.fc38.noarch 528/3380 Verifying : texlive-GS1-9:svn44822-61.fc38.noarch 529/3380 Verifying : texlive-HA-prosper-9:svn15878.4.21-61.fc38.noa 530/3380 Verifying : texlive-IEEEconf-9:svn15878.1.4-61.fc38.noarch 531/3380 Verifying : texlive-IEEEtran-9:svn51065-61.fc38.noarch 532/3380 Verifying : texlive-SIstyle-9:svn54080-61.fc38.noarch 533/3380 Verifying : texlive-SIunits-9:svn15878.1.36-61.fc38.noarch 534/3380 Verifying : texlive-Tabbing-9:svn17022.0-61.fc38.noarch 535/3380 Verifying : texlive-a0poster-9:svn54071-61.fc38.noarch 536/3380 Verifying : texlive-a4wide-9:svn20943.0-61.fc38.noarch 537/3380 Verifying : texlive-a5comb-9:svn17020.4-61.fc38.noarch 538/3380 Verifying : texlive-aaai-named-9:svn52470-61.fc38.noarch 539/3380 Verifying : texlive-aastex-9:svn58057-61.fc38.noarch 540/3380 Verifying : texlive-abnt-9:svn55471-61.fc38.noarch 541/3380 Verifying : texlive-abntex2-9:svn49248-61.fc38.noarch 542/3380 Verifying : texlive-abraces-9:svn58761-61.fc38.noarch 543/3380 Verifying : texlive-abstract-9:svn15878.1.2a-61.fc38.noarc 544/3380 Verifying : texlive-academicons-9:svn56119-61.fc38.noarch 545/3380 Verifying : texlive-accanthis-9:svn32089.0-61.fc38.noarch 546/3380 Verifying : texlive-accents-9:svn51497-61.fc38.noarch 547/3380 Verifying : texlive-accessibility-9:svn55777-61.fc38.noarc 548/3380 Verifying : texlive-accsupp-9:svn53052-61.fc38.noarch 549/3380 Verifying : texlive-achemso-9:svn57479-61.fc38.noarch 550/3380 Verifying : texlive-acmart-9:svn58893-61.fc38.noarch 551/3380 Verifying : texlive-acmconf-9:svn15878.1.3-61.fc38.noarch 552/3380 Verifying : texlive-acro-9:svn57447-61.fc38.noarch 553/3380 Verifying : texlive-acronym-9:svn54758-61.fc38.noarch 554/3380 Verifying : texlive-acroterm-9:svn20498.0.1-61.fc38.noarch 555/3380 Verifying : texlive-active-conf-9:svn15878.0.3a-61.fc38.no 556/3380 Verifying : texlive-actuarialangle-9:svn51376-61.fc38.noar 557/3380 Verifying : texlive-actuarialsymbol-9:svn54080-61.fc38.noa 558/3380 Verifying : texlive-addfont-9:svn58559-61.fc38.noarch 559/3380 Verifying : texlive-addlines-9:svn49326-61.fc38.noarch 560/3380 Verifying : texlive-adfathesis-9:svn26048.2.42-61.fc38.noa 561/3380 Verifying : texlive-adforn-9:svn54512-61.fc38.noarch 562/3380 Verifying : texlive-adfsymbols-9:svn54512-61.fc38.noarch 563/3380 Verifying : texlive-adigraph-9:svn49862-61.fc38.noarch 564/3380 Verifying : texlive-adjmulticol-9:svn54157-61.fc38.noarch 565/3380 Verifying : texlive-adjustbox-9:svn56291-61.fc38.noarch 566/3380 Verifying : texlive-adobemapping-9:svn51787-61.fc38.noarch 567/3380 Verifying : texlive-adrconv-9:svn46817-61.fc38.noarch 568/3380 Verifying : texlive-advdate-9:svn20538.0-61.fc38.noarch 569/3380 Verifying : texlive-ae-9:svn15878.1.4-61.fc38.noarch 570/3380 Verifying : texlive-aeguill-9:svn15878.0-61.fc38.noarch 571/3380 Verifying : texlive-aesupp-9:svn58253-61.fc38.noarch 572/3380 Verifying : texlive-afparticle-9:svn35900.1.3-61.fc38.noar 573/3380 Verifying : texlive-afthesis-9:svn15878.2.7-61.fc38.noarch 574/3380 Verifying : texlive-aguplus-9:svn17156.1.6b-61.fc38.noarch 575/3380 Verifying : texlive-aiaa-9:svn15878.3.6-61.fc38.noarch 576/3380 Verifying : texlive-aichej-9:svn15878.0-61.fc38.noarch 577/3380 Verifying : texlive-ajl-9:svn34016.0-61.fc38.noarch 578/3380 Verifying : texlive-akktex-9:svn26055.0.3.2-61.fc38.noarch 579/3380 Verifying : texlive-akletter-9:svn15878.1.5i-61.fc38.noarc 580/3380 Verifying : texlive-alegreya-9:svn54512-61.fc38.noarch 581/3380 Verifying : texlive-alertmessage-9:svn38055.1.1-61.fc38.no 582/3380 Verifying : texlive-alg-9:svn15878.0-61.fc38.noarch 583/3380 Verifying : texlive-algobox-9:svn52204-61.fc38.noarch 584/3380 Verifying : texlive-algolrevived-9:svn56864-61.fc38.noarch 585/3380 Verifying : texlive-algorithm2e-9:svn44846-61.fc38.noarch 586/3380 Verifying : texlive-algorithmicx-9:svn15878.0-61.fc38.noar 587/3380 Verifying : texlive-algorithms-9:svn42428-61.fc38.noarch 588/3380 Verifying : texlive-algpseudocodex-9:svn56125-61.fc38.noar 589/3380 Verifying : texlive-algxpar-9:svn56006-61.fc38.noarch 590/3380 Verifying : texlive-aligned-overset-9:svn47290-61.fc38.noa 591/3380 Verifying : texlive-allrunes-9:svn42221-61.fc38.noarch 592/3380 Verifying : texlive-almendra-9:svn56035-61.fc38.noarch 593/3380 Verifying : texlive-almfixed-9:svn35065.0.92-61.fc38.noarc 594/3380 Verifying : texlive-alnumsec-9:svn15878.v0.03-61.fc38.noar 595/3380 Verifying : texlive-alphalph-9:svn53087-61.fc38.noarch 596/3380 Verifying : texlive-altfont-9:svn15878.1.1-61.fc38.noarch 597/3380 Verifying : texlive-ametsoc-9:svn36030.4.3.2-61.fc38.noarc 598/3380 Verifying : texlive-amsaddr-9:svn29630.1.1-61.fc38.noarch 599/3380 Verifying : texlive-amscdx-9:svn51532-61.fc38.noarch 600/3380 Verifying : texlive-amscls-9:svn55378-61.fc38.noarch 601/3380 Verifying : texlive-amsfonts-9:svn29208.3.04-61.fc38.noarc 602/3380 Verifying : texlive-amsmath-9:svn56514-61.fc38.noarch 603/3380 Verifying : texlive-amsrefs-9:svn30646.2.14-61.fc38.noarch 604/3380 Verifying : texlive-amstex-9:20210325-52.fc38.noarch 605/3380 Verifying : texlive-animate-9:svn56583-61.fc38.noarch 606/3380 Verifying : texlive-annotate-9:svn52824-61.fc38.noarch 607/3380 Verifying : texlive-anonchap-9:svn17049.1.1a-61.fc38.noarc 608/3380 Verifying : texlive-anonymous-acm-9:svn55121-61.fc38.noarc 609/3380 Verifying : texlive-anonymouspro-9:svn51631-61.fc38.noarch 610/3380 Verifying : texlive-answers-9:svn35032.2.16-61.fc38.noarch 611/3380 Verifying : texlive-antiqua-9:svn24266.001.003-61.fc38.noa 612/3380 Verifying : texlive-antt-9:svn18651.2.08-61.fc38.noarch 613/3380 Verifying : texlive-anufinalexam-doc-9:svn26053.0-61.fc38. 614/3380 Verifying : texlive-anyfontsize-9:svn17050.0-61.fc38.noarc 615/3380 Verifying : texlive-anysize-9:svn15878.0-61.fc38.noarch 616/3380 Verifying : texlive-aobs-tikz-9:svn32662.1.0-61.fc38.noarc 617/3380 Verifying : texlive-aomart-9:svn58855-61.fc38.noarch 618/3380 Verifying : texlive-apa-9:svn54080-61.fc38.noarch 619/3380 Verifying : texlive-apa6-9:svn53406-61.fc38.noarch 620/3380 Verifying : texlive-apa6e-9:svn23350.0.3-61.fc38.noarch 621/3380 Verifying : texlive-apa7-9:svn58835-61.fc38.noarch 622/3380 Verifying : texlive-apacite-9:svn54080-61.fc38.noarch 623/3380 Verifying : texlive-apalike2-9:svn54080-61.fc38.noarch 624/3380 Verifying : texlive-appendix-9:svn53718-61.fc38.noarch 625/3380 Verifying : texlive-appendixnumberbeamer-9:svn46317-61.fc3 626/3380 Verifying : texlive-apptools-9:svn28400.1.0-61.fc38.noarch 627/3380 Verifying : texlive-apxproof-9:svn56673-61.fc38.noarch 628/3380 Verifying : texlive-arabicfront-9:svn51474-61.fc38.noarch 629/3380 Verifying : texlive-archaeologie-9:svn57090-61.fc38.noarch 630/3380 Verifying : texlive-archaic-9:svn38005.0-61.fc38.noarch 631/3380 Verifying : texlive-arcs-9:svn15878.1-61.fc38.noarch 632/3380 Verifying : texlive-arev-9:svn15878.0-61.fc38.noarch 633/3380 Verifying : texlive-arimo-9:svn42880-61.fc38.noarch 634/3380 Verifying : texlive-arphic-9:svn15878.0-61.fc38.noarch 635/3380 Verifying : texlive-arraycols-9:svn56997-61.fc38.noarch 636/3380 Verifying : texlive-arrayjobx-9:svn18125.1.04-61.fc38.noar 637/3380 Verifying : texlive-arraysort-9:svn31576.1.0-61.fc38.noarc 638/3380 Verifying : texlive-arsclassica-9:svn45656-61.fc38.noarch 639/3380 Verifying : texlive-articleingud-9:svn38741-61.fc38.noarch 640/3380 Verifying : texlive-arydshln-9:svn50084-61.fc38.noarch 641/3380 Verifying : texlive-asaetr-9:svn15878.1.0a-61.fc38.noarch 642/3380 Verifying : texlive-asana-math-9:svn50999-61.fc38.noarch 643/3380 Verifying : texlive-asapsym-9:svn40201-61.fc38.noarch 644/3380 Verifying : texlive-ascelike-9:svn29129.2.3-61.fc38.noarch 645/3380 Verifying : texlive-ascii-font-9:svn29989.2.0-61.fc38.noar 646/3380 Verifying : texlive-asciilist-9:svn49060-61.fc38.noarch 647/3380 Verifying : texlive-ascmac-9:svn53411-61.fc38.noarch 648/3380 Verifying : texlive-askinclude-9:svn54725-61.fc38.noarch 649/3380 Verifying : texlive-askmaps-9:svn56730-61.fc38.noarch 650/3380 Verifying : texlive-asmeconf-9:svn57833-61.fc38.noarch 651/3380 Verifying : texlive-asmejour-9:svn57598-61.fc38.noarch 652/3380 Verifying : texlive-aspectratio-9:svn25243.2.0-61.fc38.noa 653/3380 Verifying : texlive-assignment-9:svn20431.0-61.fc38.noarch 654/3380 Verifying : texlive-assoccnt-9:svn38497-61.fc38.noarch 655/3380 Verifying : texlive-association-matrix-9:svn56759-61.fc38. 656/3380 Verifying : texlive-astro-9:svn15878.2.20-61.fc38.noarch 657/3380 Verifying : texlive-asyfig-9:svn17512.0.1c-61.fc38.noarch 658/3380 Verifying : texlive-asypictureb-9:svn33490.0.3-61.fc38.noa 659/3380 Verifying : texlive-atbegshi-9:svn53051-61.fc38.noarch 660/3380 Verifying : texlive-atenddvi-9:svn56922-61.fc38.noarch 661/3380 Verifying : texlive-atkinson-9:svn57624-61.fc38.noarch 662/3380 Verifying : texlive-attachfile-9:svn42099-61.fc38.noarch 663/3380 Verifying : texlive-attachfile2-9:20210325-52.fc38.noarch 664/3380 Verifying : texlive-atveryend-9:svn53108-61.fc38.noarch 665/3380 Verifying : texlive-aucklandthesis-9:svn51323-61.fc38.noar 666/3380 Verifying : texlive-augie-9:svn18948.0-61.fc38.noarch 667/3380 Verifying : texlive-auncial-new-9:svn15878.2.0-61.fc38.noa 668/3380 Verifying : texlive-aurical-9:svn15878.1.5-61.fc38.noarch 669/3380 Verifying : texlive-aurl-9:svn41853-61.fc38.noarch 670/3380 Verifying : texlive-authoraftertitle-9:svn55889-61.fc38.no 671/3380 Verifying : texlive-authorarchive-9:svn54512-61.fc38.noarc 672/3380 Verifying : texlive-authordate-9:svn52564-61.fc38.noarch 673/3380 Verifying : texlive-authorindex-9:20210325-52.fc38.noarch 674/3380 Verifying : texlive-auto-pst-pdf-9:svn56596-61.fc38.noarch 675/3380 Verifying : texlive-autoarea-9:svn15878.0.3a-61.fc38.noarc 676/3380 Verifying : texlive-autobreak-9:svn43337-61.fc38.noarch 677/3380 Verifying : texlive-autofancyhdr-9:svn54049-61.fc38.noarch 678/3380 Verifying : texlive-autonum-9:svn36084.0.3.11-61.fc38.noar 679/3380 Verifying : texlive-autopdf-9:svn32377.1.1-61.fc38.noarch 680/3380 Verifying : texlive-auxhook-9:svn53173-61.fc38.noarch 681/3380 Verifying : texlive-avantgar-9:svn31835.0-61.fc38.noarch 682/3380 Verifying : texlive-avremu-9:svn35373.0.1-61.fc38.noarch 683/3380 Verifying : texlive-axessibility-9:svn57105-61.fc38.noarch 684/3380 Verifying : texlive-axodraw2-9:20210325-52.fc38.x86_64 685/3380 Verifying : texlive-b1encoding-9:svn21271.1.0-61.fc38.noar 686/3380 Verifying : texlive-babel-english-9:svn44495-61.fc38.noarc 687/3380 Verifying : texlive-babel-9:svn58999-61.fc38.noarch 688/3380 Verifying : texlive-babelbib-9:svn57349-61.fc38.noarch 689/3380 Verifying : texlive-background-9:svn42428-61.fc38.noarch 690/3380 Verifying : texlive-backnaur-9:svn54080-61.fc38.noarch 691/3380 Verifying : texlive-bangorcsthesis-9:svn48834-61.fc38.noar 692/3380 Verifying : texlive-bangorexam-9:svn46626-61.fc38.noarch 693/3380 Verifying : texlive-bankstatement-9:svn38857-61.fc38.noarc 694/3380 Verifying : texlive-barcodes-9:svn15878.0-61.fc38.noarch 695/3380 Verifying : texlive-bardiag-9:svn22013.0.4a-61.fc38.noarch 696/3380 Verifying : texlive-base-9:20210325-52.fc38.x86_64 697/3380 Verifying : texlive-bashful-9:svn25597.0.93-61.fc38.noarch 698/3380 Verifying : texlive-basicarith-9:svn35460.1.1-61.fc38.noar 699/3380 Verifying : texlive-baskervald-9:svn19490.1.016-61.fc38.no 700/3380 Verifying : texlive-baskervaldx-9:svn57080-61.fc38.noarch 701/3380 Verifying : texlive-baskervillef-9:svn55475-61.fc38.noarch 702/3380 Verifying : texlive-bath-bst-9:svn57925-61.fc38.noarch 703/3380 Verifying : texlive-bbding-9:svn17186.1.01-61.fc38.noarch 704/3380 Verifying : texlive-bbm-macros-9:svn17224.0-61.fc38.noarch 705/3380 Verifying : texlive-bbm-9:svn15878.0-61.fc38.noarch 706/3380 Verifying : texlive-bbold-9:svn17187.1.01-61.fc38.noarch 707/3380 Verifying : texlive-bbold-type1-9:svn33143.0-61.fc38.noarc 708/3380 Verifying : texlive-bchart-9:svn43928-61.fc38.noarch 709/3380 Verifying : texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-6 710/3380 Verifying : texlive-beamer-rl-9:svn58513-61.fc38.noarch 711/3380 Verifying : texlive-beamer-9:svn58537-61.fc38.noarch 712/3380 Verifying : texlive-beamer-verona-9:svn39180-61.fc38.noarc 713/3380 Verifying : texlive-beamer2thesis-9:svn27539.2.2-61.fc38.n 714/3380 Verifying : texlive-beamerappendixnote-9:svn55732-61.fc38. 715/3380 Verifying : texlive-beameraudience-9:svn23427.0.1-61.fc38. 716/3380 Verifying : texlive-beamerauxtheme-9:svn56087-61.fc38.noar 717/3380 Verifying : texlive-beamercolorthemeowl-9:svn40105-61.fc38 718/3380 Verifying : texlive-beamerdarkthemes-9:svn55117-61.fc38.no 719/3380 Verifying : texlive-beamerposter-9:svn54512-61.fc38.noarch 720/3380 Verifying : texlive-beamersubframe-9:svn23510.0.2-61.fc38. 721/3380 Verifying : texlive-beamerswitch-9:svn58873-61.fc38.noarch 722/3380 Verifying : texlive-beamertheme-cuerna-9:svn42161-61.fc38. 723/3380 Verifying : texlive-beamertheme-detlevcm-9:svn39048-61.fc3 724/3380 Verifying : texlive-beamertheme-epyt-9:svn41404-61.fc38.no 725/3380 Verifying : texlive-beamertheme-focus-9:svn56967-61.fc38.n 726/3380 Verifying : texlive-beamertheme-light-9:svn49867-61.fc38.n 727/3380 Verifying : texlive-beamertheme-metropolis-9:svn43031-61.f 728/3380 Verifying : texlive-beamertheme-npbt-9:svn54512-61.fc38.no 729/3380 Verifying : texlive-beamertheme-phnompenh-9:svn39100-61.fc 730/3380 Verifying : texlive-beamertheme-pure-minimalistic-9:svn569 731/3380 Verifying : texlive-beamertheme-saintpetersburg-9:svn45877 732/3380 Verifying : texlive-beamertheme-trigon-9:svn59004-61.fc38. 733/3380 Verifying : texlive-beamertheme-upenn-bc-9:svn29937.1.0-61 734/3380 Verifying : texlive-beamerthemejltree-9:svn21977.1.1-61.fc 735/3380 Verifying : texlive-beamerthemelalic-9:svn58777-61.fc38.no 736/3380 Verifying : texlive-beamerthemenirma-9:svn20765.0.1-61.fc3 737/3380 Verifying : texlive-beamerthemenord-9:svn56180-61.fc38.noa 738/3380 Verifying : texlive-bearwear-9:svn54826-61.fc38.noarch 739/3380 Verifying : texlive-beaulivre-9:svn59320-61.fc38.noarch 740/3380 Verifying : texlive-beebe-9:svn58983-61.fc38.noarch 741/3380 Verifying : texlive-begriff-9:svn15878.1.6-61.fc38.noarch 742/3380 Verifying : texlive-beilstein-9:svn56193-61.fc38.noarch 743/3380 Verifying : texlive-belleek-9:svn18651.0-61.fc38.noarch 744/3380 Verifying : texlive-bera-9:svn20031.0-61.fc38.noarch 745/3380 Verifying : texlive-berenisadf-9:svn32215.1.004-61.fc38.no 746/3380 Verifying : texlive-besjournals-9:svn45662-61.fc38.noarch 747/3380 Verifying : texlive-bestpapers-9:svn38708-61.fc38.noarch 748/3380 Verifying : texlive-beton-9:svn15878.0-61.fc38.noarch 749/3380 Verifying : texlive-beuron-9:svn46374-61.fc38.noarch 750/3380 Verifying : texlive-bewerbung-9:svn56998-61.fc38.noarch 751/3380 Verifying : texlive-bez123-9:svn15878.1.1b-61.fc38.noarch 752/3380 Verifying : texlive-bgteubner-9:svn54080-61.fc38.noarch 753/3380 Verifying : texlive-bguq-9:svn27401.0.4-61.fc38.noarch 754/3380 Verifying : texlive-bhcexam-9:svn39041-61.fc38.noarch 755/3380 Verifying : texlive-bib2gls-9:20210325-52.fc38.noarch 756/3380 Verifying : texlive-bibarts-9:svn54080-61.fc38.noarch 757/3380 Verifying : texlive-bibexport-9:20210325-52.fc38.noarch 758/3380 Verifying : texlive-bibhtml-9:svn31607.2.0.2-61.fc38.noarc 759/3380 Verifying : texlive-biblatex-abnt-9:svn49179-61.fc38.noarc 760/3380 Verifying : texlive-biblatex-ajc2020unofficial-9:svn54401- 761/3380 Verifying : texlive-biblatex-anonymous-9:svn48548-61.fc38. 762/3380 Verifying : texlive-biblatex-apa-9:svn56208-61.fc38.noarch 763/3380 Verifying : texlive-biblatex-apa6-9:svn56209-61.fc38.noarc 764/3380 Verifying : texlive-biblatex-archaeology-9:svn53281-61.fc3 765/3380 Verifying : texlive-biblatex-arthistory-bonn-9:svn46637-61 766/3380 Verifying : texlive-biblatex-bath-9:svn57924-61.fc38.noarc 767/3380 Verifying : texlive-biblatex-bookinarticle-9:svn40323-61.f 768/3380 Verifying : texlive-biblatex-bookinother-9:svn54015-61.fc3 769/3380 Verifying : texlive-biblatex-bwl-9:svn26556.0.02-61.fc38.n 770/3380 Verifying : texlive-biblatex-caspervector-9:svn56837-61.fc 771/3380 Verifying : texlive-biblatex-chem-9:svn57904-61.fc38.noarc 772/3380 Verifying : texlive-biblatex-chicago-9:svn58715-61.fc38.no 773/3380 Verifying : texlive-biblatex-claves-9:svn43723-61.fc38.noa 774/3380 Verifying : texlive-biblatex-dw-9:svn42649-61.fc38.noarch 775/3380 Verifying : texlive-biblatex-enc-9:svn44627-61.fc38.noarch 776/3380 Verifying : texlive-biblatex-ext-9:svn58975-61.fc38.noarch 777/3380 Verifying : texlive-biblatex-fiwi-9:svn45876-61.fc38.noarc 778/3380 Verifying : texlive-biblatex-gb7714-2015-9:svn59101-61.fc3 779/3380 Verifying : texlive-biblatex-german-legal-9:svn56939-61.fc 780/3380 Verifying : texlive-biblatex-gost-9:svn59135-61.fc38.noarc 781/3380 Verifying : texlive-biblatex-historian-9:svn19787.0.4-61.f 782/3380 Verifying : texlive-biblatex-ieee-9:svn58716-61.fc38.noarc 783/3380 Verifying : texlive-biblatex-ijsra-9:svn41634-61.fc38.noar 784/3380 Verifying : texlive-biblatex-iso690-9:svn54561-61.fc38.noa 785/3380 Verifying : texlive-biblatex-jura2-9:svn56133-61.fc38.noar 786/3380 Verifying : texlive-biblatex-juradiss-9:svn56502-61.fc38.n 787/3380 Verifying : texlive-biblatex-license-9:svn58437-61.fc38.no 788/3380 Verifying : texlive-biblatex-lni-9:svn49935-61.fc38.noarch 789/3380 Verifying : texlive-biblatex-luh-ipw-9:svn32180.0.3-61.fc3 790/3380 Verifying : texlive-biblatex-manuscripts-philology-9:svn53 791/3380 Verifying : texlive-biblatex-mla-9:svn42445-61.fc38.noarch 792/3380 Verifying : texlive-biblatex-morenames-9:svn43049-61.fc38. 793/3380 Verifying : texlive-biblatex-multiple-dm-9:svn37081.1.0.1- 794/3380 Verifying : texlive-biblatex-musuos-9:svn24097.1.0-61.fc38 795/3380 Verifying : texlive-biblatex-nature-9:svn57262-61.fc38.noa 796/3380 Verifying : texlive-biblatex-nejm-9:svn49839-61.fc38.noarc 797/3380 Verifying : texlive-biblatex-nottsclassic-9:svn41596-61.fc 798/3380 Verifying : texlive-biblatex-opcit-booktitle-9:svn48983-61 799/3380 Verifying : texlive-biblatex-oxref-9:svn57513-61.fc38.noar 800/3380 Verifying : texlive-biblatex-philosophy-9:svn58925-61.fc38 801/3380 Verifying : texlive-biblatex-phys-9:svn55643-61.fc38.noarc 802/3380 Verifying : texlive-biblatex-publist-9:svn56392-61.fc38.no 803/3380 Verifying : texlive-biblatex-realauthor-9:svn45865-61.fc38 804/3380 Verifying : texlive-biblatex-sbl-9:svn56853-61.fc38.noarch 805/3380 Verifying : texlive-biblatex-science-9:svn48945-61.fc38.no 806/3380 Verifying : texlive-biblatex-shortfields-9:svn45858-61.fc3 807/3380 Verifying : texlive-biblatex-socialscienceshuberlin-9:svn4 808/3380 Verifying : texlive-biblatex-software-9:svn57366-61.fc38.n 809/3380 Verifying : texlive-biblatex-source-division-9:svn45379-61 810/3380 Verifying : texlive-biblatex-subseries-9:svn43330-61.fc38. 811/3380 Verifying : texlive-biblatex-9:svn63878-61.fc38.noarch 812/3380 Verifying : texlive-biblatex-swiss-legal-9:svn58661-61.fc3 813/3380 Verifying : texlive-biblatex-trad-9:svn58169-61.fc38.noarc 814/3380 Verifying : texlive-biblatex-true-citepages-omit-9:svn4465 815/3380 Verifying : texlive-biblatex-unified-9:svn55290-61.fc38.no 816/3380 Verifying : texlive-biblatex-vancouver-9:svn55339-61.fc38. 817/3380 Verifying : texlive-biblatex2bibitem-9:svn54030-61.fc38.no 818/3380 Verifying : texlive-bibletext-9:svn45196-61.fc38.noarch 819/3380 Verifying : texlive-biblist-9:svn17116.0-61.fc38.noarch 820/3380 Verifying : texlive-bibtex-9:20210325-52.fc38.x86_64 821/3380 Verifying : texlive-bibtexperllibs-9:svn57137-61.fc38.noar 822/3380 Verifying : texlive-bibtopic-9:svn15878.1.1a-61.fc38.noarc 823/3380 Verifying : texlive-bibtopicprefix-9:svn15878.1.10-61.fc38 824/3380 Verifying : texlive-bibunits-9:svn15878.2.2-61.fc38.noarch 825/3380 Verifying : texlive-bidi-9:svn55193-61.fc38.noarch 826/3380 Verifying : texlive-bigfoot-9:svn38248.2.1-61.fc38.noarch 827/3380 Verifying : texlive-bigintcalc-9:svn53172-61.fc38.noarch 828/3380 Verifying : texlive-bigints-9:svn29803.0-61.fc38.noarch 829/3380 Verifying : texlive-binarytree-9:svn41777-61.fc38.noarch 830/3380 Verifying : texlive-binomexp-9:svn15878.1.0-61.fc38.noarch 831/3380 Verifying : texlive-biochemistry-colors-9:svn54512-61.fc38 832/3380 Verifying : texlive-biocon-9:svn15878.0-61.fc38.noarch 833/3380 Verifying : texlive-biolett-bst-9:svn42217-61.fc38.noarch 834/3380 Verifying : texlive-bithesis-9:svn57388-61.fc38.noarch 835/3380 Verifying : texlive-bitpattern-9:svn39073-61.fc38.noarch 836/3380 Verifying : texlive-bitset-9:svn53837-61.fc38.noarch 837/3380 Verifying : texlive-bitter-9:svn56026-61.fc38.noarch 838/3380 Verifying : texlive-bizcard-9:svn15878.1.1-61.fc38.noarch 839/3380 Verifying : texlive-blacklettert1-9:svn15878.0-61.fc38.noa 840/3380 Verifying : texlive-blindtext-9:svn25039.2.0-61.fc38.noarc 841/3380 Verifying : texlive-blkarray-9:svn36406.0.07-61.fc38.noarc 842/3380 Verifying : texlive-blochsphere-9:svn38388-61.fc38.noarch 843/3380 Verifying : texlive-block-9:svn17209.0-61.fc38.noarch 844/3380 Verifying : texlive-bloques-9:svn22490.1.0-61.fc38.noarch 845/3380 Verifying : texlive-blowup-9:svn46213-61.fc38.noarch 846/3380 Verifying : texlive-blox-9:svn57949-61.fc38.noarch 847/3380 Verifying : texlive-bnumexpr-9:svn49643-61.fc38.noarch 848/3380 Verifying : texlive-bodegraph-9:svn20047.1.4-61.fc38.noarc 849/3380 Verifying : texlive-bohr-9:svn54512-61.fc38.noarch 850/3380 Verifying : texlive-boisik-9:svn15878.0.5-61.fc38.noarch 851/3380 Verifying : texlive-boites-9:svn32235.1.1-61.fc38.noarch 852/3380 Verifying : texlive-bold-extra-9:svn17076.0.1-61.fc38.noar 853/3380 Verifying : texlive-boldtensors-9:svn15878.0-61.fc38.noarc 854/3380 Verifying : texlive-bondgraph-9:svn21670.1.0-61.fc38.noarc 855/3380 Verifying : texlive-bondgraphs-9:svn36605.1.0.1-61.fc38.no 856/3380 Verifying : texlive-bookcover-9:svn57326-61.fc38.noarch 857/3380 Verifying : texlive-bookdb-9:svn37536.0.2-61.fc38.noarch 858/3380 Verifying : texlive-bookest-9:svn15878.1.1-61.fc38.noarch 859/3380 Verifying : texlive-bookhands-9:svn46480-61.fc38.noarch 860/3380 Verifying : texlive-booklet-9:svn15878.0.7b-61.fc38.noarch 861/3380 Verifying : texlive-bookman-9:svn31835.0-61.fc38.noarch 862/3380 Verifying : texlive-bookmark-9:svn56885-61.fc38.noarch 863/3380 Verifying : texlive-bookshelf-9:svn55475-61.fc38.noarch 864/3380 Verifying : texlive-booktabs-9:svn53402-61.fc38.noarch 865/3380 Verifying : texlive-boolexpr-9:svn17830.3.14-61.fc38.noarc 866/3380 Verifying : texlive-boondox-9:svn54512-61.fc38.noarch 867/3380 Verifying : texlive-bophook-9:svn17062.0.02-61.fc38.noarch 868/3380 Verifying : texlive-bosisio-9:svn16989.0-61.fc38.noarch 869/3380 Verifying : texlive-boxedminipage-9:svn54827-61.fc38.noarc 870/3380 Verifying : texlive-boxhandler-9:svn28031.1.30-61.fc38.noa 871/3380 Verifying : texlive-bpchem-9:svn45120-61.fc38.noarch 872/3380 Verifying : texlive-br-lex-9:svn44939-61.fc38.noarch 873/3380 Verifying : texlive-bracketkey-9:svn17129.1.0-61.fc38.noar 874/3380 Verifying : texlive-braids-9:svn54080-61.fc38.noarch 875/3380 Verifying : texlive-braille-9:svn20655.0-61.fc38.noarch 876/3380 Verifying : texlive-braket-9:svn17127.0-61.fc38.noarch 877/3380 Verifying : texlive-brandeis-dissertation-9:svn54758-61.fc 878/3380 Verifying : texlive-brandeis-problemset-9:svn50991-61.fc38 879/3380 Verifying : texlive-brandeis-thesis-9:svn54758-61.fc38.noa 880/3380 Verifying : texlive-breakcites-9:svn21014-61.fc38.noarch 881/3380 Verifying : texlive-breakurl-9:svn29901.1.40-61.fc38.noarc 882/3380 Verifying : texlive-breqn-9:svn56422-61.fc38.noarch 883/3380 Verifying : texlive-bropd-9:svn35383.1.2-61.fc38.noarch 884/3380 Verifying : texlive-brushscr-9:svn28363.0-61.fc38.noarch 885/3380 Verifying : texlive-bubblesort-9:svn56070-61.fc38.noarch 886/3380 Verifying : texlive-buctthesis-9:svn59002-61.fc38.noarch 887/3380 Verifying : texlive-bullcntr-9:svn15878.0.04-61.fc38.noarc 888/3380 Verifying : texlive-bussproofs-extra-9:svn51299-61.fc38.no 889/3380 Verifying : texlive-bussproofs-9:svn54080-61.fc38.noarch 890/3380 Verifying : texlive-bxcalc-9:svn56431-61.fc38.noarch 891/3380 Verifying : texlive-bxdpx-beamer-9:svn41813-61.fc38.noarch 892/3380 Verifying : texlive-bxdvidriver-9:svn43219-61.fc38.noarch 893/3380 Verifying : texlive-bxeepic-9:svn30559.0.2-61.fc38.noarch 894/3380 Verifying : texlive-bxenclose-9:svn40213-61.fc38.noarch 895/3380 Verifying : texlive-bxnewfont-9:svn44173-61.fc38.noarch 896/3380 Verifying : texlive-bxpapersize-9:svn56491-61.fc38.noarch 897/3380 Verifying : texlive-bxpdfver-9:svn57755-61.fc38.noarch 898/3380 Verifying : texlive-bxtexlogo-9:svn47230-61.fc38.noarch 899/3380 Verifying : texlive-byo-twemojis-9:svn58917-61.fc38.noarch 900/3380 Verifying : texlive-bytefield-9:svn56821-61.fc38.noarch 901/3380 Verifying : texlive-cabin-9:svn55907-61.fc38.noarch 902/3380 Verifying : texlive-cachepic-9:20210325-52.fc38.noarch 903/3380 Verifying : texlive-caladea-9:svn34991.0-61.fc38.noarch 904/3380 Verifying : texlive-calcage-9:svn27725.0.90-61.fc38.noarch 905/3380 Verifying : texlive-calctab-9:svn15878.v0.6.1-61.fc38.noar 906/3380 Verifying : texlive-calculation-9:svn35973.1.0-61.fc38.noa 907/3380 Verifying : texlive-calculator-9:svn33041.2.0-61.fc38.noar 908/3380 Verifying : texlive-calligra-9:svn15878.0-61.fc38.noarch 909/3380 Verifying : texlive-calligra-type1-9:svn24302.001.000-61.f 910/3380 Verifying : texlive-callouts-9:svn44899-61.fc38.noarch 911/3380 Verifying : texlive-calrsfs-9:svn17125.0-61.fc38.noarch 912/3380 Verifying : texlive-cals-9:svn43003-61.fc38.noarch 913/3380 Verifying : texlive-calxxxx-yyyy-9:svn57282-61.fc38.noarch 914/3380 Verifying : texlive-cancel-9:svn32508.2.2-61.fc38.noarch 915/3380 Verifying : texlive-canoniclayout-9:svn54758-61.fc38.noarc 916/3380 Verifying : texlive-cantarell-9:svn54512-61.fc38.noarch 917/3380 Verifying : texlive-capt-of-9:svn29803.0-61.fc38.noarch 918/3380 Verifying : texlive-captcont-9:svn15878.2.0-61.fc38.noarch 919/3380 Verifying : texlive-captdef-9:svn17353.0-61.fc38.noarch 920/3380 Verifying : texlive-caption-9:svn56771-61.fc38.noarch 921/3380 Verifying : texlive-carbohydrates-9:svn39000-61.fc38.noarc 922/3380 Verifying : texlive-carlisle-9:svn56753-61.fc38.noarch 923/3380 Verifying : texlive-carlito-9:svn35002.0-61.fc38.noarch 924/3380 Verifying : texlive-carolmin-ps-9:svn15878.0-61.fc38.noarc 925/3380 Verifying : texlive-cascade-9:svn55759-61.fc38.noarch 926/3380 Verifying : texlive-cascadia-code-9:svn57478-61.fc38.noarc 927/3380 Verifying : texlive-cascadilla-9:svn25144.1.8.2-61.fc38.no 928/3380 Verifying : texlive-cases-9:svn54682-61.fc38.noarch 929/3380 Verifying : texlive-casyl-9:svn15878.2.0-61.fc38.noarch 930/3380 Verifying : texlive-catchfile-9:svn53084-61.fc38.noarch 931/3380 Verifying : texlive-catchfilebetweentags-9:svn21476.1.1-61 932/3380 Verifying : texlive-catcodes-9:svn38859-61.fc38.noarch 933/3380 Verifying : texlive-catechis-9:svn49061-61.fc38.noarch 934/3380 Verifying : texlive-catoptions-9:svn35069.0.2.7h-61.fc38.n 935/3380 Verifying : texlive-causets-9:svn57139-61.fc38.noarch 936/3380 Verifying : texlive-cbcoptic-9:svn16666.0.2-61.fc38.noarch 937/3380 Verifying : texlive-cbfonts-fd-9:svn54080-61.fc38.noarch 938/3380 Verifying : texlive-cbfonts-9:svn54080-61.fc38.noarch 939/3380 Verifying : texlive-ccaption-9:svn23443.3.2c-61.fc38.noarc 940/3380 Verifying : texlive-ccfonts-9:svn54686-61.fc38.noarch 941/3380 Verifying : texlive-ccicons-9:svn54512-61.fc38.noarch 942/3380 Verifying : texlive-cclicenses-9:svn15878.0-61.fc38.noarch 943/3380 Verifying : texlive-ccool-9:svn56636-61.fc38.noarch 944/3380 Verifying : texlive-cd-cover-9:svn17121.1.0-61.fc38.noarch 945/3380 Verifying : texlive-cd-9:svn34452.1.4-61.fc38.noarch 946/3380 Verifying : texlive-cdpbundl-9:svn46613-61.fc38.noarch 947/3380 Verifying : texlive-cell-9:svn42428-61.fc38.noarch 948/3380 Verifying : texlive-cellprops-9:svn57599-61.fc38.noarch 949/3380 Verifying : texlive-cellspace-9:svn50374-61.fc38.noarch 950/3380 Verifying : texlive-celtic-9:svn39797-61.fc38.noarch 951/3380 Verifying : texlive-censor-9:svn49168-61.fc38.noarch 952/3380 Verifying : texlive-centeredline-9:svn50971-61.fc38.noarch 953/3380 Verifying : texlive-cesenaexam-9:svn44960-61.fc38.noarch 954/3380 Verifying : texlive-cfr-initials-9:svn36728.1.01-61.fc38.n 955/3380 Verifying : texlive-cfr-lm-9:svn36195.1.5-61.fc38.noarch 956/3380 Verifying : texlive-changebar-9:svn46919-61.fc38.noarch 957/3380 Verifying : texlive-changelayout-9:svn16094.1.0-61.fc38.no 958/3380 Verifying : texlive-changelog-9:svn56338-61.fc38.noarch 959/3380 Verifying : texlive-changepage-9:svn15878.1.0c-61.fc38.noa 960/3380 Verifying : texlive-changes-9:svn58773-61.fc38.noarch 961/3380 Verifying : texlive-chappg-9:svn15878.2.1b-61.fc38.noarch 962/3380 Verifying : texlive-chapterfolder-9:svn15878.2.0.1-61.fc38 963/3380 Verifying : texlive-charissil-9:svn55920-61.fc38.noarch 964/3380 Verifying : texlive-charter-9:svn15878.0-61.fc38.noarch 965/3380 Verifying : texlive-chbibref-9:svn17120.1.0-61.fc38.noarch 966/3380 Verifying : texlive-cheatsheet-9:svn45069-61.fc38.noarch 967/3380 Verifying : texlive-checkend-9:svn51475-61.fc38.noarch 968/3380 Verifying : texlive-chem-journal-9:svn15878.0-61.fc38.noar 969/3380 Verifying : texlive-chemarrow-9:svn17146.0.9-61.fc38.noarc 970/3380 Verifying : texlive-chembst-9:svn15878.0.2.5-61.fc38.noarc 971/3380 Verifying : texlive-chemcompounds-9:svn15878.0-61.fc38.noa 972/3380 Verifying : texlive-chemcono-9:svn17119.1.3-61.fc38.noarch 973/3380 Verifying : texlive-chemexec-9:svn21632.1.0-61.fc38.noarch 974/3380 Verifying : texlive-chemfig-9:svn58014-61.fc38.noarch 975/3380 Verifying : texlive-chemformula-9:svn57206-61.fc38.noarch 976/3380 Verifying : texlive-chemgreek-9:svn53437-61.fc38.noarch 977/3380 Verifying : texlive-chemmacros-9:svn56983-61.fc38.noarch 978/3380 Verifying : texlive-chemnum-9:svn57490-61.fc38.noarch 979/3380 Verifying : texlive-chemplants-9:svn52863-61.fc38.noarch 980/3380 Verifying : texlive-chemschemex-9:svn46723-61.fc38.noarch 981/3380 Verifying : texlive-chemsec-9:svn46972-61.fc38.noarch 982/3380 Verifying : texlive-chemstyle-9:svn31096.2.0m-61.fc38.noar 983/3380 Verifying : texlive-cherokee-9:svn21046.0-61.fc38.noarch 984/3380 Verifying : texlive-chessfss-9:svn19440.1.2a-61.fc38.noarc 985/3380 Verifying : texlive-chet-9:svn45081-61.fc38.noarch 986/3380 Verifying : texlive-chextras-9:svn27118.1.01-61.fc38.noarc 987/3380 Verifying : texlive-chicago-annote-9:svn15878.0-61.fc38.no 988/3380 Verifying : texlive-chicago-9:svn15878.0-61.fc38.noarch 989/3380 Verifying : texlive-chicagoa-9:svn52567-61.fc38.noarch 990/3380 Verifying : texlive-chifoot-9:svn57312-61.fc38.noarch 991/3380 Verifying : texlive-childdoc-9:svn49543-61.fc38.noarch 992/3380 Verifying : texlive-chivo-9:svn54512-61.fc38.noarch 993/3380 Verifying : texlive-chkfloat-9:svn27473.0.1-61.fc38.noarch 994/3380 Verifying : texlive-chletter-9:svn20060.2.0-61.fc38.noarch 995/3380 Verifying : texlive-chngcntr-9:svn47577-61.fc38.noarch 996/3380 Verifying : texlive-chronology-9:svn37934.1.1.1-61.fc38.no 997/3380 Verifying : texlive-chs-physics-report-9:svn54512-61.fc38. 998/3380 Verifying : texlive-chscite-9:svn28552.2.9999-61.fc38.noar 999/3380 Verifying : texlive-cinzel-9:svn54512-61.fc38.noarch 1000/3380 Verifying : texlive-circ-9:svn15878.1.1-61.fc38.noarch 1001/3380 Verifying : texlive-circledsteps-9:svn53382-61.fc38.noarch 1002/3380 Verifying : texlive-circuit-macros-9:svn57308-61.fc38.noar 1003/3380 Verifying : texlive-circuitikz-9:svn59147-61.fc38.noarch 1004/3380 Verifying : texlive-cite-9:svn36428.5.5-61.fc38.noarch 1005/3380 Verifying : texlive-citeall-9:svn45975-61.fc38.noarch 1006/3380 Verifying : texlive-citeref-9:svn47407-61.fc38.noarch 1007/3380 Verifying : texlive-cje-9:svn46721-61.fc38.noarch 1008/3380 Verifying : texlive-cjk-9:svn36951.4.8.4-61.fc38.noarch 1009/3380 Verifying : texlive-cjkpunct-9:svn41119-61.fc38.noarch 1010/3380 Verifying : texlive-clara-9:svn54512-61.fc38.noarch 1011/3380 Verifying : texlive-classics-9:svn53671-61.fc38.noarch 1012/3380 Verifying : texlive-classicthesis-9:svn48041-61.fc38.noarc 1013/3380 Verifying : texlive-classpack-9:svn55218-61.fc38.noarch 1014/3380 Verifying : texlive-cleanthesis-9:svn51472-61.fc38.noarch 1015/3380 Verifying : texlive-clearsans-9:svn54512-61.fc38.noarch 1016/3380 Verifying : texlive-clefval-9:svn55985-61.fc38.noarch 1017/3380 Verifying : texlive-cleveref-9:svn47525-61.fc38.noarch 1018/3380 Verifying : texlive-clipboard-9:svn47747-61.fc38.noarch 1019/3380 Verifying : texlive-clock-9:svn15878.0-61.fc38.noarch 1020/3380 Verifying : texlive-clrdblpg-9:svn47511-61.fc38.noarch 1021/3380 Verifying : texlive-clrscode-9:svn51136-61.fc38.noarch 1022/3380 Verifying : texlive-clrscode3e-9:svn51137-61.fc38.noarch 1023/3380 Verifying : texlive-clrstrip-9:svn53537-61.fc38.noarch 1024/3380 Verifying : texlive-cm-lgc-9:svn28250.0.5-61.fc38.noarch 1025/3380 Verifying : texlive-cm-mf-extra-bold-9:svn54512-61.fc38.no 1026/3380 Verifying : texlive-cm-super-9:svn15878.0-61.fc38.noarch 1027/3380 Verifying : texlive-cm-9:svn57963-61.fc38.noarch 1028/3380 Verifying : texlive-cm-unicode-9:svn58661-61.fc38.noarch 1029/3380 Verifying : texlive-cmap-9:svn57640-61.fc38.noarch 1030/3380 Verifying : texlive-cmathbb-9:svn56414-61.fc38.noarch 1031/3380 Verifying : texlive-cmbright-9:svn21107.8.1-61.fc38.noarch 1032/3380 Verifying : texlive-cmdstring-9:svn15878.1.1-61.fc38.noarc 1033/3380 Verifying : texlive-cmdtrack-9:svn28910-61.fc38.noarch 1034/3380 Verifying : texlive-cmexb-9:svn54074-61.fc38.noarch 1035/3380 Verifying : texlive-cmextra-9:svn57866-61.fc38.noarch 1036/3380 Verifying : texlive-cmll-9:svn17964.0-61.fc38.noarch 1037/3380 Verifying : texlive-cmpica-9:svn15878.0-61.fc38.noarch 1038/3380 Verifying : texlive-cmpj-9:svn58506-61.fc38.noarch 1039/3380 Verifying : texlive-cmsd-9:svn18787.0-61.fc38.noarch 1040/3380 Verifying : texlive-cmsrb-9:svn54706-61.fc38.noarch 1041/3380 Verifying : texlive-cmtiup-9:svn39728-61.fc38.noarch 1042/3380 Verifying : texlive-cmupint-9:svn54735-61.fc38.noarch 1043/3380 Verifying : texlive-cnltx-9:svn55265-61.fc38.noarch 1044/3380 Verifying : texlive-cns-9:svn45677-61.fc38.noarch 1045/3380 Verifying : texlive-cntformats-9:svn34668.0.7-61.fc38.noar 1046/3380 Verifying : texlive-cntperchap-9:svn37572.0.3-61.fc38.noar 1047/3380 Verifying : texlive-cochineal-9:svn58717-61.fc38.noarch 1048/3380 Verifying : texlive-codeanatomy-9:svn51627-61.fc38.noarch 1049/3380 Verifying : texlive-codedoc-9:svn17630.0.3-61.fc38.noarch 1050/3380 Verifying : texlive-codepage-9:svn51502-61.fc38.noarch 1051/3380 Verifying : texlive-codesection-9:svn34481.0.1-61.fc38.noa 1052/3380 Verifying : texlive-coelacanth-9:svn54736-61.fc38.noarch 1053/3380 Verifying : texlive-coffeestains-9:svn59115-61.fc38.noarch 1054/3380 Verifying : texlive-collcell-9:svn56291-61.fc38.noarch 1055/3380 Verifying : texlive-collectbox-9:svn56291-61.fc38.noarch 1056/3380 Verifying : texlive-collection-basic-9:svn59159-61.fc38.no 1057/3380 Verifying : texlive-collection-bibtexextra-9:svn58437-61.f 1058/3380 Verifying : texlive-collection-fontsextra-9:svn58253-61.fc 1059/3380 Verifying : texlive-collection-fontsrecommended-9:svn54074 1060/3380 Verifying : texlive-collection-latex-9:svn57048-61.fc38.no 1061/3380 Verifying : texlive-collection-latexextra-9:svn59009-61.fc 1062/3380 Verifying : texlive-collection-latexrecommended-9:svn57862 1063/3380 Verifying : texlive-collection-mathscience-9:svn57759-61.f 1064/3380 Verifying : texlive-collection-pictures-9:svn59115-61.fc38 1065/3380 Verifying : texlive-collection-publishers-9:svn59002-61.fc 1066/3380 Verifying : texlive-collref-9:svn46358-61.fc38.noarch 1067/3380 Verifying : texlive-colophon-9:svn47913-61.fc38.noarch 1068/3380 Verifying : texlive-color-edits-9:svn56707-61.fc38.noarch 1069/3380 Verifying : texlive-colordoc-9:svn18270.0-61.fc38.noarch 1070/3380 Verifying : texlive-colorinfo-9:svn15878.0.3c-61.fc38.noar 1071/3380 Verifying : texlive-coloring-9:svn41042-61.fc38.noarch 1072/3380 Verifying : texlive-colorist-9:svn59316-61.fc38.noarch 1073/3380 Verifying : texlive-colorprofiles-9:svn49086-61.fc38.noarc 1074/3380 Verifying : texlive-colorspace-9:svn50585-61.fc38.noarch 1075/3380 Verifying : texlive-colortab-9:svn22155.1.0-61.fc38.noarch 1076/3380 Verifying : texlive-colortbl-9:svn53545-61.fc38.noarch 1077/3380 Verifying : texlive-colorwav-9:svn15878.1.0-61.fc38.noarch 1078/3380 Verifying : texlive-colorweb-9:svn31490.1.3-61.fc38.noarch 1079/3380 Verifying : texlive-colourchange-9:svn21741.1.22-61.fc38.n 1080/3380 Verifying : texlive-combelow-9:svn18462.0.99f-61.fc38.noar 1081/3380 Verifying : texlive-combine-9:svn19361.0.7a-61.fc38.noarch 1082/3380 Verifying : texlive-combinedgraphics-9:svn27198.0.2.2-61.f 1083/3380 Verifying : texlive-comfortaa-9:svn54512-61.fc38.noarch 1084/3380 Verifying : texlive-comicneue-9:svn54891-61.fc38.noarch 1085/3380 Verifying : texlive-comma-9:svn18259.1.2-61.fc38.noarch 1086/3380 Verifying : texlive-commado-9:svn38875-61.fc38.noarch 1087/3380 Verifying : texlive-commath-9:svn15878.0.3-61.fc38.noarch 1088/3380 Verifying : texlive-commedit-9:svn50116-61.fc38.noarch 1089/3380 Verifying : texlive-comment-9:svn41927-61.fc38.noarch 1090/3380 Verifying : texlive-compactbib-9:svn15878.0-61.fc38.noarch 1091/3380 Verifying : texlive-competences-9:svn47573-61.fc38.noarch 1092/3380 Verifying : texlive-complexity-9:svn45322-61.fc38.noarch 1093/3380 Verifying : texlive-computational-complexity-9:svn44847-61 1094/3380 Verifying : texlive-concepts-9:svn29020.0.0.5_r1-61.fc38.n 1095/3380 Verifying : texlive-concmath-fonts-9:svn17218.0-61.fc38.no 1096/3380 Verifying : texlive-concmath-9:svn17219.0-61.fc38.noarch 1097/3380 Verifying : texlive-concprog-9:svn18791.0-61.fc38.noarch 1098/3380 Verifying : texlive-concrete-9:svn57963-61.fc38.noarch 1099/3380 Verifying : texlive-conditext-9:svn55387-61.fc38.noarch 1100/3380 Verifying : texlive-confproc-9:svn29349.0.8-61.fc38.noarch 1101/3380 Verifying : texlive-constants-9:svn15878.1.0-61.fc38.noarc 1102/3380 Verifying : texlive-conteq-9:svn37868.0.1.1-61.fc38.noarch 1103/3380 Verifying : texlive-continue-9:svn49449-61.fc38.noarch 1104/3380 Verifying : texlive-contour-9:svn18950.2.14-61.fc38.noarch 1105/3380 Verifying : texlive-contracard-9:svn50217-61.fc38.noarch 1106/3380 Verifying : texlive-conv-xkv-9:svn43558-61.fc38.noarch 1107/3380 Verifying : texlive-convbkmk-9:20210325-52.fc38.noarch 1108/3380 Verifying : texlive-cooking-9:svn15878.0.9b-61.fc38.noarch 1109/3380 Verifying : texlive-cooking-units-9:svn53403-61.fc38.noarc 1110/3380 Verifying : texlive-cookingsymbols-9:svn35929.1.1-61.fc38. 1111/3380 Verifying : texlive-cool-9:svn15878.1.35-61.fc38.noarch 1112/3380 Verifying : texlive-coollist-9:svn15878.1.4-61.fc38.noarch 1113/3380 Verifying : texlive-coolstr-9:svn15878.2.2-61.fc38.noarch 1114/3380 Verifying : texlive-coolthms-9:svn29062.1.2-61.fc38.noarch 1115/3380 Verifying : texlive-cooltooltips-9:svn15878.1.0-61.fc38.no 1116/3380 Verifying : texlive-coordsys-9:svn15878.1.4-61.fc38.noarch 1117/3380 Verifying : texlive-copyedit-9:svn37928.1.6-61.fc38.noarch 1118/3380 Verifying : texlive-copyrightbox-9:svn24829.0.1-61.fc38.no 1119/3380 Verifying : texlive-cormorantgaramond-9:svn54696-61.fc38.n 1120/3380 Verifying : texlive-correctmathalign-9:svn44131-61.fc38.no 1121/3380 Verifying : texlive-coseoul-9:svn23862.1.1-61.fc38.noarch 1122/3380 Verifying : texlive-countriesofeurope-9:svn54512-61.fc38.n 1123/3380 Verifying : texlive-counttexruns-9:svn27576.1.00a-61.fc38. 1124/3380 Verifying : texlive-courier-scaled-9:svn24940.0-61.fc38.no 1125/3380 Verifying : texlive-courier-9:svn35058.0-61.fc38.noarch 1126/3380 Verifying : texlive-courierten-9:svn55436-61.fc38.noarch 1127/3380 Verifying : texlive-courseoutline-9:svn15878.1.0-61.fc38.n 1128/3380 Verifying : texlive-coursepaper-9:svn15878.2.0-61.fc38.noa 1129/3380 Verifying : texlive-coverpage-9:svn15878.1.01-61.fc38.noar 1130/3380 Verifying : texlive-cprotect-9:svn21209.1.0e-61.fc38.noarc 1131/3380 Verifying : texlive-cquthesis-9:svn55643-61.fc38.noarch 1132/3380 Verifying : texlive-crbox-9:svn29803.0.1-61.fc38.noarch 1133/3380 Verifying : texlive-crimson-9:svn54512-61.fc38.noarch 1134/3380 Verifying : texlive-crimsonpro-9:svn54512-61.fc38.noarch 1135/3380 Verifying : texlive-crop-9:svn55424-61.fc38.noarch 1136/3380 Verifying : texlive-crossreference-9:svn15878.0-61.fc38.no 1137/3380 Verifying : texlive-crossreftools-9:svn55879-61.fc38.noarc 1138/3380 Verifying : texlive-crossrefware-9:20210325-52.fc38.noarch 1139/3380 Verifying : texlive-cryptocode-9:svn55920-61.fc38.noarch 1140/3380 Verifying : texlive-cryst-9:svn15878.0-61.fc38.noarch 1141/3380 Verifying : texlive-csquotes-9:svn57844-61.fc38.noarch 1142/3380 Verifying : texlive-css-colors-9:svn54512-61.fc38.noarch 1143/3380 Verifying : texlive-csvmerge-9:svn51857-61.fc38.noarch 1144/3380 Verifying : texlive-csvsimple-9:svn51010-61.fc38.noarch 1145/3380 Verifying : texlive-ctable-9:svn38672-61.fc38.noarch 1146/3380 Verifying : texlive-ctablestack-9:svn38514-61.fc38.noarch 1147/3380 Verifying : texlive-ctex-9:svn58583-61.fc38.noarch 1148/3380 Verifying : texlive-ctib-9:svn15878.0-61.fc38.noarch 1149/3380 Verifying : texlive-cuisine-9:svn34453.0.7-61.fc38.noarch 1150/3380 Verifying : texlive-cuprum-9:svn49909-61.fc38.noarch 1151/3380 Verifying : texlive-currency-9:svn48990-61.fc38.noarch 1152/3380 Verifying : texlive-currfile-9:svn56478-61.fc38.noarch 1153/3380 Verifying : texlive-currvita-9:svn15878.0-61.fc38.noarch 1154/3380 Verifying : texlive-curve-9:svn20745.1.16-61.fc38.noarch 1155/3380 Verifying : texlive-curve2e-9:svn57402-61.fc38.noarch 1156/3380 Verifying : texlive-curves-9:svn45255-61.fc38.noarch 1157/3380 Verifying : texlive-custom-bib-9:svn24729.4.33-61.fc38.noa 1158/3380 Verifying : texlive-cutwin-9:svn29803.0.1-61.fc38.noarch 1159/3380 Verifying : texlive-cv-9:svn15878.0-61.fc38.noarch 1160/3380 Verifying : texlive-cv4tw-9:svn34577.0.2-61.fc38.noarch 1161/3380 Verifying : texlive-cweb-latex-9:svn28878.0-61.fc38.noarch 1162/3380 Verifying : texlive-cyber-9:svn46776-61.fc38.noarch 1163/3380 Verifying : texlive-cybercic-9:svn37659.2.1-61.fc38.noarch 1164/3380 Verifying : texlive-cyklop-9:svn18651.0.915-61.fc38.noarch 1165/3380 Verifying : texlive-dancers-9:svn13293.0-61.fc38.noarch 1166/3380 Verifying : texlive-dantelogo-9:svn38599-61.fc38.noarch 1167/3380 Verifying : texlive-dashbox-9:svn23425.1.14-61.fc38.noarch 1168/3380 Verifying : texlive-dashrule-9:svn29579.1.3-61.fc38.noarch 1169/3380 Verifying : texlive-dashundergaps-9:svn58150-61.fc38.noarc 1170/3380 Verifying : texlive-dataref-9:svn42883-61.fc38.noarch 1171/3380 Verifying : texlive-datatool-9:svn52663-61.fc38.noarch 1172/3380 Verifying : texlive-datax-9:svn57033-61.fc38.noarch 1173/3380 Verifying : texlive-dateiliste-9:svn27974.0.6-61.fc38.noar 1174/3380 Verifying : texlive-datenumber-9:svn18951.0.02-61.fc38.noa 1175/3380 Verifying : texlive-datetime-9:svn36650.2.60-61.fc38.noarc 1176/3380 Verifying : texlive-datetime2-bahasai-9:svn46287-61.fc38.n 1177/3380 Verifying : texlive-datetime2-basque-9:svn47064-61.fc38.no 1178/3380 Verifying : texlive-datetime2-breton-9:svn52647-61.fc38.no 1179/3380 Verifying : texlive-datetime2-bulgarian-9:svn47031-61.fc38 1180/3380 Verifying : texlive-datetime2-catalan-9:svn47032-61.fc38.n 1181/3380 Verifying : texlive-datetime2-croatian-9:svn36682.1.0-61.f 1182/3380 Verifying : texlive-datetime2-czech-9:svn47033-61.fc38.noa 1183/3380 Verifying : texlive-datetime2-danish-9:svn47034-61.fc38.no 1184/3380 Verifying : texlive-datetime2-dutch-9:svn47355-61.fc38.noa 1185/3380 Verifying : texlive-datetime2-en-fulltext-9:svn36705.1.0-6 1186/3380 Verifying : texlive-datetime2-english-9:svn52479-61.fc38.n 1187/3380 Verifying : texlive-datetime2-esperanto-9:svn47356-61.fc38 1188/3380 Verifying : texlive-datetime2-estonian-9:svn47565-61.fc38. 1189/3380 Verifying : texlive-datetime2-finnish-9:svn47047-61.fc38.n 1190/3380 Verifying : texlive-datetime2-french-9:svn56393-61.fc38.no 1191/3380 Verifying : texlive-datetime2-galician-9:svn47631-61.fc38. 1192/3380 Verifying : texlive-datetime2-german-9:svn53125-61.fc38.no 1193/3380 Verifying : texlive-datetime2-greek-9:svn47533-61.fc38.noa 1194/3380 Verifying : texlive-datetime2-hebrew-9:svn47534-61.fc38.no 1195/3380 Verifying : texlive-datetime2-icelandic-9:svn47501-61.fc38 1196/3380 Verifying : texlive-datetime2-irish-9:svn47632-61.fc38.noa 1197/3380 Verifying : texlive-datetime2-it-fulltext-9:svn54779-61.fc 1198/3380 Verifying : texlive-datetime2-italian-9:svn37146.1.3-61.fc 1199/3380 Verifying : texlive-datetime2-latin-9:svn47748-61.fc38.noa 1200/3380 Verifying : texlive-datetime2-lsorbian-9:svn47749-61.fc38. 1201/3380 Verifying : texlive-datetime2-magyar-9:svn48266-61.fc38.no 1202/3380 Verifying : texlive-datetime2-norsk-9:svn48267-61.fc38.noa 1203/3380 Verifying : texlive-datetime2-polish-9:svn48456-61.fc38.no 1204/3380 Verifying : texlive-datetime2-portuges-9:svn48457-61.fc38. 1205/3380 Verifying : texlive-datetime2-romanian-9:svn56394-61.fc38. 1206/3380 Verifying : texlive-datetime2-russian-9:svn49345-61.fc38.n 1207/3380 Verifying : texlive-datetime2-samin-9:svn49346-61.fc38.noa 1208/3380 Verifying : texlive-datetime2-scottish-9:svn52101-61.fc38. 1209/3380 Verifying : texlive-datetime2-serbian-9:svn52893-61.fc38.n 1210/3380 Verifying : texlive-datetime2-slovak-9:svn52281-61.fc38.no 1211/3380 Verifying : texlive-datetime2-slovene-9:svn52282-61.fc38.n 1212/3380 Verifying : texlive-datetime2-spanish-9:svn45785-61.fc38.n 1213/3380 Verifying : texlive-datetime2-9:svn58590-61.fc38.noarch 1214/3380 Verifying : texlive-datetime2-swedish-9:svn36700.1.0-61.fc 1215/3380 Verifying : texlive-datetime2-turkish-9:svn52331-61.fc38.n 1216/3380 Verifying : texlive-datetime2-ukrainian-9:svn47552-61.fc38 1217/3380 Verifying : texlive-datetime2-usorbian-9:svn52375-61.fc38. 1218/3380 Verifying : texlive-datetime2-welsh-9:svn52553-61.fc38.noa 1219/3380 Verifying : texlive-dblfloatfix-9:svn28983.1.0a-61.fc38.no 1220/3380 Verifying : texlive-dccpaper-9:svn57522-61.fc38.noarch 1221/3380 Verifying : texlive-dcpic-9:svn30206.5.0.0-61.fc38.noarch 1222/3380 Verifying : texlive-decimal-9:svn23374.0-61.fc38.noarch 1223/3380 Verifying : texlive-decision-table-9:svn57094-61.fc38.noar 1224/3380 Verifying : texlive-decorule-9:svn55230-61.fc38.noarch 1225/3380 Verifying : texlive-dehyph-9:svn48599-61.fc38.noarch 1226/3380 Verifying : texlive-dejavu-otf-9:svn45991-61.fc38.noarch 1227/3380 Verifying : texlive-dejavu-9:svn31771.2.34-61.fc38.noarch 1228/3380 Verifying : texlive-delim-9:svn23974.1.0-61.fc38.noarch 1229/3380 Verifying : texlive-delimseasy-9:svn39589-61.fc38.noarch 1230/3380 Verifying : texlive-delimset-9:svn49544-61.fc38.noarch 1231/3380 Verifying : texlive-delimtxt-9:svn16549.0-61.fc38.noarch 1232/3380 Verifying : texlive-denisbdoc-9:svn56664-61.fc38.noarch 1233/3380 Verifying : texlive-derivative-9:svn55890-61.fc38.noarch 1234/3380 Verifying : texlive-dhua-9:svn24035.0.11-61.fc38.noarch 1235/3380 Verifying : texlive-diabetes-logbook-9:svn54810-61.fc38.no 1236/3380 Verifying : texlive-diagbox-9:svn54080-61.fc38.noarch 1237/3380 Verifying : texlive-diagmac2-9:svn15878.2.1-61.fc38.noarch 1238/3380 Verifying : texlive-diagnose-9:svn19387.0.2-61.fc38.noarch 1239/3380 Verifying : texlive-dialogl-9:svn28946.0-61.fc38.noarch 1240/3380 Verifying : texlive-dice-9:svn28501.0-61.fc38.noarch 1241/3380 Verifying : texlive-dichokey-9:svn17192.0-61.fc38.noarch 1242/3380 Verifying : texlive-dictsym-9:svn20031.0-61.fc38.noarch 1243/3380 Verifying : texlive-diffcoeff-9:svn53244-61.fc38.noarch 1244/3380 Verifying : texlive-digiconfigs-9:svn15878.0.5-61.fc38.noa 1245/3380 Verifying : texlive-dijkstra-9:svn55661-61.fc38.noarch 1246/3380 Verifying : texlive-dimnum-9:svn58774-61.fc38.noarch 1247/3380 Verifying : texlive-din1505-9:svn19441.0-61.fc38.noarch 1248/3380 Verifying : texlive-dinbrief-9:svn15878.0-61.fc38.noarch 1249/3380 Verifying : texlive-dingbat-9:svn27918.1.0-61.fc38.noarch 1250/3380 Verifying : texlive-directory-9:svn15878.1.20-61.fc38.noar 1251/3380 Verifying : texlive-dirtree-9:svn42428-61.fc38.noarch 1252/3380 Verifying : texlive-dirtytalk-9:svn20520.1.0-61.fc38.noarc 1253/3380 Verifying : texlive-ditaa-9:svn48932-61.fc38.noarch 1254/3380 Verifying : texlive-dithesis-9:svn34295.0.2-61.fc38.noarch 1255/3380 Verifying : texlive-dk-bib-9:svn15878.0.6-61.fc38.noarch 1256/3380 Verifying : texlive-dlfltxb-9:svn17337.0-61.fc38.noarch 1257/3380 Verifying : texlive-dnaseq-9:svn17194.0.01-61.fc38.noarch 1258/3380 Verifying : texlive-doc-pictex-doc-9:svn24927.0-61.fc38.no 1259/3380 Verifying : texlive-doclicense-9:svn58350-61.fc38.noarch 1260/3380 Verifying : texlive-docmfp-9:svn15878.1.2d-61.fc38.noarch 1261/3380 Verifying : texlive-docmute-9:svn25741.1.4-61.fc38.noarch 1262/3380 Verifying : texlive-doctools-9:svn34474.0.1-61.fc38.noarch 1263/3380 Verifying : texlive-documentation-9:svn34521.0.1-61.fc38.n 1264/3380 Verifying : texlive-docutils-9:svn56594-61.fc38.noarch 1265/3380 Verifying : texlive-doi-9:svn48634-61.fc38.noarch 1266/3380 Verifying : texlive-doipubmed-9:svn15878.1.01-61.fc38.noar 1267/3380 Verifying : texlive-domitian-9:svn55286-61.fc38.noarch 1268/3380 Verifying : texlive-dot2texi-9:svn26237.3.0-61.fc38.noarch 1269/3380 Verifying : texlive-dotarrow-9:svn15878.0.01a-61.fc38.noar 1270/3380 Verifying : texlive-dotlessi-9:svn51476-61.fc38.noarch 1271/3380 Verifying : texlive-dotseqn-9:svn17195.1.1-61.fc38.noarch 1272/3380 Verifying : texlive-dottex-9:svn15878.0.6-61.fc38.noarch 1273/3380 Verifying : texlive-doublestroke-9:svn15878.1.111-61.fc38. 1274/3380 Verifying : texlive-doulossil-9:svn56407-61.fc38.noarch 1275/3380 Verifying : texlive-dowith-9:svn38860-61.fc38.noarch 1276/3380 Verifying : texlive-download-9:svn52257-61.fc38.noarch 1277/3380 Verifying : texlive-dox-9:svn46011-61.fc38.noarch 1278/3380 Verifying : texlive-dozenal-9:svn47680-61.fc38.noarch 1279/3380 Verifying : texlive-dpcircling-9:svn54994-61.fc38.noarch 1280/3380 Verifying : texlive-dpfloat-9:svn17196.0-61.fc38.noarch 1281/3380 Verifying : texlive-dprogress-9:svn15878.0.1-61.fc38.noarc 1282/3380 Verifying : texlive-drac-9:svn15878.1-61.fc38.noarch 1283/3380 Verifying : texlive-draftcopy-9:svn15878.2.16-61.fc38.noar 1284/3380 Verifying : texlive-draftfigure-9:svn44854-61.fc38.noarch 1285/3380 Verifying : texlive-draftwatermark-9:svn57099-61.fc38.noar 1286/3380 Verifying : texlive-dratex-9:svn15878.0-61.fc38.noarch 1287/3380 Verifying : texlive-drawmatrix-9:svn44471-61.fc38.noarch 1288/3380 Verifying : texlive-drawstack-9:svn28582.0-61.fc38.noarch 1289/3380 Verifying : texlive-drm-9:svn38157.4.4-61.fc38.noarch 1290/3380 Verifying : texlive-droid-9:svn54512-61.fc38.noarch 1291/3380 Verifying : texlive-drs-9:svn19232.1.1b-61.fc38.noarch 1292/3380 Verifying : texlive-dsserif-9:svn54512-61.fc38.noarch 1293/3380 Verifying : texlive-dtk-9:svn56696-61.fc38.noarch 1294/3380 Verifying : texlive-dtxdescribe-9:svn51652-61.fc38.noarch 1295/3380 Verifying : texlive-dtxgallery-doc-9:svn49504-61.fc38.noar 1296/3380 Verifying : texlive-ducksay-9:svn56800-61.fc38.noarch 1297/3380 Verifying : texlive-duckuments-9:svn52271-61.fc38.noarch 1298/3380 Verifying : texlive-duerer-latex-9:svn15878.1.1-61.fc38.no 1299/3380 Verifying : texlive-duerer-9:svn20741.0-61.fc38.noarch 1300/3380 Verifying : texlive-duotenzor-9:svn18728.1.00-61.fc38.noar 1301/3380 Verifying : texlive-dutchcal-9:svn54080-61.fc38.noarch 1302/3380 Verifying : texlive-dvdcoll-9:svn15878.v1.1a-61.fc38.noarc 1303/3380 Verifying : texlive-dvipdfmx-9:20210325-52.fc38.x86_64 1304/3380 Verifying : texlive-dvips-9:20210325-52.fc38.x86_64 1305/3380 Verifying : texlive-dvisvgm-9:20210325-52.fc38.x86_64 1306/3380 Verifying : texlive-dynamicnumber-9:svn38726-61.fc38.noarc 1307/3380 Verifying : texlive-dynblocks-9:svn35193.0.2b-61.fc38.noar 1308/3380 Verifying : texlive-dynkin-diagrams-9:svn58758-61.fc38.noa 1309/3380 Verifying : texlive-dyntree-9:svn15878.1.0-61.fc38.noarch 1310/3380 Verifying : texlive-ean-9:svn20851.0-61.fc38.noarch 1311/3380 Verifying : texlive-ean13isbn-9:svn57514-61.fc38.noarch 1312/3380 Verifying : texlive-easy-9:svn19440.0.99-61.fc38.noarch 1313/3380 Verifying : texlive-easy-todo-9:svn32677.0-61.fc38.noarch 1314/3380 Verifying : texlive-easybook-9:svn59306-61.fc38.noarch 1315/3380 Verifying : texlive-easyfig-9:svn56291-61.fc38.noarch 1316/3380 Verifying : texlive-easyfloats-9:svn57204-61.fc38.noarch 1317/3380 Verifying : texlive-easyformat-9:svn44543-61.fc38.noarch 1318/3380 Verifying : texlive-easylist-9:svn32661.1.3-61.fc38.noarch 1319/3380 Verifying : texlive-easyreview-9:svn38352.1.0-61.fc38.noar 1320/3380 Verifying : texlive-ebezier-9:svn15878.4-61.fc38.noarch 1321/3380 Verifying : texlive-ebgaramond-maths-9:svn52168-61.fc38.no 1322/3380 Verifying : texlive-ebgaramond-9:svn54721-61.fc38.noarch 1323/3380 Verifying : texlive-ebook-9:svn29466.0-61.fc38.noarch 1324/3380 Verifying : texlive-ebproof-9:svn57544-61.fc38.noarch 1325/3380 Verifying : texlive-ebsthesis-9:svn15878.1.0-61.fc38.noarc 1326/3380 Verifying : texlive-ec-9:svn25033.1.0-61.fc38.noarch 1327/3380 Verifying : texlive-ecc-9:svn15878.0-61.fc38.noarch 1328/3380 Verifying : texlive-ecclesiastic-9:svn38172.0.3-61.fc38.no 1329/3380 Verifying : texlive-ecgdraw-9:svn41617-61.fc38.noarch 1330/3380 Verifying : texlive-eco-9:svn29349.1.3-61.fc38.noarch 1331/3380 Verifying : texlive-ecobiblatex-9:svn39233-61.fc38.noarch 1332/3380 Verifying : texlive-econ-bst-9:svn54191-61.fc38.noarch 1333/3380 Verifying : texlive-econlipsum-9:svn58390-61.fc38.noarch 1334/3380 Verifying : texlive-econometrics-9:svn39396-61.fc38.noarch 1335/3380 Verifying : texlive-economic-9:svn32639.0-61.fc38.noarch 1336/3380 Verifying : texlive-ecothesis-9:svn48007-61.fc38.noarch 1337/3380 Verifying : texlive-ecv-9:svn24928.0.3-61.fc38.noarch 1338/3380 Verifying : texlive-eczar-9:svn57716-61.fc38.noarch 1339/3380 Verifying : texlive-ed-9:svn25231.1.8-61.fc38.noarch 1340/3380 Verifying : texlive-edichokey-9:svn56223-61.fc38.noarch 1341/3380 Verifying : texlive-edmargin-9:svn27599.1.2-61.fc38.noarch 1342/3380 Verifying : texlive-eemeir-9:svn15878.1.1b-61.fc38.noarch 1343/3380 Verifying : texlive-eepic-9:svn15878.1.1e-61.fc38.noarch 1344/3380 Verifying : texlive-efbox-9:svn33236.1.0-61.fc38.noarch 1345/3380 Verifying : texlive-egplot-9:svn20617.1.02a-61.fc38.noarch 1346/3380 Verifying : texlive-ehhline-9:svn54676-61.fc38.noarch 1347/3380 Verifying : texlive-eiad-ltx-9:svn15878.1.0-61.fc38.noarch 1348/3380 Verifying : texlive-eiad-9:svn15878.0-61.fc38.noarch 1349/3380 Verifying : texlive-einfart-9:svn59321-61.fc38.noarch 1350/3380 Verifying : texlive-ejpecp-9:svn56728-61.fc38.noarch 1351/3380 Verifying : texlive-ekaia-9:svn49594-61.fc38.noarch 1352/3380 Verifying : texlive-ektype-tanka-9:svn56070-61.fc38.noarch 1353/3380 Verifying : texlive-elbioimp-9:svn21758.1.2-61.fc38.noarch 1354/3380 Verifying : texlive-electrum-9:svn19705.1.005_b-61.fc38.no 1355/3380 Verifying : texlive-elegantbook-9:svn59053-61.fc38.noarch 1356/3380 Verifying : texlive-elegantnote-9:svn54758-61.fc38.noarch 1357/3380 Verifying : texlive-elegantpaper-9:svn54758-61.fc38.noarch 1358/3380 Verifying : texlive-elements-9:svn52398-61.fc38.noarch 1359/3380 Verifying : texlive-ellipse-9:svn39025-61.fc38.noarch 1360/3380 Verifying : texlive-ellipsis-9:svn55418-61.fc38.noarch 1361/3380 Verifying : texlive-elmath-9:svn15878.v1.2-61.fc38.noarch 1362/3380 Verifying : texlive-elocalloc-9:svn42712-61.fc38.noarch 1363/3380 Verifying : texlive-elpres-9:svn58015-61.fc38.noarch 1364/3380 Verifying : texlive-els-cas-templates-9:svn54317-61.fc38.n 1365/3380 Verifying : texlive-elsarticle-9:svn56999-61.fc38.noarch 1366/3380 Verifying : texlive-elteikthesis-9:svn55928-61.fc38.noarch 1367/3380 Verifying : texlive-eltex-9:svn15878.2.0-61.fc38.noarch 1368/3380 Verifying : texlive-elvish-9:svn15878.0-61.fc38.noarch 1369/3380 Verifying : texlive-elzcards-9:svn51894-61.fc38.noarch 1370/3380 Verifying : texlive-emarks-9:svn24504.1.0-61.fc38.noarch 1371/3380 Verifying : texlive-embedall-9:svn51177-61.fc38.noarch 1372/3380 Verifying : texlive-embedfile-9:svn54865-61.fc38.noarch 1373/3380 Verifying : texlive-embrac-9:svn57814-61.fc38.noarch 1374/3380 Verifying : texlive-emf-9:svn42023-61.fc38.noarch 1375/3380 Verifying : texlive-emisa-9:svn57013-61.fc38.noarch 1376/3380 Verifying : texlive-emptypage-9:svn18064.1.2-61.fc38.noarc 1377/3380 Verifying : texlive-emulateapj-9:svn28469.0-61.fc38.noarch 1378/3380 Verifying : texlive-enctex-9:svn34957.0-61.fc38.noarch 1379/3380 Verifying : texlive-endfloat-9:svn57090-61.fc38.noarch 1380/3380 Verifying : texlive-endheads-9:svn43750-61.fc38.noarch 1381/3380 Verifying : texlive-endiagram-9:svn34486.0.1d-61.fc38.noar 1382/3380 Verifying : texlive-endnotes-hy-9:svn54758-61.fc38.noarch 1383/3380 Verifying : texlive-endnotes-9:svn53319-61.fc38.noarch 1384/3380 Verifying : texlive-endofproofwd-9:svn55643-61.fc38.noarch 1385/3380 Verifying : texlive-engpron-9:svn16558.2-61.fc38.noarch 1386/3380 Verifying : texlive-engrec-9:svn15878.1.1-61.fc38.noarch 1387/3380 Verifying : texlive-engtlc-9:svn28571.3.2-61.fc38.noarch 1388/3380 Verifying : texlive-enotez-9:svn57130-61.fc38.noarch 1389/3380 Verifying : texlive-enumitem-9:svn51423-61.fc38.noarch 1390/3380 Verifying : texlive-enumitem-zref-9:svn21472.1.8-61.fc38.n 1391/3380 Verifying : texlive-envbig-9:svn15878.0-61.fc38.noarch 1392/3380 Verifying : texlive-environ-9:svn56615-61.fc38.noarch 1393/3380 Verifying : texlive-envlab-9:svn15878.1.2-61.fc38.noarch 1394/3380 Verifying : texlive-epigrafica-9:svn17210.1.01-61.fc38.noa 1395/3380 Verifying : texlive-epigraph-keys-9:svn54851-61.fc38.noarc 1396/3380 Verifying : texlive-epigraph-9:svn54857-61.fc38.noarch 1397/3380 Verifying : texlive-epiolmec-9:svn15878.0-61.fc38.noarch 1398/3380 Verifying : texlive-epsdice-9:svn15878.2.1-61.fc38.noarch 1399/3380 Verifying : texlive-epsf-9:svn21461.2.7.4-61.fc38.noarch 1400/3380 Verifying : texlive-epspdf-9:20210325-52.fc38.noarch 1401/3380 Verifying : texlive-epspdfconversion-9:svn18703.0.61-61.fc 1402/3380 Verifying : texlive-epstopdf-9:20210325-52.fc38.noarch 1403/3380 Verifying : texlive-epstopdf-pkg-9:svn53546-61.fc38.noarch 1404/3380 Verifying : texlive-eq-pin2corr-9:svn57815-61.fc38.noarch 1405/3380 Verifying : texlive-eqell-9:svn22931.0-61.fc38.noarch 1406/3380 Verifying : texlive-eqexpl-9:svn54080-61.fc38.noarch 1407/3380 Verifying : texlive-eqlist-9:svn32257.2.1-61.fc38.noarch 1408/3380 Verifying : texlive-eqnalign-9:svn43278-61.fc38.noarch 1409/3380 Verifying : texlive-eqname-9:svn20678.0-61.fc38.noarch 1410/3380 Verifying : texlive-eqnarray-9:svn20641.1.3-61.fc38.noarch 1411/3380 Verifying : texlive-eqnnumwarn-9:svn45511-61.fc38.noarch 1412/3380 Verifying : texlive-eqparbox-9:svn45215-61.fc38.noarch 1413/3380 Verifying : texlive-erdc-9:svn15878.1.1-61.fc38.noarch 1414/3380 Verifying : texlive-erewhon-math-9:svn58903-61.fc38.noarch 1415/3380 Verifying : texlive-erewhon-9:svn58722-61.fc38.noarch 1416/3380 Verifying : texlive-errata-9:svn42428-61.fc38.noarch 1417/3380 Verifying : texlive-erw-l3-9:svn55414-61.fc38.noarch 1418/3380 Verifying : texlive-esami-9:svn47639-61.fc38.noarch 1419/3380 Verifying : texlive-esdiff-9:svn21385.1.2-61.fc38.noarch 1420/3380 Verifying : texlive-esindex-9:svn52342-61.fc38.noarch 1421/3380 Verifying : texlive-esint-9:svn52240-61.fc38.noarch 1422/3380 Verifying : texlive-esint-type1-9:svn15878.0-61.fc38.noarc 1423/3380 Verifying : texlive-esk-9:svn18115.1.0-61.fc38.noarch 1424/3380 Verifying : texlive-eso-pic-9:svn56658-61.fc38.noarch 1425/3380 Verifying : texlive-esrelation-9:svn37236.0-61.fc38.noarch 1426/3380 Verifying : texlive-esstix-9:svn22426.1.0-61.fc38.noarch 1427/3380 Verifying : texlive-estcpmm-9:svn17335.0.4-61.fc38.noarch 1428/3380 Verifying : texlive-esvect-9:svn32098.1.3-61.fc38.noarch 1429/3380 Verifying : texlive-etaremune-9:svn15878.v1.2-61.fc38.noar 1430/3380 Verifying : texlive-etbb-9:svn56390-61.fc38.noarch 1431/3380 Verifying : texlive-etex-pkg-9:svn41784-61.fc38.noarch 1432/3380 Verifying : texlive-etex-9:svn56291-61.fc38.noarch 1433/3380 Verifying : texlive-etexcmds-9:svn53171-61.fc38.noarch 1434/3380 Verifying : texlive-etextools-9:svn20694.3.1415926-61.fc38 1435/3380 Verifying : texlive-etoc-9:svn55156-61.fc38.noarch 1436/3380 Verifying : texlive-etoolbox-9:svn56554-61.fc38.noarch 1437/3380 Verifying : texlive-etsvthor-9:svn48186-61.fc38.noarch 1438/3380 Verifying : texlive-euclideangeometry-9:svn54897-61.fc38.n 1439/3380 Verifying : texlive-euenc-9:svn19795.0.1h-61.fc38.noarch 1440/3380 Verifying : texlive-euflag-9:svn55265-61.fc38.noarch 1441/3380 Verifying : texlive-eukdate-9:svn15878.1.04-61.fc38.noarch 1442/3380 Verifying : texlive-euler-9:svn42428-61.fc38.noarch 1443/3380 Verifying : texlive-eulerpx-9:svn43735-61.fc38.noarch 1444/3380 Verifying : texlive-eulervm-9:svn15878.4.0-61.fc38.noarch 1445/3380 Verifying : texlive-euro-ce-9:svn25714-61.fc38.noarch 1446/3380 Verifying : texlive-euro-9:svn22191.1.1-61.fc38.noarch 1447/3380 Verifying : texlive-europasscv-9:svn56829-61.fc38.noarch 1448/3380 Verifying : texlive-europecv-9:svn59162-61.fc38.noarch 1449/3380 Verifying : texlive-eurosym-9:svn17265.1.4_subrfix-61.fc38 1450/3380 Verifying : texlive-euxm-9:svn54074-61.fc38.noarch 1451/3380 Verifying : texlive-everyhook-9:svn35675.1.2-61.fc38.noarc 1452/3380 Verifying : texlive-everypage-9:svn56694-61.fc38.noarch 1453/3380 Verifying : texlive-everysel-9:svn57489-61.fc38.noarch 1454/3380 Verifying : texlive-everyshi-9:svn57001-61.fc38.noarch 1455/3380 Verifying : texlive-exam-n-9:svn42755-61.fc38.noarch 1456/3380 Verifying : texlive-exam-randomizechoices-9:svn49662-61.fc 1457/3380 Verifying : texlive-exam-9:svn58023-61.fc38.noarch 1458/3380 Verifying : texlive-examdesign-9:svn15878.1.02-61.fc38.noa 1459/3380 Verifying : texlive-example-9:svn33398.0-61.fc38.noarch 1460/3380 Verifying : texlive-examplep-9:svn55265-61.fc38.noarch 1461/3380 Verifying : texlive-exceltex-9:20210325-52.fc38.noarch 1462/3380 Verifying : texlive-excludeonly-9:svn17262.1.0-61.fc38.noa 1463/3380 Verifying : texlive-exercise-9:svn35417.1.6-61.fc38.noarch 1464/3380 Verifying : texlive-exercisebank-9:svn50448-61.fc38.noarch 1465/3380 Verifying : texlive-exercisepoints-9:svn49590-61.fc38.noar 1466/3380 Verifying : texlive-exercises-9:svn55188-61.fc38.noarch 1467/3380 Verifying : texlive-exesheet-9:svn55916-61.fc38.noarch 1468/3380 Verifying : texlive-exframe-9:svn53911-61.fc38.noarch 1469/3380 Verifying : texlive-exp-testopt-9:svn15878.0.3-61.fc38.noa 1470/3380 Verifying : texlive-expdlist-9:svn15878.2.4-61.fc38.noarch 1471/3380 Verifying : texlive-expkv-9:svn58853-61.fc38.noarch 1472/3380 Verifying : texlive-export-9:svn27206.1.8-61.fc38.noarch 1473/3380 Verifying : texlive-exsheets-9:svn52227-61.fc38.noarch 1474/3380 Verifying : texlive-exsol-9:svn48977-61.fc38.noarch 1475/3380 Verifying : texlive-extarrows-9:svn54400-61.fc38.noarch 1476/3380 Verifying : texlive-extpfeil-9:svn16243.0.4-61.fc38.noarch 1477/3380 Verifying : texlive-extract-9:svn52117-61.fc38.noarch 1478/3380 Verifying : texlive-extsizes-9:svn17263.1.4a-61.fc38.noarc 1479/3380 Verifying : texlive-facsimile-9:svn21328.1.0-61.fc38.noarc 1480/3380 Verifying : texlive-factura-9:svn56948-61.fc38.noarch 1481/3380 Verifying : texlive-facture-belge-simple-sans-tva-9:svn490 1482/3380 Verifying : texlive-faktor-9:svn15878.0.1b-61.fc38.noarch 1483/3380 Verifying : texlive-fancybox-9:svn18304.1.4-61.fc38.noarch 1484/3380 Verifying : texlive-fancyhandout-9:svn46411-61.fc38.noarch 1485/3380 Verifying : texlive-fancyhdr-9:svn57672-61.fc38.noarch 1486/3380 Verifying : texlive-fancylabel-9:svn46736-61.fc38.noarch 1487/3380 Verifying : texlive-fancynum-9:svn15878.0.92-61.fc38.noarc 1488/3380 Verifying : texlive-fancypar-9:svn58895-61.fc38.noarch 1489/3380 Verifying : texlive-fancyref-9:svn15878.0.9c-61.fc38.noarc 1490/3380 Verifying : texlive-fancyslides-9:svn36263.1.0-61.fc38.noa 1491/3380 Verifying : texlive-fancytabs-9:svn41549-61.fc38.noarch 1492/3380 Verifying : texlive-fancytooltips-9:svn56291-61.fc38.noarc 1493/3380 Verifying : texlive-fancyvrb-9:svn57488-61.fc38.noarch 1494/3380 Verifying : texlive-fandol-9:svn37889.0.3-61.fc38.noarch 1495/3380 Verifying : texlive-fascicules-9:svn54080-61.fc38.noarch 1496/3380 Verifying : texlive-fast-diagram-9:svn29264.1.1-61.fc38.no 1497/3380 Verifying : texlive-fbb-9:svn55728-61.fc38.noarch 1498/3380 Verifying : texlive-fbithesis-9:svn21340.1.2m-61.fc38.noar 1499/3380 Verifying : texlive-fbox-9:svn55627-61.fc38.noarch 1500/3380 Verifying : texlive-fbs-9:svn15878.0-61.fc38.noarch 1501/3380 Verifying : texlive-fcavtex-9:svn38074.1.1-61.fc38.noarch 1502/3380 Verifying : texlive-fcltxdoc-9:svn24500.1.0-61.fc38.noarch 1503/3380 Verifying : texlive-fcolumn-9:svn57428-61.fc38.noarch 1504/3380 Verifying : texlive-fdsymbol-9:svn26722.0.8-61.fc38.noarch 1505/3380 Verifying : texlive-fei-9:svn55960-61.fc38.noarch 1506/3380 Verifying : texlive-fetamont-9:svn43812-61.fc38.noarch 1507/3380 Verifying : texlive-fetchcls-9:svn45245-61.fc38.noarch 1508/3380 Verifying : texlive-fewerfloatpages-9:svn58058-61.fc38.noa 1509/3380 Verifying : texlive-feyn-9:svn55777-61.fc38.noarch 1510/3380 Verifying : texlive-feynmf-9:svn17259.1.08-61.fc38.noarch 1511/3380 Verifying : texlive-ffslides-9:svn38895-61.fc38.noarch 1512/3380 Verifying : texlive-fge-9:svn37628.1.25-61.fc38.noarch 1513/3380 Verifying : texlive-fgruler-9:svn56854-61.fc38.noarch 1514/3380 Verifying : texlive-fibeamer-9:svn53146-61.fc38.noarch 1515/3380 Verifying : texlive-fifo-stack-9:svn33288.1.0-61.fc38.noar 1516/3380 Verifying : texlive-fig4latex-9:20210325-52.fc38.noarch 1517/3380 Verifying : texlive-figbib-9:svn19388.0-61.fc38.noarch 1518/3380 Verifying : texlive-figchild-9:svn58964-61.fc38.noarch 1519/3380 Verifying : texlive-figsize-9:svn18784.0.1-61.fc38.noarch 1520/3380 Verifying : texlive-filecontents-9:svn52142-61.fc38.noarch 1521/3380 Verifying : texlive-filecontentsdef-9:svn52208-61.fc38.noa 1522/3380 Verifying : texlive-filedate-9:svn29529.0-61.fc38.noarch 1523/3380 Verifying : texlive-filehook-9:svn56479-61.fc38.noarch 1524/3380 Verifying : texlive-fileinfo-9:svn28421.0.81a-61.fc38.noar 1525/3380 Verifying : texlive-filemod-9:svn56291-61.fc38.noarch 1526/3380 Verifying : texlive-fink-9:svn24329.2.2.1-61.fc38.noarch 1527/3380 Verifying : texlive-finstrut-9:svn21719.0.5-61.fc38.noarch 1528/3380 Verifying : texlive-fira-9:svn55437-61.fc38.noarch 1529/3380 Verifying : texlive-firamath-otf-9:svn50732-61.fc38.noarch 1530/3380 Verifying : texlive-firamath-9:svn56672-61.fc38.noarch 1531/3380 Verifying : texlive-firstaid-9:svn58440-61.fc38.noarch 1532/3380 Verifying : texlive-fitbox-9:svn50088-61.fc38.noarch 1533/3380 Verifying : texlive-fithesis-9:svn54483-61.fc38.noarch 1534/3380 Verifying : texlive-fix2col-9:svn38770-61.fc38.noarch 1535/3380 Verifying : texlive-fixcmex-9:svn51825-61.fc38.noarch 1536/3380 Verifying : texlive-fixfoot-9:svn17131.0.3a-61.fc38.noarch 1537/3380 Verifying : texlive-fixltxhyph-9:svn25832.0.4-61.fc38.noar 1538/3380 Verifying : texlive-fixme-9:svn49591-61.fc38.noarch 1539/3380 Verifying : texlive-fixmetodonotes-9:svn30168.0.2.2-61.fc3 1540/3380 Verifying : texlive-fjodor-9:svn53207-61.fc38.noarch 1541/3380 Verifying : texlive-flabels-9:svn17272.1.0-61.fc38.noarch 1542/3380 Verifying : texlive-flacards-9:svn19440.0.1.1b-61.fc38.noa 1543/3380 Verifying : texlive-flagderiv-9:svn15878.0.10-61.fc38.noar 1544/3380 Verifying : texlive-flashcards-9:svn19667.1.0.1-61.fc38.no 1545/3380 Verifying : texlive-flashmovie-9:svn25768.0.4-61.fc38.noar 1546/3380 Verifying : texlive-flipbook-9:svn25584.0.2-61.fc38.noarch 1547/3380 Verifying : texlive-flippdf-9:svn56782-61.fc38.noarch 1548/3380 Verifying : texlive-float-9:svn15878.1.3d-61.fc38.noarch 1549/3380 Verifying : texlive-floatflt-9:svn25540.1.31-61.fc38.noarc 1550/3380 Verifying : texlive-floatrow-9:svn15878.0.3b-61.fc38.noarc 1551/3380 Verifying : texlive-flowchart-9:svn36572.3.3-61.fc38.noarc 1552/3380 Verifying : texlive-flowfram-9:svn35291.1.17-61.fc38.noarc 1553/3380 Verifying : texlive-fltpoint-9:svn56594-61.fc38.noarch 1554/3380 Verifying : texlive-fmp-9:svn15878.0-61.fc38.noarch 1555/3380 Verifying : texlive-fmtcount-9:svn53912-61.fc38.noarch 1556/3380 Verifying : texlive-fn2end-9:svn15878.1.1-61.fc38.noarch 1557/3380 Verifying : texlive-fnbreak-9:svn25003.1.30-61.fc38.noarch 1558/3380 Verifying : texlive-fncychap-9:svn20710.v1.34-61.fc38.noar 1559/3380 Verifying : texlive-fncylab-9:svn52090-61.fc38.noarch 1560/3380 Verifying : texlive-fnpara-9:svn25607.0-61.fc38.noarch 1561/3380 Verifying : texlive-fnpct-9:svn57508-61.fc38.noarch 1562/3380 Verifying : texlive-fnspe-9:svn45360-61.fc38.noarch 1563/3380 Verifying : texlive-fnumprint-9:svn29173.1.1a-61.fc38.noar 1564/3380 Verifying : texlive-foekfont-9:svn15878.0-61.fc38.noarch 1565/3380 Verifying : texlive-foilhtml-9:svn21855.1.2-61.fc38.noarch 1566/3380 Verifying : texlive-foliono-9:svn58877-61.fc38.noarch 1567/3380 Verifying : texlive-fonetika-9:svn21326.0-61.fc38.noarch 1568/3380 Verifying : texlive-fontawesome-9:svn48145-61.fc38.noarch 1569/3380 Verifying : texlive-fontawesome5-9:svn54517-61.fc38.noarch 1570/3380 Verifying : texlive-fontaxes-9:svn55920-61.fc38.noarch 1571/3380 Verifying : texlive-fontmfizz-9:svn43546-61.fc38.noarch 1572/3380 Verifying : texlive-fonts-churchslavonic-9:svn56350-61.fc3 1573/3380 Verifying : texlive-fonts-tlwg-9:svn54994-61.fc38.noarch 1574/3380 Verifying : texlive-fontsetup-9:svn59079-61.fc38.noarch 1575/3380 Verifying : texlive-fontsize-9:svn58906-61.fc38.noarch 1576/3380 Verifying : texlive-fontspec-9:svn56594-61.fc38.noarch 1577/3380 Verifying : texlive-fonttable-9:svn44799-61.fc38.noarch 1578/3380 Verifying : texlive-footbib-9:svn17115.2.0.7-61.fc38.noarc 1579/3380 Verifying : texlive-footmisc-9:svn23330.5.5b-61.fc38.noarc 1580/3380 Verifying : texlive-footmisx-9:svn42621-61.fc38.noarch 1581/3380 Verifying : texlive-footnotebackref-9:svn27034.1.0-61.fc38 1582/3380 Verifying : texlive-footnotehyper-9:svn57618-61.fc38.noarc 1583/3380 Verifying : texlive-footnoterange-9:svn52910-61.fc38.noarc 1584/3380 Verifying : texlive-footnpag-9:svn15878.0-61.fc38.noarch 1585/3380 Verifying : texlive-forarray-9:svn15878.1.01-61.fc38.noarc 1586/3380 Verifying : texlive-foreign-9:svn27819.2.7-61.fc38.noarch 1587/3380 Verifying : texlive-forest-9:svn57398-61.fc38.noarch 1588/3380 Verifying : texlive-forloop-9:svn15878.3.0-61.fc38.noarch 1589/3380 Verifying : texlive-formlett-9:svn21480.2.3-61.fc38.noarch 1590/3380 Verifying : texlive-forms16be-9:svn51305-61.fc38.noarch 1591/3380 Verifying : texlive-formular-9:svn15878.1.0a-61.fc38.noarc 1592/3380 Verifying : texlive-forum-9:svn56025-61.fc38.noarch 1593/3380 Verifying : texlive-fouridx-9:svn32214.2.00-61.fc38.noarch 1594/3380 Verifying : texlive-fourier-9:svn54090-61.fc38.noarch 1595/3380 Verifying : texlive-fouriernc-9:svn29646.0-61.fc38.noarch 1596/3380 Verifying : texlive-fp-9:svn49719-61.fc38.noarch 1597/3380 Verifying : texlive-fpl-9:svn54512-61.fc38.noarch 1598/3380 Verifying : texlive-fragments-9:svn15878.0-61.fc38.noarch 1599/3380 Verifying : texlive-frame-9:svn18312.1.0-61.fc38.noarch 1600/3380 Verifying : texlive-framed-9:svn26789.0.96-61.fc38.noarch 1601/3380 Verifying : texlive-francais-bst-9:svn38922-61.fc38.noarch 1602/3380 Verifying : texlive-frankenstein-9:svn15878.0-61.fc38.noar 1603/3380 Verifying : texlive-frcursive-9:svn24559.0-61.fc38.noarch 1604/3380 Verifying : texlive-frederika2016-9:svn42157-61.fc38.noarc 1605/3380 Verifying : texlive-frege-9:svn27417.1.3-61.fc38.noarch 1606/3380 Verifying : texlive-frimurer-9:svn56704-61.fc38.noarch 1607/3380 Verifying : texlive-froufrou-9:svn59103-61.fc38.noarch 1608/3380 Verifying : texlive-ftc-notebook-9:svn50043-61.fc38.noarch 1609/3380 Verifying : texlive-ftcap-9:svn17275.1.4-61.fc38.noarch 1610/3380 Verifying : texlive-ftnxtra-9:svn29652.0.1-61.fc38.noarch 1611/3380 Verifying : texlive-fullblck-9:svn25434.1.03-61.fc38.noarc 1612/3380 Verifying : texlive-fullminipage-9:svn34545.0.1.1-61.fc38. 1613/3380 Verifying : texlive-fullwidth-9:svn24684.0.1-61.fc38.noarc 1614/3380 Verifying : texlive-functan-9:svn15878.0-61.fc38.noarch 1615/3380 Verifying : texlive-fundus-calligra-9:svn26018.1.2-61.fc38 1616/3380 Verifying : texlive-fundus-cyr-9:svn26019.0-61.fc38.noarch 1617/3380 Verifying : texlive-fundus-sueterlin-9:svn26030.1.2-61.fc3 1618/3380 Verifying : texlive-fvextra-9:svn49947-61.fc38.noarch 1619/3380 Verifying : texlive-fwlw-9:svn29803.0-61.fc38.noarch 1620/3380 Verifying : texlive-g-brief-9:svn50415-61.fc38.noarch 1621/3380 Verifying : texlive-gaceta-9:svn15878.1.06-61.fc38.noarch 1622/3380 Verifying : texlive-galois-9:svn15878.1.5-61.fc38.noarch 1623/3380 Verifying : texlive-gammas-9:svn56403-61.fc38.noarch 1624/3380 Verifying : texlive-garamond-libre-9:svn55166-61.fc38.noar 1625/3380 Verifying : texlive-garamond-math-9:svn52820-61.fc38.noarc 1626/3380 Verifying : texlive-garuda-c90-9:svn37677.0-61.fc38.noarch 1627/3380 Verifying : texlive-gastex-9:svn58505-61.fc38.noarch 1628/3380 Verifying : texlive-gatech-thesis-9:svn19886.1.8-61.fc38.n 1629/3380 Verifying : texlive-gatherenum-9:svn52209-61.fc38.noarch 1630/3380 Verifying : texlive-gauss-9:svn32934.0-61.fc38.noarch 1631/3380 Verifying : texlive-gb4e-9:svn19216.0-61.fc38.noarch 1632/3380 Verifying : texlive-gbt7714-9:svn57157-61.fc38.noarch 1633/3380 Verifying : texlive-gcard-9:svn15878.0-61.fc38.noarch 1634/3380 Verifying : texlive-gcite-9:svn15878.1.0.1-61.fc38.noarch 1635/3380 Verifying : texlive-gender-9:svn36464.1.0-61.fc38.noarch 1636/3380 Verifying : texlive-gene-logic-9:svn15878.1.4-61.fc38.noar 1637/3380 Verifying : texlive-genealogy-9:svn25112.0-61.fc38.noarch 1638/3380 Verifying : texlive-genealogytree-9:svn55978-61.fc38.noarc 1639/3380 Verifying : texlive-genmpage-9:svn15878.0.3.1-61.fc38.noar 1640/3380 Verifying : texlive-gentium-tug-9:svn54512-61.fc38.noarch 1641/3380 Verifying : texlive-geometry-9:svn54080-61.fc38.noarch 1642/3380 Verifying : texlive-geschichtsfrkl-9:svn42121-61.fc38.noar 1643/3380 Verifying : texlive-getfiledate-9:svn16189.1.2-61.fc38.noa 1644/3380 Verifying : texlive-getitems-9:svn39365-61.fc38.noarch 1645/3380 Verifying : texlive-getmap-9:20210325-52.fc38.noarch 1646/3380 Verifying : texlive-gettitlestring-9:svn53170-61.fc38.noar 1647/3380 Verifying : texlive-gfsartemisia-9:svn19469.1.0-61.fc38.no 1648/3380 Verifying : texlive-gfsbodoni-9:svn28484.1.01-61.fc38.noar 1649/3380 Verifying : texlive-gfscomplutum-9:svn19469.1.0-61.fc38.no 1650/3380 Verifying : texlive-gfsdidot-9:svn54080-61.fc38.noarch 1651/3380 Verifying : texlive-gfsdidotclassic-9:svn52778-61.fc38.noa 1652/3380 Verifying : texlive-gfsneohellenic-9:svn54080-61.fc38.noar 1653/3380 Verifying : texlive-gfsneohellenicmath-9:svn52570-61.fc38. 1654/3380 Verifying : texlive-gfssolomos-9:svn18651.1.0-61.fc38.noar 1655/3380 Verifying : texlive-ghsystem-9:svn53822-61.fc38.noarch 1656/3380 Verifying : texlive-gillcm-9:svn19878.1.1-61.fc38.noarch 1657/3380 Verifying : texlive-gillius-9:svn32068.0-61.fc38.noarch 1658/3380 Verifying : texlive-gincltex-9:svn56291-61.fc38.noarch 1659/3380 Verifying : texlive-gindex-9:svn52311-61.fc38.noarch 1660/3380 Verifying : texlive-ginpenc-9:svn24980.1.0-61.fc38.noarch 1661/3380 Verifying : texlive-gitfile-info-9:svn51928-61.fc38.noarch 1662/3380 Verifying : texlive-gitinfo-9:svn34049.1.0-61.fc38.noarch 1663/3380 Verifying : texlive-gitinfo2-9:svn38913-61.fc38.noarch 1664/3380 Verifying : texlive-gitlog-9:svn38932-61.fc38.noarch 1665/3380 Verifying : texlive-gitver-9:svn56810-61.fc38.noarch 1666/3380 Verifying : texlive-globalvals-9:svn49962-61.fc38.noarch 1667/3380 Verifying : texlive-glosmathtools-9:svn55920-61.fc38.noarc 1668/3380 Verifying : texlive-gloss-9:svn15878.1.5.2-61.fc38.noarch 1669/3380 Verifying : texlive-glossaries-9:20210325-52.fc38.noarch 1670/3380 Verifying : texlive-glossaries-danish-9:svn35665.1.0-61.fc 1671/3380 Verifying : texlive-glossaries-dutch-9:svn35685.1.1-61.fc3 1672/3380 Verifying : texlive-glossaries-english-9:svn35665.1.0-61.f 1673/3380 Verifying : texlive-glossaries-estonian-9:svn49928-61.fc38 1674/3380 Verifying : texlive-glossaries-extra-9:svn54688-61.fc38.no 1675/3380 Verifying : texlive-glossaries-finnish-9:svn54080-61.fc38. 1676/3380 Verifying : texlive-glossaries-french-9:svn42873-61.fc38.n 1677/3380 Verifying : texlive-glossaries-german-9:svn35665.1.0-61.fc 1678/3380 Verifying : texlive-glossaries-irish-9:svn35665.1.0-61.fc3 1679/3380 Verifying : texlive-glossaries-italian-9:svn35665.1.0-61.f 1680/3380 Verifying : texlive-glossaries-magyar-9:svn35665.1.0-61.fc 1681/3380 Verifying : texlive-glossaries-nynorsk-9:svn55189-61.fc38. 1682/3380 Verifying : texlive-glossaries-polish-9:svn35665.1.0-61.fc 1683/3380 Verifying : texlive-glossaries-portuges-9:svn36064.1.1-61. 1684/3380 Verifying : texlive-glossaries-serbian-9:svn35665.1.0-61.f 1685/3380 Verifying : texlive-glossaries-slovene-9:svn51211-61.fc38. 1686/3380 Verifying : texlive-glossaries-spanish-9:svn35665.1.0-61.f 1687/3380 Verifying : texlive-glyphlist-9:20210325-52.fc38.noarch 1688/3380 Verifying : texlive-gmdoc-enhance-9:svn15878.v0.2-61.fc38. 1689/3380 Verifying : texlive-gmdoc-9:svn21292.0.993-61.fc38.noarch 1690/3380 Verifying : texlive-gmiflink-9:svn15878.v0.97-61.fc38.noar 1691/3380 Verifying : texlive-gmp-9:svn21691.1.0-61.fc38.noarch 1692/3380 Verifying : texlive-gmutils-9:svn24287.v0.996-61.fc38.noar 1693/3380 Verifying : texlive-gmverb-9:svn24288.v0.98-61.fc38.noarch 1694/3380 Verifying : texlive-gnu-freefont-9:svn29349.0-61.fc38.noar 1695/3380 Verifying : texlive-gnuplottex-9:svn54758-61.fc38.noarch 1696/3380 Verifying : texlive-gofonts-9:svn54512-61.fc38.noarch 1697/3380 Verifying : texlive-gothic-9:svn49869-61.fc38.noarch 1698/3380 Verifying : texlive-gotoh-9:svn44764-61.fc38.noarch 1699/3380 Verifying : texlive-grabbox-9:svn51052-61.fc38.noarch 1700/3380 Verifying : texlive-gradientframe-9:svn21387.0.2-61.fc38.n 1701/3380 Verifying : texlive-gradstudentresume-9:svn38832-61.fc38.n 1702/3380 Verifying : texlive-grafcet-9:svn22509.1.3.5-61.fc38.noarc 1703/3380 Verifying : texlive-grant-9:svn56852-61.fc38.noarch 1704/3380 Verifying : texlive-graph35-9:svn47522-61.fc38.noarch 1705/3380 Verifying : texlive-graphbox-9:svn46360-61.fc38.noarch 1706/3380 Verifying : texlive-graphics-cfg-9:svn41448-61.fc38.noarch 1707/3380 Verifying : texlive-graphics-def-9:svn58539-61.fc38.noarch 1708/3380 Verifying : texlive-graphics-9:svn56514-61.fc38.noarch 1709/3380 Verifying : texlive-graphicx-psmin-9:svn56931-61.fc38.noar 1710/3380 Verifying : texlive-graphicxbox-9:svn32630.1.0-61.fc38.noa 1711/3380 Verifying : texlive-graphicxpsd-9:svn57341-61.fc38.noarch 1712/3380 Verifying : texlive-graphpaper-9:svn58661-61.fc38.noarch 1713/3380 Verifying : texlive-graphviz-9:svn31517.0.94-61.fc38.noarc 1714/3380 Verifying : texlive-grayhints-9:svn49052-61.fc38.noarch 1715/3380 Verifying : texlive-greek-fontenc-9:svn56851-61.fc38.noarc 1716/3380 Verifying : texlive-greek-inputenc-9:svn51612-61.fc38.noar 1717/3380 Verifying : texlive-greenpoint-9:svn15878.0-61.fc38.noarch 1718/3380 Verifying : texlive-grfext-9:svn53024-61.fc38.noarch 1719/3380 Verifying : texlive-grffile-9:svn52756-61.fc38.noarch 1720/3380 Verifying : texlive-grfpaste-9:svn17354.0.2-61.fc38.noarch 1721/3380 Verifying : texlive-grid-9:svn15878.1.0-61.fc38.noarch 1722/3380 Verifying : texlive-grid-system-9:svn32981.0.3.0-61.fc38.n 1723/3380 Verifying : texlive-gridpapers-9:svn58723-61.fc38.noarch 1724/3380 Verifying : texlive-gridset-9:svn53762-61.fc38.noarch 1725/3380 Verifying : texlive-gridslides-9:svn54512-61.fc38.noarch 1726/3380 Verifying : texlive-grotesq-9:svn35859.0-61.fc38.noarch 1727/3380 Verifying : texlive-grundgesetze-9:svn58997-61.fc38.noarch 1728/3380 Verifying : texlive-gsemthesis-9:svn56291-61.fc38.noarch 1729/3380 Verifying : texlive-gsftopk-9:20210325-52.fc38.x86_64 1730/3380 Verifying : texlive-gtl-9:svn49527-61.fc38.noarch 1731/3380 Verifying : texlive-gtrlib-largetrees-9:svn49062-61.fc38.n 1732/3380 Verifying : texlive-gu-9:svn15878.0-61.fc38.noarch 1733/3380 Verifying : texlive-gudea-9:svn57359-61.fc38.noarch 1734/3380 Verifying : texlive-guitlogo-9:svn55741-61.fc38.noarch 1735/3380 Verifying : texlive-gzt-9:svn57765-61.fc38.noarch 1736/3380 Verifying : texlive-h2020proposal-9:svn38428-61.fc38.noarc 1737/3380 Verifying : texlive-hackthefootline-9:svn46494-61.fc38.noa 1738/3380 Verifying : texlive-hacm-9:svn27671.0.1-61.fc38.noarch 1739/3380 Verifying : texlive-hagenberg-thesis-9:svn56798-61.fc38.no 1740/3380 Verifying : texlive-halloweenmath-9:svn52602-61.fc38.noarc 1741/3380 Verifying : texlive-handin-9:svn48255-61.fc38.noarch 1742/3380 Verifying : texlive-handout-9:svn43962-61.fc38.noarch 1743/3380 Verifying : texlive-hands-9:svn13293.0-61.fc38.noarch 1744/3380 Verifying : texlive-hang-9:svn43280-61.fc38.noarch 1745/3380 Verifying : texlive-hanging-9:svn15878.1.2b-61.fc38.noarch 1746/3380 Verifying : texlive-har2nat-9:svn54080-61.fc38.noarch 1747/3380 Verifying : texlive-hardwrap-9:svn21396.0.2-61.fc38.noarch 1748/3380 Verifying : texlive-harnon-cv-9:svn26543.1.0-61.fc38.noarc 1749/3380 Verifying : texlive-harpoon-9:svn21327.1.0-61.fc38.noarch 1750/3380 Verifying : texlive-harvard-9:svn15878.2.0.5-61.fc38.noarc 1751/3380 Verifying : texlive-harveyballs-9:svn32003.1.1-61.fc38.noa 1752/3380 Verifying : texlive-harvmac-9:svn15878.0-61.fc38.noarch 1753/3380 Verifying : texlive-hc-9:svn15878.0-61.fc38.noarch 1754/3380 Verifying : texlive-he-she-9:svn41359-61.fc38.noarch 1755/3380 Verifying : texlive-hecthese-9:svn56181-61.fc38.noarch 1756/3380 Verifying : texlive-helmholtz-ellis-ji-notation-9:svn55213 1757/3380 Verifying : texlive-helvetic-9:svn31835.0-61.fc38.noarch 1758/3380 Verifying : texlive-hep-paper-9:svn57045-61.fc38.noarch 1759/3380 Verifying : texlive-hep-9:svn15878.1.0-61.fc38.noarch 1760/3380 Verifying : texlive-hepnames-9:svn35722.2.0-61.fc38.noarch 1761/3380 Verifying : texlive-hepparticles-9:svn35723.2.0-61.fc38.no 1762/3380 Verifying : texlive-hepthesis-9:svn46054-61.fc38.noarch 1763/3380 Verifying : texlive-hepunits-9:svn54758-61.fc38.noarch 1764/3380 Verifying : texlive-here-9:svn16135.0-61.fc38.noarch 1765/3380 Verifying : texlive-heuristica-9:svn51362-61.fc38.noarch 1766/3380 Verifying : texlive-hf-tikz-9:svn34733.0.3a-61.fc38.noarch 1767/3380 Verifying : texlive-hfbright-9:svn29349.0-61.fc38.noarch 1768/3380 Verifying : texlive-hfoldsty-9:svn29349.1.15-61.fc38.noarc 1769/3380 Verifying : texlive-hhtensor-9:svn54080-61.fc38.noarch 1770/3380 Verifying : texlive-highlightlatex-9:svn58392-61.fc38.noar 1771/3380 Verifying : texlive-hindmadurai-9:svn57360-61.fc38.noarch 1772/3380 Verifying : texlive-histogr-9:svn15878.1.01-61.fc38.noarch 1773/3380 Verifying : texlive-historische-zeitschrift-9:svn42635-61. 1774/3380 Verifying : texlive-hitec-9:svn15878.0.0_beta_-61.fc38.noa 1775/3380 Verifying : texlive-hithesis-9:svn53362-61.fc38.noarch 1776/3380 Verifying : texlive-hitreport-9:svn58357-61.fc38.noarch 1777/3380 Verifying : texlive-hitszbeamer-9:svn54381-61.fc38.noarch 1778/3380 Verifying : texlive-hitszthesis-9:svn55643-61.fc38.noarch 1779/3380 Verifying : texlive-hletter-9:svn30002.4.2-61.fc38.noarch 1780/3380 Verifying : texlive-hobby-9:svn44474-61.fc38.noarch 1781/3380 Verifying : texlive-hobete-9:svn27036.0-61.fc38.noarch 1782/3380 Verifying : texlive-hobsub-9:svn52810-61.fc38.noarch 1783/3380 Verifying : texlive-hologo-9:svn53048-61.fc38.noarch 1784/3380 Verifying : texlive-hopatch-9:svn56106-61.fc38.noarch 1785/3380 Verifying : texlive-hpsdiss-9:svn15878.1.0-61.fc38.noarch 1786/3380 Verifying : texlive-hrefhide-9:svn22255.1.0f-61.fc38.noarc 1787/3380 Verifying : texlive-hu-berlin-bundle-9:svn57580-61.fc38.no 1788/3380 Verifying : texlive-huawei-9:svn59328-61.fc38.noarch 1789/3380 Verifying : texlive-hustthesis-9:svn42547-61.fc38.noarch 1790/3380 Verifying : texlive-hvfloat-9:svn59104-61.fc38.noarch 1791/3380 Verifying : texlive-hvindex-9:svn46051-61.fc38.noarch 1792/3380 Verifying : texlive-hvqrurl-9:svn52993-61.fc38.noarch 1793/3380 Verifying : texlive-hycolor-9:svn53584-61.fc38.noarch 1794/3380 Verifying : texlive-hypdestopt-9:svn56253-61.fc38.noarch 1795/3380 Verifying : texlive-hypdvips-9:svn53197-61.fc38.noarch 1796/3380 Verifying : texlive-hyper-9:svn17357.4.2d-61.fc38.noarch 1797/3380 Verifying : texlive-hyperbar-9:svn48147-61.fc38.noarch 1798/3380 Verifying : texlive-hypernat-9:svn17358.1.0b-61.fc38.noarc 1799/3380 Verifying : texlive-hyperref-9:svn58024-61.fc38.noarch 1800/3380 Verifying : texlive-hyperxmp-9:20210325-52.fc38.x86_64 1801/3380 Verifying : texlive-hyph-utf8-9:svn58619-61.fc38.noarch 1802/3380 Verifying : texlive-hyphen-base-9:svn58630-61.fc38.noarch 1803/3380 Verifying : texlive-hyphen-polish-9:svn58609-61.fc38.noarc 1804/3380 Verifying : texlive-hyphenat-9:svn15878.2.3c-61.fc38.noarc 1805/3380 Verifying : texlive-hyphenex-9:svn57387-61.fc38.noarch 1806/3380 Verifying : texlive-ibarra-9:svn55820-61.fc38.noarch 1807/3380 Verifying : texlive-icite-9:svn54512-61.fc38.noarch 1808/3380 Verifying : texlive-icsv-9:svn15878.0.2-61.fc38.noarch 1809/3380 Verifying : texlive-identkey-9:svn49018-61.fc38.noarch 1810/3380 Verifying : texlive-idxcmds-9:svn54554-61.fc38.noarch 1811/3380 Verifying : texlive-idxlayout-9:svn25821.0.4d-61.fc38.noar 1812/3380 Verifying : texlive-ieeepes-9:svn17359.4.0-61.fc38.noarch 1813/3380 Verifying : texlive-ietfbibs-doc-9:svn41332-61.fc38.noarch 1814/3380 Verifying : texlive-iffont-9:svn38823-61.fc38.noarch 1815/3380 Verifying : texlive-ifmslide-9:svn20727.0.47-61.fc38.noarc 1816/3380 Verifying : texlive-ifmtarg-9:svn47544-61.fc38.noarch 1817/3380 Verifying : texlive-ifnextok-9:svn23379.0.3-61.fc38.noarch 1818/3380 Verifying : texlive-ifoddpage-9:svn56291-61.fc38.noarch 1819/3380 Verifying : texlive-ifplatform-9:svn45533-61.fc38.noarch 1820/3380 Verifying : texlive-ifsym-9:svn24868.0-61.fc38.noarch 1821/3380 Verifying : texlive-iftex-9:svn56594-61.fc38.noarch 1822/3380 Verifying : texlive-ifthenx-9:svn25819.0.1a-61.fc38.noarch 1823/3380 Verifying : texlive-iitem-9:svn29613.1.0-61.fc38.noarch 1824/3380 Verifying : texlive-ijmart-9:svn30958.1.7-61.fc38.noarch 1825/3380 Verifying : texlive-ijqc-9:svn15878.1.2-61.fc38.noarch 1826/3380 Verifying : texlive-ijsra-9:svn44886-61.fc38.noarch 1827/3380 Verifying : texlive-imac-9:svn17347.0-61.fc38.noarch 1828/3380 Verifying : texlive-image-gallery-9:svn15878.v1.0j-61.fc38 1829/3380 Verifying : texlive-imakeidx-9:svn42287-61.fc38.noarch 1830/3380 Verifying : texlive-imfellenglish-9:svn38547-61.fc38.noarc 1831/3380 Verifying : texlive-import-9:svn54683-61.fc38.noarch 1832/3380 Verifying : texlive-imtekda-9:svn17667.1.7-61.fc38.noarch 1833/3380 Verifying : texlive-incgraph-9:svn36500.1.12-61.fc38.noarc 1834/3380 Verifying : texlive-includernw-9:svn47557-61.fc38.noarch 1835/3380 Verifying : texlive-inconsolata-9:svn54512-61.fc38.noarch 1836/3380 Verifying : texlive-index-9:svn24099.4.1beta-61.fc38.noarc 1837/3380 Verifying : texlive-indextools-9:svn38931-61.fc38.noarch 1838/3380 Verifying : texlive-infwarerr-9:svn53023-61.fc38.noarch 1839/3380 Verifying : texlive-initials-9:svn54080-61.fc38.noarch 1840/3380 Verifying : texlive-inkpaper-9:svn54080-61.fc38.noarch 1841/3380 Verifying : texlive-inline-images-9:svn54080-61.fc38.noarc 1842/3380 Verifying : texlive-inlinebib-9:svn22018.0-61.fc38.noarch 1843/3380 Verifying : texlive-inlinedef-9:svn15878.1.0-61.fc38.noarc 1844/3380 Verifying : texlive-inputenx-9:svn52986-61.fc38.noarch 1845/3380 Verifying : texlive-inputtrc-9:svn28019.0.3-61.fc38.noarch 1846/3380 Verifying : texlive-inriafonts-9:svn54512-61.fc38.noarch 1847/3380 Verifying : texlive-intcalc-9:svn53168-61.fc38.noarch 1848/3380 Verifying : texlive-inter-9:svn58892-61.fc38.noarch 1849/3380 Verifying : texlive-interactiveworkbook-9:svn15878.0-61.fc 1850/3380 Verifying : texlive-interfaces-9:svn21474.3.1-61.fc38.noar 1851/3380 Verifying : texlive-interval-9:svn50265-61.fc38.noarch 1852/3380 Verifying : texlive-intopdf-9:svn58743-61.fc38.noarch 1853/3380 Verifying : texlive-inversepath-9:svn15878.0.2-61.fc38.noa 1854/3380 Verifying : texlive-invoice-class-9:svn49749-61.fc38.noarc 1855/3380 Verifying : texlive-invoice-9:svn48359-61.fc38.noarch 1856/3380 Verifying : texlive-invoice2-9:svn46364-61.fc38.noarch 1857/3380 Verifying : texlive-iodhbwm-9:svn57773-61.fc38.noarch 1858/3380 Verifying : texlive-ionumbers-9:svn33457.0.3.3-61.fc38.noa 1859/3380 Verifying : texlive-iopart-num-9:svn15878.2.1-61.fc38.noar 1860/3380 Verifying : texlive-ipaex-9:svn52032-61.fc38.noarch 1861/3380 Verifying : texlive-ipaex-type1-9:svn47700-61.fc38.noarch 1862/3380 Verifying : texlive-is-bst-9:svn52623-61.fc38.noarch 1863/3380 Verifying : texlive-iscram-9:svn45801-61.fc38.noarch 1864/3380 Verifying : texlive-iso-9:svn15878.2.4-61.fc38.noarch 1865/3380 Verifying : texlive-iso10303-9:svn15878.1.5-61.fc38.noarch 1866/3380 Verifying : texlive-isodate-9:svn16613.2.28-61.fc38.noarch 1867/3380 Verifying : texlive-isodoc-9:svn57811-61.fc38.noarch 1868/3380 Verifying : texlive-isomath-9:svn27654.0.6.1-61.fc38.noarc 1869/3380 Verifying : texlive-isonums-9:svn17362.1.0-61.fc38.noarch 1870/3380 Verifying : texlive-isopt-9:svn45509-61.fc38.noarch 1871/3380 Verifying : texlive-isorot-9:svn15878.0-61.fc38.noarch 1872/3380 Verifying : texlive-isotope-9:svn23711.v0.3-61.fc38.noarch 1873/3380 Verifying : texlive-issuulinks-9:svn25742.1.1-61.fc38.noar 1874/3380 Verifying : texlive-istgame-9:svn49848-61.fc38.noarch 1875/3380 Verifying : texlive-itnumpar-9:svn15878.1.0-61.fc38.noarch 1876/3380 Verifying : texlive-iwhdp-9:svn37552.0.50-61.fc38.noarch 1877/3380 Verifying : texlive-iwona-9:svn19611.0.995b-61.fc38.noarch 1878/3380 Verifying : texlive-jablantile-9:svn16364.0-61.fc38.noarch 1879/3380 Verifying : texlive-jacow-9:svn50870-61.fc38.noarch 1880/3380 Verifying : texlive-jamtimes-9:svn20408.1.12-61.fc38.noarc 1881/3380 Verifying : texlive-japanese-otf-9:svn57826-61.fc38.noarch 1882/3380 Verifying : texlive-japanese-otf-uptex-9:svn56932-61.fc38. 1883/3380 Verifying : texlive-jbact-9:svn52717-61.fc38.noarch 1884/3380 Verifying : texlive-jkmath-9:svn47109-61.fc38.noarch 1885/3380 Verifying : texlive-jknapltx-9:svn19440.0-61.fc38.noarch 1886/3380 Verifying : texlive-jlabels-9:svn24858.0-61.fc38.noarch 1887/3380 Verifying : texlive-jmb-9:svn52718-61.fc38.noarch 1888/3380 Verifying : texlive-jmlr-9:svn56395-61.fc38.noarch 1889/3380 Verifying : texlive-jneurosci-9:svn17346.1.00-61.fc38.noar 1890/3380 Verifying : texlive-jnuexam-9:svn56867-61.fc38.noarch 1891/3380 Verifying : texlive-josefin-9:svn57152-61.fc38.noarch 1892/3380 Verifying : texlive-jpsj-9:svn15878.1.2.2-61.fc38.noarch 1893/3380 Verifying : texlive-jslectureplanner-9:svn57095-61.fc38.no 1894/3380 Verifying : texlive-jumplines-9:svn37553.0.2-61.fc38.noarc 1895/3380 Verifying : texlive-junicode-9:svn53954-61.fc38.noarch 1896/3380 Verifying : texlive-jupynotex-9:svn56715-61.fc38.noarch 1897/3380 Verifying : texlive-jurabib-9:svn15878.0.6-61.fc38.noarch 1898/3380 Verifying : texlive-jvlisting-9:svn24638.0.7-61.fc38.noarc 1899/3380 Verifying : texlive-kalendarium-9:svn48744-61.fc38.noarch 1900/3380 Verifying : texlive-kantlipsum-9:svn51727-61.fc38.noarch 1901/3380 Verifying : texlive-karnaugh-map-9:svn44131-61.fc38.noarch 1902/3380 Verifying : texlive-karnaugh-9:svn21338.0-61.fc38.noarch 1903/3380 Verifying : texlive-karnaughmap-9:svn36989.2.0-61.fc38.noa 1904/3380 Verifying : texlive-kastrup-9:svn15878.0-61.fc38.noarch 1905/3380 Verifying : texlive-kblocks-9:svn57617-61.fc38.noarch 1906/3380 Verifying : texlive-kdgdocs-9:svn24498.1.0-61.fc38.noarch 1907/3380 Verifying : texlive-kerntest-9:svn15878.1.32-61.fc38.noarc 1908/3380 Verifying : texlive-keycommand-9:svn18042.3.1415-61.fc38.n 1909/3380 Verifying : texlive-keyfloat-9:svn52160-61.fc38.noarch 1910/3380 Verifying : texlive-keyindex-9:svn50828-61.fc38.noarch 1911/3380 Verifying : texlive-keyreader-9:svn28195.0.5b-61.fc38.noar 1912/3380 Verifying : texlive-keystroke-9:svn17992.v1.6-61.fc38.noar 1913/3380 Verifying : texlive-keyval2e-9:svn23698.0.0.2-61.fc38.noar 1914/3380 Verifying : texlive-keyvaltable-9:svn54677-61.fc38.noarch 1915/3380 Verifying : texlive-kix-9:svn21606.0-61.fc38.noarch 1916/3380 Verifying : texlive-kixfont-9:svn18488.0-61.fc38.noarch 1917/3380 Verifying : texlive-kluwer-9:svn54074-61.fc38.noarch 1918/3380 Verifying : texlive-knitting-9:svn50782-61.fc38.noarch 1919/3380 Verifying : texlive-knittingpattern-9:svn17205.0-61.fc38.n 1920/3380 Verifying : texlive-knowledge-9:svn58724-61.fc38.noarch 1921/3380 Verifying : texlive-knuth-lib-9:svn57963-61.fc38.noarch 1922/3380 Verifying : texlive-knuth-local-9:svn57963-61.fc38.noarch 1923/3380 Verifying : texlive-koma-moderncvclassic-9:svn25025.v0.5-6 1924/3380 Verifying : texlive-koma-script-sfs-9:svn26137.1.0-61.fc38 1925/3380 Verifying : texlive-koma-script-9:svn58585-61.fc38.noarch 1926/3380 Verifying : texlive-komacv-rg-9:svn49064-61.fc38.noarch 1927/3380 Verifying : texlive-komacv-9:svn57721-61.fc38.noarch 1928/3380 Verifying : texlive-kpathsea-9:20210325-52.fc38.x86_64 1929/3380 Verifying : texlive-kpfonts-otf-9:svn59304-61.fc38.noarch 1930/3380 Verifying : texlive-kpfonts-9:svn55643-61.fc38.noarch 1931/3380 Verifying : texlive-ksfh_nat-9:svn24825.1.1-61.fc38.noarch 1932/3380 Verifying : texlive-ksp-thesis-9:svn39080-61.fc38.noarch 1933/3380 Verifying : texlive-ktv-texdata-9:svn27369.05.34-61.fc38.n 1934/3380 Verifying : texlive-ku-template-9:svn45935-61.fc38.noarch 1935/3380 Verifying : texlive-kurier-9:svn19612.0.995b-61.fc38.noarc 1936/3380 Verifying : texlive-kvdefinekeys-9:svn53193-61.fc38.noarch 1937/3380 Verifying : texlive-kvmap-9:svn56361-61.fc38.noarch 1938/3380 Verifying : texlive-kvoptions-9:svn56609-61.fc38.noarch 1939/3380 Verifying : texlive-kvsetkeys-9:svn53166-61.fc38.noarch 1940/3380 Verifying : texlive-l3backend-9:svn59118-61.fc38.noarch 1941/3380 Verifying : texlive-l3build-9:20210325-52.fc38.noarch 1942/3380 Verifying : texlive-l3experimental-9:svn57789-61.fc38.noar 1943/3380 Verifying : texlive-l3kernel-9:svn59118-61.fc38.noarch 1944/3380 Verifying : texlive-l3packages-9:svn59118-61.fc38.noarch 1945/3380 Verifying : texlive-labbook-9:svn15878.0-61.fc38.noarch 1946/3380 Verifying : texlive-labels-9:svn15878.13-61.fc38.noarch 1947/3380 Verifying : texlive-labels4easylist-9:svn51124-61.fc38.noa 1948/3380 Verifying : texlive-labelschanged-9:svn46040-61.fc38.noarc 1949/3380 Verifying : texlive-ladder-9:svn44394-61.fc38.noarch 1950/3380 Verifying : texlive-lambda-lists-9:svn31402.0-61.fc38.noar 1951/3380 Verifying : texlive-langcode-9:svn27764.0.2-61.fc38.noarch 1952/3380 Verifying : texlive-langsci-avm-9:svn55846-61.fc38.noarch 1953/3380 Verifying : texlive-langsci-9:svn58820-61.fc38.noarch 1954/3380 Verifying : texlive-lapdf-9:svn23806.1.1-61.fc38.noarch 1955/3380 Verifying : texlive-lastpackage-9:svn34481.0.1-61.fc38.noa 1956/3380 Verifying : texlive-lastpage-9:svn36680.1.2m-61.fc38.noarc 1957/3380 Verifying : texlive-latex-9:20210325-52.fc38.noarch 1958/3380 Verifying : texlive-latex-base-dev-9:svn59080-61.fc38.noar 1959/3380 Verifying : texlive-latex-firstaid-dev-9:svn57981-61.fc38. 1960/3380 Verifying : texlive-latex-fonts-9:svn28888.0-61.fc38.noarc 1961/3380 Verifying : texlive-latex-make-9:svn57349-61.fc38.noarch 1962/3380 Verifying : texlive-latex-uni8-9:svn49729-61.fc38.noarch 1963/3380 Verifying : texlive-latexbug-9:svn58151-61.fc38.noarch 1964/3380 Verifying : texlive-latexcolors-9:svn49888-61.fc38.noarch 1965/3380 Verifying : texlive-latexconfig-9:svn53525-61.fc38.noarch 1966/3380 Verifying : texlive-latexdemo-9:svn55265-61.fc38.noarch 1967/3380 Verifying : texlive-latexgit-9:svn54811-61.fc38.noarch 1968/3380 Verifying : texlive-lato-9:svn54512-61.fc38.noarch 1969/3380 Verifying : texlive-layouts-9:svn42428-61.fc38.noarch 1970/3380 Verifying : texlive-lazylist-9:svn17691.1.0a-61.fc38.noarc 1971/3380 Verifying : texlive-lccaps-9:svn46432-61.fc38.noarch 1972/3380 Verifying : texlive-lcd-9:svn16549.0.3-61.fc38.noarch 1973/3380 Verifying : texlive-lcg-9:svn31474.1.3-61.fc38.noarch 1974/3380 Verifying : texlive-leading-9:svn15878.0.3-61.fc38.noarch 1975/3380 Verifying : texlive-leaflet-9:svn56878-61.fc38.noarch 1976/3380 Verifying : texlive-lebhart-9:svn59322-61.fc38.noarch 1977/3380 Verifying : texlive-lectures-9:svn53642-61.fc38.noarch 1978/3380 Verifying : texlive-lectureslides-9:svn58393-61.fc38.noarc 1979/3380 Verifying : texlive-leftidx-9:svn15878.0-61.fc38.noarch 1980/3380 Verifying : texlive-leftindex-9:svn56182-61.fc38.noarch 1981/3380 Verifying : texlive-leipzig-9:svn52450-61.fc38.noarch 1982/3380 Verifying : texlive-lengthconvert-9:svn55064-61.fc38.noarc 1983/3380 Verifying : texlive-letltxmacro-9:svn53022-61.fc38.noarch 1984/3380 Verifying : texlive-letterswitharrows-9:svn53709-61.fc38.n 1985/3380 Verifying : texlive-lettre-9:svn54722-61.fc38.noarch 1986/3380 Verifying : texlive-lettrine-9:svn54560-61.fc38.noarch 1987/3380 Verifying : texlive-lewis-9:svn15878.0.1-61.fc38.noarch 1988/3380 Verifying : texlive-lexend-9:svn57564-61.fc38.noarch 1989/3380 Verifying : texlive-lfb-9:svn15878.1.0-61.fc38.noarch 1990/3380 Verifying : texlive-lhelp-9:svn23638.2.0-61.fc38.noarch 1991/3380 Verifying : texlive-lib-9:20210325-52.fc38.x86_64 1992/3380 Verifying : texlive-libertine-9:svn54583-61.fc38.noarch 1993/3380 Verifying : texlive-libertinegc-9:svn44616-61.fc38.noarch 1994/3380 Verifying : texlive-libertinus-fonts-9:svn57948-61.fc38.no 1995/3380 Verifying : texlive-libertinus-otf-9:svn57398-61.fc38.noar 1996/3380 Verifying : texlive-libertinus-9:svn55064-61.fc38.noarch 1997/3380 Verifying : texlive-libertinus-type1-9:svn57754-61.fc38.no 1998/3380 Verifying : texlive-libertinust1math-9:svn56861-61.fc38.no 1999/3380 Verifying : texlive-libgreek-9:svn27789.1.0-61.fc38.noarch 2000/3380 Verifying : texlive-librebaskerville-9:svn56018-61.fc38.no 2001/3380 Verifying : texlive-librebodoni-9:svn39375-61.fc38.noarch 2002/3380 Verifying : texlive-librecaslon-9:svn56003-61.fc38.noarch 2003/3380 Verifying : texlive-librefranklin-9:svn54512-61.fc38.noarc 2004/3380 Verifying : texlive-libris-9:svn19409.1.007-61.fc38.noarch 2005/3380 Verifying : texlive-lie-hasse-9:svn53653-61.fc38.noarch 2006/3380 Verifying : texlive-limap-9:svn44863-61.fc38.noarch 2007/3380 Verifying : texlive-limecv-9:svn54329-61.fc38.noarch 2008/3380 Verifying : texlive-lineara-9:svn15878.0-61.fc38.noarch 2009/3380 Verifying : texlive-linegoal-9:svn21523.2.9-61.fc38.noarch 2010/3380 Verifying : texlive-lineno-9:svn57866-61.fc38.noarch 2011/3380 Verifying : texlive-linguex-9:svn30815.4.3-61.fc38.noarch 2012/3380 Verifying : texlive-linguisticspro-9:svn54512-61.fc38.noar 2013/3380 Verifying : texlive-linop-9:svn41304-61.fc38.noarch 2014/3380 Verifying : texlive-lion-msc-9:svn55415-61.fc38.noarch 2015/3380 Verifying : texlive-lipsum-9:svn58123-61.fc38.noarch 2016/3380 Verifying : texlive-lisp-on-tex-9:svn38722-61.fc38.noarch 2017/3380 Verifying : texlive-listbib-9:20210325-52.fc38.noarch 2018/3380 Verifying : texlive-listing-9:svn17373.1.2-61.fc38.noarch 2019/3380 Verifying : texlive-listings-9:svn55265-61.fc38.noarch 2020/3380 Verifying : texlive-listingsutf8-9:svn53097-61.fc38.noarch 2021/3380 Verifying : texlive-listlbls-9:svn34893.1.03-61.fc38.noarc 2022/3380 Verifying : texlive-listliketab-9:svn15878.0-61.fc38.noarc 2023/3380 Verifying : texlive-listofitems-9:svn51923-61.fc38.noarch 2024/3380 Verifying : texlive-listofsymbols-9:svn16134.0.2-61.fc38.n 2025/3380 Verifying : texlive-lkproof-9:svn20021.3.1-61.fc38.noarch 2026/3380 Verifying : texlive-llncsconf-9:svn55117-61.fc38.noarch 2027/3380 Verifying : texlive-lm-math-9:svn36915.1.959-61.fc38.noarc 2028/3380 Verifying : texlive-lm-9:svn58637-61.fc38.noarch 2029/3380 Verifying : texlive-lmake-9:svn25552.1.0-61.fc38.noarch 2030/3380 Verifying : texlive-lni-9:svn58061-61.fc38.noarch 2031/3380 Verifying : texlive-lobster2-9:svn56019-61.fc38.noarch 2032/3380 Verifying : texlive-locality-9:svn20422.0.2-61.fc38.noarch 2033/3380 Verifying : texlive-logbox-9:svn24499.1.0-61.fc38.noarch 2034/3380 Verifying : texlive-logical-markup-utils-9:svn15878.0-61.f 2035/3380 Verifying : texlive-logicproof-9:svn33254.0-61.fc38.noarch 2036/3380 Verifying : texlive-logix-9:svn57457-61.fc38.noarch 2037/3380 Verifying : texlive-logpap-9:svn15878.0.6-61.fc38.noarch 2038/3380 Verifying : texlive-logreq-9:svn53003-61.fc38.noarch 2039/3380 Verifying : texlive-longdivision-9:svn55117-61.fc38.noarch 2040/3380 Verifying : texlive-longfbox-9:svn39028-61.fc38.noarch 2041/3380 Verifying : texlive-longfigure-9:svn34302.1.0-61.fc38.noar 2042/3380 Verifying : texlive-longnamefilelist-9:svn27889.0.2-61.fc3 2043/3380 Verifying : texlive-loops-9:svn30704.1.3-61.fc38.noarch 2044/3380 Verifying : texlive-lpform-9:svn36918.0-61.fc38.noarch 2045/3380 Verifying : texlive-lpic-9:svn20843.0.8-61.fc38.noarch 2046/3380 Verifying : texlive-lplfitch-9:svn31077.0.9-61.fc38.noarch 2047/3380 Verifying : texlive-lps-9:svn21322.0.7-61.fc38.noarch 2048/3380 Verifying : texlive-lroundrect-9:svn39804-61.fc38.noarch 2049/3380 Verifying : texlive-lsc-9:svn15878.0-61.fc38.noarch 2050/3380 Verifying : texlive-lstaddons-9:svn56291-61.fc38.noarch 2051/3380 Verifying : texlive-lstbayes-9:svn48160-61.fc38.noarch 2052/3380 Verifying : texlive-lstfiracode-9:svn49503-61.fc38.noarch 2053/3380 Verifying : texlive-lt3graph-9:svn45913-61.fc38.noarch 2054/3380 Verifying : texlive-ltablex-9:svn34923.1.1-61.fc38.noarch 2055/3380 Verifying : texlive-ltabptch-9:svn17533.1.74d-61.fc38.noar 2056/3380 Verifying : texlive-ltb2bib-9:svn43746-61.fc38.noarch 2057/3380 Verifying : texlive-ltxcmds-9:svn56421-61.fc38.noarch 2058/3380 Verifying : texlive-ltxdockit-9:svn21869.1.2d-61.fc38.noar 2059/3380 Verifying : texlive-ltxguidex-9:svn50992-61.fc38.noarch 2060/3380 Verifying : texlive-ltxkeys-9:svn28332.0.0.3c-61.fc38.noar 2061/3380 Verifying : texlive-ltxmisc-9:svn21927.0-61.fc38.noarch 2062/3380 Verifying : texlive-ltxnew-9:svn21586.1.3-61.fc38.noarch 2063/3380 Verifying : texlive-ltxtools-9:svn24897.0.0.1a-61.fc38.noa 2064/3380 Verifying : texlive-lua-alt-getopt-9:svn56414-61.fc38.noar 2065/3380 Verifying : texlive-lua-check-hyphen-9:svn47527-61.fc38.no 2066/3380 Verifying : texlive-lua-physical-9:svn59138-61.fc38.noarch 2067/3380 Verifying : texlive-luabibentry-9:svn55777-61.fc38.noarch 2068/3380 Verifying : texlive-luabidi-9:svn54512-61.fc38.noarch 2069/3380 Verifying : texlive-luacode-9:svn25193.1.2a-61.fc38.noarch 2070/3380 Verifying : texlive-luacolor-9:svn57829-61.fc38.noarch 2071/3380 Verifying : texlive-luahbtex-9:20210325-52.fc38.x86_64 2072/3380 Verifying : texlive-luainputenc-9:svn20491.0.973-61.fc38.n 2073/3380 Verifying : texlive-lualatex-math-9:svn56541-61.fc38.noarc 2074/3380 Verifying : texlive-lualibs-9:svn57277-61.fc38.noarch 2075/3380 Verifying : texlive-luamesh-9:svn55475-61.fc38.noarch 2076/3380 Verifying : texlive-luaotfload-9:20210325-52.fc38.noarch 2077/3380 Verifying : texlive-luasseq-9:svn37877.0-61.fc38.noarch 2078/3380 Verifying : texlive-luatex-9:20210325-52.fc38.x86_64 2079/3380 Verifying : texlive-luatexbase-9:svn52663-61.fc38.noarch 2080/3380 Verifying : texlive-luatexja-9:svn58542-61.fc38.noarch 2081/3380 Verifying : texlive-luatextra-9:svn20747.1.0.1-61.fc38.noa 2082/3380 Verifying : texlive-luatodonotes-9:svn53825-61.fc38.noarch 2083/3380 Verifying : texlive-lwarp-9:20210325-52.fc38.noarch 2084/3380 Verifying : texlive-lxfonts-9:svn32354.2.0b-61.fc38.noarch 2085/3380 Verifying : texlive-ly1-9:svn47848-61.fc38.noarch 2086/3380 Verifying : texlive-macroswap-9:svn31498.1.1-61.fc38.noarc 2087/3380 Verifying : texlive-magaz-9:svn24694.0.4-61.fc38.noarch 2088/3380 Verifying : texlive-magicnum-9:svn52983-61.fc38.noarch 2089/3380 Verifying : texlive-magra-9:svn57373-61.fc38.noarch 2090/3380 Verifying : texlive-mailing-9:svn15878.0-61.fc38.noarch 2091/3380 Verifying : texlive-mailmerge-9:svn15878.1.0-61.fc38.noarc 2092/3380 Verifying : texlive-make4ht-9:20210325-52.fc38.noarch 2093/3380 Verifying : texlive-makebarcode-9:svn15878.1.0-61.fc38.noa 2094/3380 Verifying : texlive-makebase-9:svn41012-61.fc38.noarch 2095/3380 Verifying : texlive-makebox-9:svn15878.0.1-61.fc38.noarch 2096/3380 Verifying : texlive-makecell-9:svn15878.0.1e-61.fc38.noarc 2097/3380 Verifying : texlive-makecirc-9:svn15878.0-61.fc38.noarch 2098/3380 Verifying : texlive-makecmds-9:svn15878.0-61.fc38.noarch 2099/3380 Verifying : texlive-makecookbook-9:svn49311-61.fc38.noarch 2100/3380 Verifying : texlive-makedtx-9:20210325-52.fc38.noarch 2101/3380 Verifying : texlive-makeglos-9:svn15878.0-61.fc38.noarch 2102/3380 Verifying : texlive-makeindex-9:20210325-52.fc38.x86_64 2103/3380 Verifying : texlive-maker-9:svn44823-61.fc38.noarch 2104/3380 Verifying : texlive-makerobust-9:svn52811-61.fc38.noarch 2105/3380 Verifying : texlive-makeshape-9:svn28973.2.1-61.fc38.noarc 2106/3380 Verifying : texlive-mandi-9:svn49720-61.fc38.noarch 2107/3380 Verifying : texlive-manfnt-font-9:svn54684-61.fc38.noarch 2108/3380 Verifying : texlive-manfnt-9:svn54684-61.fc38.noarch 2109/3380 Verifying : texlive-manuscript-9:svn36110.1.7-61.fc38.noar 2110/3380 Verifying : texlive-manyind-9:svn49874-61.fc38.noarch 2111/3380 Verifying : texlive-marcellus-9:svn56016-61.fc38.noarch 2112/3380 Verifying : texlive-margbib-9:svn15878.1.0c-61.fc38.noarch 2113/3380 Verifying : texlive-marginfit-9:svn48281-61.fc38.noarch 2114/3380 Verifying : texlive-marginfix-9:svn55064-61.fc38.noarch 2115/3380 Verifying : texlive-marginnote-9:svn48383-61.fc38.noarch 2116/3380 Verifying : texlive-markdown-9:svn56414-61.fc38.noarch 2117/3380 Verifying : texlive-marvosym-9:svn29349.2.2a-61.fc38.noarc 2118/3380 Verifying : texlive-matc3-9:svn29845.1.0.1-61.fc38.noarch 2119/3380 Verifying : texlive-matc3mem-9:svn35773.1.1-61.fc38.noarch 2120/3380 Verifying : texlive-mathabx-9:svn15878.0-61.fc38.noarch 2121/3380 Verifying : texlive-mathabx-type1-9:svn21129.0-61.fc38.noa 2122/3380 Verifying : texlive-mathalpha-9:svn52305-61.fc38.noarch 2123/3380 Verifying : texlive-mathastext-9:svn52840-61.fc38.noarch 2124/3380 Verifying : texlive-mathcommand-9:svn53044-61.fc38.noarch 2125/3380 Verifying : texlive-mathcomp-9:svn15878.0.1f-61.fc38.noarc 2126/3380 Verifying : texlive-mathdesign-9:svn31639.2.31-61.fc38.noa 2127/3380 Verifying : texlive-mathexam-9:svn15878.1.00-61.fc38.noarc 2128/3380 Verifying : texlive-mathfam256-9:svn53519-61.fc38.noarch 2129/3380 Verifying : texlive-mathfixs-9:svn49547-61.fc38.noarch 2130/3380 Verifying : texlive-mathfont-9:svn53035-61.fc38.noarch 2131/3380 Verifying : texlive-mathlig-9:svn54244-61.fc38.noarch 2132/3380 Verifying : texlive-mathpartir-9:svn39864-61.fc38.noarch 2133/3380 Verifying : texlive-mathpazo-9:svn52663-61.fc38.noarch 2134/3380 Verifying : texlive-mathpunctspace-9:svn46754-61.fc38.noar 2135/3380 Verifying : texlive-mathspec-9:svn42773-61.fc38.noarch 2136/3380 Verifying : texlive-mathspic-9:20210325-52.fc38.noarch 2137/3380 Verifying : texlive-mathtools-9:svn58856-61.fc38.noarch 2138/3380 Verifying : texlive-matlab-prettifier-9:svn34323.0.3-61.fc 2139/3380 Verifying : texlive-matrix-skeleton-9:svn54080-61.fc38.noa 2140/3380 Verifying : texlive-mattens-9:svn17582.1.3-61.fc38.noarch 2141/3380 Verifying : texlive-maybemath-9:svn15878.0-61.fc38.noarch 2142/3380 Verifying : texlive-mcaption-9:svn15878.3.0-61.fc38.noarch 2143/3380 Verifying : texlive-mceinleger-9:svn15878.0-61.fc38.noarch 2144/3380 Verifying : texlive-mcexam-9:svn46155-61.fc38.noarch 2145/3380 Verifying : texlive-mcite-9:svn18173.1.6-61.fc38.noarch 2146/3380 Verifying : texlive-mciteplus-9:svn31648.1.2-61.fc38.noarc 2147/3380 Verifying : texlive-mcmthesis-9:svn57333-61.fc38.noarch 2148/3380 Verifying : texlive-mdframed-9:svn31075.1.9b-61.fc38.noarc 2149/3380 Verifying : texlive-mdputu-9:svn20298.1.2-61.fc38.noarch 2150/3380 Verifying : texlive-mdsymbol-9:svn28399.0.5-61.fc38.noarch 2151/3380 Verifying : texlive-mdwtools-9:svn15878.1.05.4-61.fc38.noa 2152/3380 Verifying : texlive-media4svg-9:svn57528-61.fc38.noarch 2153/3380 Verifying : texlive-media9-9:svn58025-61.fc38.noarch 2154/3380 Verifying : texlive-medstarbeamer-9:svn38828-61.fc38.noarc 2155/3380 Verifying : texlive-meetingmins-9:svn31878.1.6-61.fc38.noa 2156/3380 Verifying : texlive-membranecomputing-9:svn55918-61.fc38.n 2157/3380 Verifying : texlive-memexsupp-9:svn15878.0.1-61.fc38.noarc 2158/3380 Verifying : texlive-memoir-9:svn58666-61.fc38.noarch 2159/3380 Verifying : texlive-memory-9:svn30452.1.2-61.fc38.noarch 2160/3380 Verifying : texlive-memorygraphs-9:svn49631-61.fc38.noarch 2161/3380 Verifying : texlive-mensa-tex-9:svn45997-61.fc38.noarch 2162/3380 Verifying : texlive-mentis-9:svn15878.1.5-61.fc38.noarch 2163/3380 Verifying : texlive-menu-9:svn15878.0.994-61.fc38.noarch 2164/3380 Verifying : texlive-menucard-9:svn55643-61.fc38.noarch 2165/3380 Verifying : texlive-menukeys-9:svn57172-61.fc38.noarch 2166/3380 Verifying : texlive-mercatormap-9:svn56060-61.fc38.noarch 2167/3380 Verifying : texlive-merriweather-9:svn56365-61.fc38.noarch 2168/3380 Verifying : texlive-metafont-9:20210325-52.fc38.x86_64 2169/3380 Verifying : texlive-metalogo-9:svn18611.0.12-61.fc38.noarc 2170/3380 Verifying : texlive-metalogox-9:svn49774-61.fc38.noarch 2171/3380 Verifying : texlive-metanorma-9:svn55010-61.fc38.noarch 2172/3380 Verifying : texlive-metastr-9:svn56246-61.fc38.noarch 2173/3380 Verifying : texlive-method-9:svn17485.2.0b-61.fc38.noarch 2174/3380 Verifying : texlive-metre-9:svn18489.1.0-61.fc38.noarch 2175/3380 Verifying : texlive-mfirstuc-9:svn45803-61.fc38.noarch 2176/3380 Verifying : texlive-mflogo-font-9:svn54512-61.fc38.noarch 2177/3380 Verifying : texlive-mflogo-9:svn42428-61.fc38.noarch 2178/3380 Verifying : texlive-mfnfss-9:svn46036-61.fc38.noarch 2179/3380 Verifying : texlive-mftinc-9:svn15878.1.0a-61.fc38.noarch 2180/3380 Verifying : texlive-mfware-9:20210325-52.fc38.x86_64 2181/3380 Verifying : texlive-mgltex-9:svn41676-61.fc38.noarch 2182/3380 Verifying : texlive-mhchem-9:svn52662-61.fc38.noarch 2183/3380 Verifying : texlive-mhequ-9:svn38224.1.7-61.fc38.noarch 2184/3380 Verifying : texlive-mi-solns-9:svn49651-61.fc38.noarch 2185/3380 Verifying : texlive-miama-9:svn54512-61.fc38.noarch 2186/3380 Verifying : texlive-microtype-9:svn58394-61.fc38.noarch 2187/3380 Verifying : texlive-midpage-9:svn17484.1.1a-61.fc38.noarch 2188/3380 Verifying : texlive-miller-9:svn18789.1.2-61.fc38.noarch 2189/3380 Verifying : texlive-milsymb-9:svn54361-61.fc38.noarch 2190/3380 Verifying : texlive-mindflow-9:svn59346-61.fc38.noarch 2191/3380 Verifying : texlive-minibox-9:svn30914.0.2a-61.fc38.noarch 2192/3380 Verifying : texlive-minidocument-9:svn43752-61.fc38.noarch 2193/3380 Verifying : texlive-minifp-9:svn32559.0.96-61.fc38.noarch 2194/3380 Verifying : texlive-minimalist-9:svn59317-61.fc38.noarch 2195/3380 Verifying : texlive-minipage-marginpar-9:svn15878.v0.2-61. 2196/3380 Verifying : texlive-miniplot-9:svn17483.0-61.fc38.noarch 2197/3380 Verifying : texlive-minitoc-9:svn48196-61.fc38.noarch 2198/3380 Verifying : texlive-minorrevision-9:svn32165.1.1-61.fc38.n 2199/3380 Verifying : texlive-minted-9:svn44855-61.fc38.noarch 2200/3380 Verifying : texlive-mintspirit-9:svn32069.0-61.fc38.noarch 2201/3380 Verifying : texlive-minutes-9:svn42186-61.fc38.noarch 2202/3380 Verifying : texlive-mismath-9:svn56949-61.fc38.noarch 2203/3380 Verifying : texlive-missaali-9:svn58771-61.fc38.noarch 2204/3380 Verifying : texlive-mkpic-9:20210325-52.fc38.noarch 2205/3380 Verifying : texlive-mla-paper-9:svn54080-61.fc38.noarch 2206/3380 Verifying : texlive-mlacls-9:svn56878-61.fc38.noarch 2207/3380 Verifying : texlive-mleftright-9:svn53021-61.fc38.noarch 2208/3380 Verifying : texlive-mlist-9:svn15878.0.6a-61.fc38.noarch 2209/3380 Verifying : texlive-mlmodern-9:svn57458-61.fc38.noarch 2210/3380 Verifying : texlive-mluexercise-9:svn56927-61.fc38.noarch 2211/3380 Verifying : texlive-mmap-9:svn15878.1.03-61.fc38.noarch 2212/3380 Verifying : texlive-mnotes-9:svn35521.0.8-61.fc38.noarch 2213/3380 Verifying : texlive-mnras-9:svn55729-61.fc38.noarch 2214/3380 Verifying : texlive-mnsymbol-9:svn18651.1.4-61.fc38.noarch 2215/3380 Verifying : texlive-modeles-factures-belges-assocs-9:svn50 2216/3380 Verifying : texlive-moderncv-9:svn57496-61.fc38.noarch 2217/3380 Verifying : texlive-modernposter-9:svn47269-61.fc38.noarch 2218/3380 Verifying : texlive-moderntimeline-9:svn55518-61.fc38.noar 2219/3380 Verifying : texlive-modes-9:svn56303-61.fc38.noarch 2220/3380 Verifying : texlive-modiagram-9:svn56886-61.fc38.noarch 2221/3380 Verifying : texlive-modref-9:svn15878.1.0-61.fc38.noarch 2222/3380 Verifying : texlive-modroman-9:svn29803.1-61.fc38.noarch 2223/3380 Verifying : texlive-modular-9:svn44142-61.fc38.noarch 2224/3380 Verifying : texlive-monofill-9:svn28140.0.2-61.fc38.noarch 2225/3380 Verifying : texlive-montserrat-9:svn54512-61.fc38.noarch 2226/3380 Verifying : texlive-moodle-9:svn57683-61.fc38.noarch 2227/3380 Verifying : texlive-moreenum-9:svn24479.1.03-61.fc38.noarc 2228/3380 Verifying : texlive-morefloats-9:svn37927.1.0h-61.fc38.noa 2229/3380 Verifying : texlive-morehype-9:svn38815-61.fc38.noarch 2230/3380 Verifying : texlive-moresize-9:svn17513.1.9-61.fc38.noarch 2231/3380 Verifying : texlive-moreverb-9:svn22126.2.3a-61.fc38.noarc 2232/3380 Verifying : texlive-morewrites-9:svn49531-61.fc38.noarch 2233/3380 Verifying : texlive-mparhack-9:svn59066-61.fc38.noarch 2234/3380 Verifying : texlive-mpfonts-9:svn54512-61.fc38.noarch 2235/3380 Verifying : texlive-mpostinl-9:svn49559-61.fc38.noarch 2236/3380 Verifying : texlive-mptopdf-9:20210325-52.fc38.noarch 2237/3380 Verifying : texlive-ms-9:svn57473-61.fc38.noarch 2238/3380 Verifying : texlive-msc-9:svn15878.1.16-61.fc38.noarch 2239/3380 Verifying : texlive-msg-9:svn49578-61.fc38.noarch 2240/3380 Verifying : texlive-mslapa-9:svn54080-61.fc38.noarch 2241/3380 Verifying : texlive-msu-thesis-9:svn59146-61.fc38.noarch 2242/3380 Verifying : texlive-mtgreek-9:svn17967.1.1+-61.fc38.noarch 2243/3380 Verifying : texlive-mucproc-9:svn43445-61.fc38.noarch 2244/3380 Verifying : texlive-mugsthesis-9:svn34878.0-61.fc38.noarch 2245/3380 Verifying : texlive-muling-9:svn56991-61.fc38.noarch 2246/3380 Verifying : texlive-multenum-9:svn21775.0-61.fc38.noarch 2247/3380 Verifying : texlive-multiaudience-9:svn38035.1.03-61.fc38. 2248/3380 Verifying : texlive-multibbl-9:svn15878.v1.1-61.fc38.noarc 2249/3380 Verifying : texlive-multibib-9:svn15878.1.4-61.fc38.noarch 2250/3380 Verifying : texlive-multibibliography-9:20210325-52.fc38.n 2251/3380 Verifying : texlive-multicap-9:svn15878.0-61.fc38.noarch 2252/3380 Verifying : texlive-multicolrule-9:svn56366-61.fc38.noarch 2253/3380 Verifying : texlive-multidef-9:svn40637-61.fc38.noarch 2254/3380 Verifying : texlive-multido-9:svn18302.1.42-61.fc38.noarch 2255/3380 Verifying : texlive-multienv-9:svn56291-61.fc38.noarch 2256/3380 Verifying : texlive-multiexpand-9:svn45943-61.fc38.noarch 2257/3380 Verifying : texlive-multilang-9:svn49065-61.fc38.noarch 2258/3380 Verifying : texlive-multiobjective-9:svn15878.1.0-61.fc38. 2259/3380 Verifying : texlive-multirow-9:svn58396-61.fc38.noarch 2260/3380 Verifying : texlive-munich-9:svn15878.0-61.fc38.noarch 2261/3380 Verifying : texlive-musuos-9:svn24857.1.1d-61.fc38.noarch 2262/3380 Verifying : texlive-muthesis-9:svn23861.0-61.fc38.noarch 2263/3380 Verifying : texlive-mversion-9:svn29370.1.0.1-61.fc38.noar 2264/3380 Verifying : texlive-mwe-9:svn56291-61.fc38.noarch 2265/3380 Verifying : texlive-mweights-9:svn53520-61.fc38.noarch 2266/3380 Verifying : texlive-mycv-9:svn26807.1.5.6-61.fc38.noarch 2267/3380 Verifying : texlive-mylatex-9:svn56751-61.fc38.noarch 2268/3380 Verifying : texlive-mylatexformat-9:svn21392.3.4-61.fc38.n 2269/3380 Verifying : texlive-mynsfc-9:svn41996-61.fc38.noarch 2270/3380 Verifying : texlive-nag-9:svn24741.0.7-61.fc38.noarch 2271/3380 Verifying : texlive-nameauth-9:svn58026-61.fc38.noarch 2272/3380 Verifying : texlive-namespc-9:svn15878.0-61.fc38.noarch 2273/3380 Verifying : texlive-nar-9:svn38100.3.19-61.fc38.noarch 2274/3380 Verifying : texlive-natbib-9:svn20668.8.31b-61.fc38.noarch 2275/3380 Verifying : texlive-natded-9:svn32693.0.1-61.fc38.noarch 2276/3380 Verifying : texlive-nath-9:svn15878.0-61.fc38.noarch 2277/3380 Verifying : texlive-nature-9:svn21819.1.0-61.fc38.noarch 2278/3380 Verifying : texlive-navydocs-9:svn41643-61.fc38.noarch 2279/3380 Verifying : texlive-ncclatex-9:svn15878.1.5-61.fc38.noarch 2280/3380 Verifying : texlive-ncctools-9:svn51810-61.fc38.noarch 2281/3380 Verifying : texlive-ncntrsbk-9:svn31835.0-61.fc38.noarch 2282/3380 Verifying : texlive-nddiss-9:svn45107-61.fc38.noarch 2283/3380 Verifying : texlive-ndsu-thesis-9:svn46639-61.fc38.noarch 2284/3380 Verifying : texlive-needspace-9:svn29601.1.3d-61.fc38.noar 2285/3380 Verifying : texlive-nestquot-9:svn27323.0-61.fc38.noarch 2286/3380 Verifying : texlive-neuralnetwork-9:svn31500.1.0-61.fc38.n 2287/3380 Verifying : texlive-newcommand-doc-9:svn18704.2.0-61.fc38. 2288/3380 Verifying : texlive-newcomputermodern-9:svn59041-61.fc38.n 2289/3380 Verifying : texlive-newenviron-9:svn29331.1.0-61.fc38.noar 2290/3380 Verifying : texlive-newfile-9:svn15878.1.0c-61.fc38.noarch 2291/3380 Verifying : texlive-newfloat-9:svn52906-61.fc38.noarch 2292/3380 Verifying : texlive-newlfm-9:svn15878.9.4-61.fc38.noarch 2293/3380 Verifying : texlive-newpx-9:svn58838-61.fc38.noarch 2294/3380 Verifying : texlive-newspaper-9:svn15878.1.0-61.fc38.noarc 2295/3380 Verifying : texlive-newtx-9:svn58748-61.fc38.noarch 2296/3380 Verifying : texlive-newtxsf-9:svn56527-61.fc38.noarch 2297/3380 Verifying : texlive-newtxtt-9:svn54512-61.fc38.noarch 2298/3380 Verifying : texlive-newunicodechar-9:svn47382-61.fc38.noar 2299/3380 Verifying : texlive-newvbtm-9:svn23996.1.1-61.fc38.noarch 2300/3380 Verifying : texlive-newverbs-9:svn58256-61.fc38.noarch 2301/3380 Verifying : texlive-nextpage-9:svn15878.1.1a-61.fc38.noarc 2302/3380 Verifying : texlive-nfssext-cfr-9:svn43640-61.fc38.noarch 2303/3380 Verifying : texlive-nicefilelist-9:svn28527.0.7a-61.fc38.n 2304/3380 Verifying : texlive-niceframe-9:svn36086.1.1c-61.fc38.noar 2305/3380 Verifying : texlive-niceframe-type1-9:svn44671-61.fc38.noa 2306/3380 Verifying : texlive-nicematrix-9:svn59105-61.fc38.noarch 2307/3380 Verifying : texlive-nicetext-9:svn38914-61.fc38.noarch 2308/3380 Verifying : texlive-nidanfloat-9:svn48295-61.fc38.noarch 2309/3380 Verifying : texlive-nih-9:svn15878.0-61.fc38.noarch 2310/3380 Verifying : texlive-nihbiosketch-9:svn54191-61.fc38.noarch 2311/3380 Verifying : texlive-nimbus15-9:svn58839-61.fc38.noarch 2312/3380 Verifying : texlive-ninecolors-9:svn59330-61.fc38.noarch 2313/3380 Verifying : texlive-nkarta-9:svn16437.0.2-61.fc38.noarch 2314/3380 Verifying : texlive-nl-interval-9:svn58328-61.fc38.noarch 2315/3380 Verifying : texlive-nlctdoc-9:svn53768-61.fc38.noarch 2316/3380 Verifying : texlive-nmbib-9:svn37984.1.04-61.fc38.noarch 2317/3380 Verifying : texlive-noconflict-9:svn30140.1.0-61.fc38.noar 2318/3380 Verifying : texlive-noindentafter-9:svn35709.0.2.2-61.fc38 2319/3380 Verifying : texlive-noitcrul-9:svn15878.0.2-61.fc38.noarch 2320/3380 Verifying : texlive-nolbreaks-9:svn26786.1.2-61.fc38.noarc 2321/3380 Verifying : texlive-nomencl-9:svn57263-61.fc38.noarch 2322/3380 Verifying : texlive-nomentbl-9:svn16549.0.4-61.fc38.noarch 2323/3380 Verifying : texlive-nonfloat-9:svn17598.1.0-61.fc38.noarch 2324/3380 Verifying : texlive-nonumonpart-9:svn22114.1-61.fc38.noarc 2325/3380 Verifying : texlive-nopageno-9:svn18128.0-61.fc38.noarch 2326/3380 Verifying : texlive-norasi-c90-9:svn37675.0-61.fc38.noarch 2327/3380 Verifying : texlive-normalcolor-9:svn40125-61.fc38.noarch 2328/3380 Verifying : texlive-nostarch-9:svn15878.1.3-61.fc38.noarch 2329/3380 Verifying : texlive-notes-9:svn42428-61.fc38.noarch 2330/3380 Verifying : texlive-notes2bib-9:svn52231-61.fc38.noarch 2331/3380 Verifying : texlive-notespages-9:svn41906-61.fc38.noarch 2332/3380 Verifying : texlive-notestex-9:svn45396-61.fc38.noarch 2333/3380 Verifying : texlive-notex-bst-9:svn42361-61.fc38.noarch 2334/3380 Verifying : texlive-noto-emoji-9:svn53968-61.fc38.noarch 2335/3380 Verifying : texlive-noto-9:svn54512-61.fc38.noarch 2336/3380 Verifying : texlive-notoccite-9:svn18129.0-61.fc38.noarch 2337/3380 Verifying : texlive-notomath-9:svn58726-61.fc38.noarch 2338/3380 Verifying : texlive-novel-9:svn54512-61.fc38.noarch 2339/3380 Verifying : texlive-nowidow-9:svn24066.1.0-61.fc38.noarch 2340/3380 Verifying : texlive-nox-9:svn30991.1.0-61.fc38.noarch 2341/3380 Verifying : texlive-nrc-9:svn29027.2.01a-61.fc38.noarch 2342/3380 Verifying : texlive-ntgclass-9:svn56959-61.fc38.noarch 2343/3380 Verifying : texlive-nth-9:svn54252-61.fc38.noarch 2344/3380 Verifying : texlive-ntheorem-9:svn27609.1.33-61.fc38.noarc 2345/3380 Verifying : texlive-nuc-9:svn22256.0.1-61.fc38.noarch 2346/3380 Verifying : texlive-nucleardata-9:svn47307-61.fc38.noarch 2347/3380 Verifying : texlive-numberedblock-9:svn33109.1.10-61.fc38. 2348/3380 Verifying : texlive-numerica-9:svn57759-61.fc38.noarch 2349/3380 Verifying : texlive-numericplots-9:svn31729.2.0.2-61.fc38. 2350/3380 Verifying : texlive-numname-9:svn18130.0-61.fc38.noarch 2351/3380 Verifying : texlive-numprint-9:svn27498.1.39-61.fc38.noarc 2352/3380 Verifying : texlive-numspell-9:svn56912-61.fc38.noarch 2353/3380 Verifying : texlive-nwejm-9:svn54392-61.fc38.noarch 2354/3380 Verifying : texlive-oberdiek-9:20210325-52.fc38.noarch 2355/3380 Verifying : texlive-objectz-9:svn19389.0-61.fc38.noarch 2356/3380 Verifying : texlive-obnov-9:svn33355.0.11-61.fc38.noarch 2357/3380 Verifying : texlive-ocg-p-9:svn28803.0.4-61.fc38.noarch 2358/3380 Verifying : texlive-ocgx-9:svn54512-61.fc38.noarch 2359/3380 Verifying : texlive-ocgx2-9:svn57531-61.fc38.noarch 2360/3380 Verifying : texlive-ocherokee-9:svn25689.0-61.fc38.noarch 2361/3380 Verifying : texlive-ocr-b-outline-9:svn20969.0-61.fc38.noa 2362/3380 Verifying : texlive-ocr-b-9:svn20852.0-61.fc38.noarch 2363/3380 Verifying : texlive-ocr-latex-9:svn15878.0-61.fc38.noarch 2364/3380 Verifying : texlive-octavo-9:svn15878.1.2-61.fc38.noarch 2365/3380 Verifying : texlive-ogham-9:svn24876.0-61.fc38.noarch 2366/3380 Verifying : texlive-oinuit-9:svn28668.0-61.fc38.noarch 2367/3380 Verifying : texlive-old-arrows-9:svn42872-61.fc38.noarch 2368/3380 Verifying : texlive-oldlatin-9:svn17932.1.00-61.fc38.noarc 2369/3380 Verifying : texlive-oldstandard-9:svn57213-61.fc38.noarch 2370/3380 Verifying : texlive-oldstyle-9:svn15878.0.2-61.fc38.noarch 2371/3380 Verifying : texlive-onlyamsmath-9:svn42927-61.fc38.noarch 2372/3380 Verifying : texlive-onrannual-9:svn17474.1.1-61.fc38.noarc 2373/3380 Verifying : texlive-opcit-9:svn15878.1.1-61.fc38.noarch 2374/3380 Verifying : texlive-opensans-9:svn54512-61.fc38.noarch 2375/3380 Verifying : texlive-oplotsymbl-9:svn44951-61.fc38.noarch 2376/3380 Verifying : texlive-opteng-9:svn27331.1.0-61.fc38.noarch 2377/3380 Verifying : texlive-optidef-9:svn50941-61.fc38.noarch 2378/3380 Verifying : texlive-optional-9:svn18131.2.2b-61.fc38.noarc 2379/3380 Verifying : texlive-options-9:svn39030-61.fc38.noarch 2380/3380 Verifying : texlive-orcidlink-9:svn58432-61.fc38.noarch 2381/3380 Verifying : texlive-orientation-9:svn57390-61.fc38.noarch 2382/3380 Verifying : texlive-orkhun-9:svn15878.0-61.fc38.noarch 2383/3380 Verifying : texlive-oscola-9:svn54328-61.fc38.noarch 2384/3380 Verifying : texlive-oswald-9:svn57253-61.fc38.noarch 2385/3380 Verifying : texlive-ot-tableau-9:svn44889-61.fc38.noarch 2386/3380 Verifying : texlive-oubraces-9:svn21833.0-61.fc38.noarch 2387/3380 Verifying : texlive-outline-9:svn18360.0-61.fc38.noarch 2388/3380 Verifying : texlive-outliner-9:svn21095.0.94-61.fc38.noarc 2389/3380 Verifying : texlive-outlines-9:svn25192.1.1-61.fc38.noarch 2390/3380 Verifying : texlive-outlining-9:svn45601-61.fc38.noarch 2391/3380 Verifying : texlive-overlays-9:svn57866-61.fc38.noarch 2392/3380 Verifying : texlive-overlock-9:svn56079-61.fc38.noarch 2393/3380 Verifying : texlive-overpic-9:svn53889-61.fc38.noarch 2394/3380 Verifying : texlive-pacioli-9:svn24947.0-61.fc38.noarch 2395/3380 Verifying : texlive-padcount-9:svn47621-61.fc38.noarch 2396/3380 Verifying : texlive-pagecolor-9:svn44487-61.fc38.noarch 2397/3380 Verifying : texlive-pagecont-9:svn15878.1.0-61.fc38.noarch 2398/3380 Verifying : texlive-pagenote-9:svn15878.1.1a-61.fc38.noarc 2399/3380 Verifying : texlive-pagerange-9:svn16915.0.5-61.fc38.noarc 2400/3380 Verifying : texlive-pagesel-9:svn56105-61.fc38.noarch 2401/3380 Verifying : texlive-pageslts-9:svn39164-61.fc38.noarch 2402/3380 Verifying : texlive-palatino-9:svn31835.0-61.fc38.noarch 2403/3380 Verifying : texlive-paper-9:svn34521.1.0l-61.fc38.noarch 2404/3380 Verifying : texlive-papercdcase-9:svn15878.0-61.fc38.noarc 2405/3380 Verifying : texlive-papermas-9:svn23667.1.0h-61.fc38.noarc 2406/3380 Verifying : texlive-papertex-9:svn19230.1.2b-61.fc38.noarc 2407/3380 Verifying : texlive-paracol-9:svn49560-61.fc38.noarch 2408/3380 Verifying : texlive-parades-9:svn40042-61.fc38.noarch 2409/3380 Verifying : texlive-paralist-9:svn43021-61.fc38.noarch 2410/3380 Verifying : texlive-parallel-9:svn15878.0-61.fc38.noarch 2411/3380 Verifying : texlive-paratype-9:svn32859.0-61.fc38.noarch 2412/3380 Verifying : texlive-paresse-9:svn56621-61.fc38.noarch 2413/3380 Verifying : texlive-parnotes-9:svn51720-61.fc38.noarch 2414/3380 Verifying : texlive-parsa-9:svn54840-61.fc38.noarch 2415/3380 Verifying : texlive-parselines-9:svn21475.1.4-61.fc38.noar 2416/3380 Verifying : texlive-parskip-9:svn58358-61.fc38.noarch 2417/3380 Verifying : texlive-pas-cours-9:svn55859-61.fc38.noarch 2418/3380 Verifying : texlive-pas-cv-9:svn32263.2.01-61.fc38.noarch 2419/3380 Verifying : texlive-pas-tableur-9:svn39542-61.fc38.noarch 2420/3380 Verifying : texlive-patchcmd-9:svn41379-61.fc38.noarch 2421/3380 Verifying : texlive-path-9:svn22045.3.05-61.fc38.noarch 2422/3380 Verifying : texlive-pauldoc-9:svn16005.0.5-61.fc38.noarch 2423/3380 Verifying : texlive-pawpict-9:svn21629.1.0-61.fc38.noarch 2424/3380 Verifying : texlive-pax-9:20210325-52.fc38.noarch 2425/3380 Verifying : texlive-pb-diagram-9:svn15878.5.0-61.fc38.noar 2426/3380 Verifying : texlive-pbalance-9:svn59331-61.fc38.noarch 2427/3380 Verifying : texlive-pbox-9:svn24807.1.2-61.fc38.noarch 2428/3380 Verifying : texlive-pbsheet-9:svn24830.0.1-61.fc38.noarch 2429/3380 Verifying : texlive-pdf14-9:svn17583.0.1-61.fc38.noarch 2430/3380 Verifying : texlive-pdfcolmk-9:svn52912-61.fc38.noarch 2431/3380 Verifying : texlive-pdfcomment-9:svn49047-61.fc38.noarch 2432/3380 Verifying : texlive-pdfcprot-9:svn18735.1.7a-61.fc38.noarc 2433/3380 Verifying : texlive-pdfescape-9:svn53082-61.fc38.noarch 2434/3380 Verifying : texlive-pdflscape-9:svn53047-61.fc38.noarch 2435/3380 Verifying : texlive-pdfmanagement-testphase-9:svn59194-61. 2436/3380 Verifying : texlive-pdfmarginpar-9:svn23492.0.92-61.fc38.n 2437/3380 Verifying : texlive-pdfoverlay-9:svn57923-61.fc38.noarch 2438/3380 Verifying : texlive-pdfpagediff-9:svn37946.1.4-61.fc38.noa 2439/3380 Verifying : texlive-pdfpages-9:svn58212-61.fc38.noarch 2440/3380 Verifying : texlive-pdfpc-movie-9:svn48245-61.fc38.noarch 2441/3380 Verifying : texlive-pdfpc-9:svn57735-61.fc38.noarch 2442/3380 Verifying : texlive-pdfprivacy-9:svn45985-61.fc38.noarch 2443/3380 Verifying : texlive-pdfreview-9:svn50100-61.fc38.noarch 2444/3380 Verifying : texlive-pdfscreen-9:svn42428-61.fc38.noarch 2445/3380 Verifying : texlive-pdfslide-9:svn15878.0-61.fc38.noarch 2446/3380 Verifying : texlive-pdfsync-9:svn20373.0-61.fc38.noarch 2447/3380 Verifying : texlive-pdftex-9:20210325-52.fc38.x86_64 2448/3380 Verifying : texlive-pdftexcmds-9:svn55777-61.fc38.noarch 2449/3380 Verifying : texlive-pdfwin-9:svn54074-61.fc38.noarch 2450/3380 Verifying : texlive-pdfx-9:svn50338-61.fc38.noarch 2451/3380 Verifying : texlive-pecha-9:svn15878.0.1-61.fc38.noarch 2452/3380 Verifying : texlive-penrose-9:svn57508-61.fc38.noarch 2453/3380 Verifying : texlive-perception-9:svn48861-61.fc38.noarch 2454/3380 Verifying : texlive-perfectcut-9:svn54080-61.fc38.noarch 2455/3380 Verifying : texlive-perltex-9:20210325-52.fc38.noarch 2456/3380 Verifying : texlive-permute-9:svn15878.0-61.fc38.noarch 2457/3380 Verifying : texlive-petiteannonce-9:svn25915.1.0001-61.fc3 2458/3380 Verifying : texlive-petri-nets-9:20210325-52.fc38.noarch 2459/3380 Verifying : texlive-pgf-blur-9:svn54512-61.fc38.noarch 2460/3380 Verifying : texlive-pgf-cmykshadings-9:svn52635-61.fc38.no 2461/3380 Verifying : texlive-pgf-pie-9:svn59075-61.fc38.noarch 2462/3380 Verifying : texlive-pgf-soroban-9:svn32269.1.1-61.fc38.noa 2463/3380 Verifying : texlive-pgf-spectra-9:svn58467-61.fc38.noarch 2464/3380 Verifying : texlive-pgf-9:svn57240-61.fc38.noarch 2465/3380 Verifying : texlive-pgf-umlcd-9:svn55342-61.fc38.noarch 2466/3380 Verifying : texlive-pgf-umlsd-9:svn55342-61.fc38.noarch 2467/3380 Verifying : texlive-pgfgantt-9:svn52662-61.fc38.noarch 2468/3380 Verifying : texlive-pgfkeyx-9:svn26093.0.0.1-61.fc38.noarc 2469/3380 Verifying : texlive-pgfmolbio-9:svn35152.0.21-61.fc38.noar 2470/3380 Verifying : texlive-pgfmorepages-9:svn54770-61.fc38.noarch 2471/3380 Verifying : texlive-pgfopts-9:svn56615-61.fc38.noarch 2472/3380 Verifying : texlive-pgfornament-9:svn55326-61.fc38.noarch 2473/3380 Verifying : texlive-pgfplots-9:svn59132-61.fc38.noarch 2474/3380 Verifying : texlive-phaistos-9:svn18651.1.0-61.fc38.noarch 2475/3380 Verifying : texlive-phffullpagefigure-9:svn41857-61.fc38.n 2476/3380 Verifying : texlive-phfnote-9:svn41858-61.fc38.noarch 2477/3380 Verifying : texlive-phfparen-9:svn41859-61.fc38.noarch 2478/3380 Verifying : texlive-phfqit-9:svn45084-61.fc38.noarch 2479/3380 Verifying : texlive-phfquotetext-9:svn41869-61.fc38.noarch 2480/3380 Verifying : texlive-phfsvnwatermark-9:svn41870-61.fc38.noa 2481/3380 Verifying : texlive-phfthm-9:svn41871-61.fc38.noarch 2482/3380 Verifying : texlive-philex-9:svn36396.1.3-61.fc38.noarch 2483/3380 Verifying : texlive-philosophersimprint-9:svn56954-61.fc38 2484/3380 Verifying : texlive-phonenumbers-9:svn51933-61.fc38.noarch 2485/3380 Verifying : texlive-phonetic-9:svn56468-61.fc38.noarch 2486/3380 Verifying : texlive-photo-9:svn18739.0-61.fc38.noarch 2487/3380 Verifying : texlive-physconst-9:svn58727-61.fc38.noarch 2488/3380 Verifying : texlive-physics-9:svn28590.1.3-61.fc38.noarch 2489/3380 Verifying : texlive-physunits-9:svn58728-61.fc38.noarch 2490/3380 Verifying : texlive-picinpar-9:svn57349-61.fc38.noarch 2491/3380 Verifying : texlive-pict2e-9:svn56504-61.fc38.noarch 2492/3380 Verifying : texlive-pictex-9:svn21943.1.1-61.fc38.noarch 2493/3380 Verifying : texlive-pictex2-9:svn15878.0-61.fc38.noarch 2494/3380 Verifying : texlive-picture-9:svn54867-61.fc38.noarch 2495/3380 Verifying : texlive-piff-9:svn21894.0-61.fc38.noarch 2496/3380 Verifying : texlive-pigpen-9:svn15878.0.2-61.fc38.noarch 2497/3380 Verifying : texlive-pinlabel-9:svn24769.1.2-61.fc38.noarch 2498/3380 Verifying : texlive-pinoutikz-9:svn55966-61.fc38.noarch 2499/3380 Verifying : texlive-pittetd-9:svn15878.1.618-61.fc38.noarc 2500/3380 Verifying : texlive-pixelart-9:svn57508-61.fc38.noarch 2501/3380 Verifying : texlive-pkgloader-9:svn47486-61.fc38.noarch 2502/3380 Verifying : texlive-pkuthss-9:svn58729-61.fc38.noarch 2503/3380 Verifying : texlive-pl-9:svn58661-61.fc38.noarch 2504/3380 Verifying : texlive-placeins-9:svn19848.2.2-61.fc38.noarch 2505/3380 Verifying : texlive-plain-9:svn57963-61.fc38.noarch 2506/3380 Verifying : texlive-plainpkg-9:svn27765.0.4a-61.fc38.noarc 2507/3380 Verifying : texlive-plainyr-9:svn52783-61.fc38.noarch 2508/3380 Verifying : texlive-plantslabels-9:svn29803.1.0-61.fc38.no 2509/3380 Verifying : texlive-plates-9:svn15878.0.1-61.fc38.noarch 2510/3380 Verifying : texlive-platex-9:svn58842-61.fc38.noarch 2511/3380 Verifying : texlive-platex-tools-9:svn57729-61.fc38.noarch 2512/3380 Verifying : texlive-playfair-9:svn56005-61.fc38.noarch 2513/3380 Verifying : texlive-plex-otf-9:svn47562-61.fc38.noarch 2514/3380 Verifying : texlive-plex-9:svn54512-61.fc38.noarch 2515/3380 Verifying : texlive-plimsoll-9:svn56605-61.fc38.noarch 2516/3380 Verifying : texlive-plweb-9:svn15878.3.0-61.fc38.noarch 2517/3380 Verifying : texlive-pm-isomath-9:svn59077-61.fc38.noarch 2518/3380 Verifying : texlive-pmboxdraw-9:svn53046-61.fc38.noarch 2519/3380 Verifying : texlive-pmgraph-9:svn15878.1.0-61.fc38.noarch 2520/3380 Verifying : texlive-pnas2009-9:svn16287.1.0-61.fc38.noarch 2521/3380 Verifying : texlive-poiretone-9:svn59125-61.fc38.noarch 2522/3380 Verifying : texlive-polexpr-9:svn59088-61.fc38.noarch 2523/3380 Verifying : texlive-polski-9:svn44213-61.fc38.noarch 2524/3380 Verifying : texlive-poltawski-9:svn20075.1.101-61.fc38.noa 2525/3380 Verifying : texlive-polyglossia-9:svn58869-61.fc38.noarch 2526/3380 Verifying : texlive-polynom-9:svn44832-61.fc38.noarch 2527/3380 Verifying : texlive-polynomial-9:svn15878.1.0-61.fc38.noar 2528/3380 Verifying : texlive-polytable-9:svn55837-61.fc38.noarch 2529/3380 Verifying : texlive-postage-9:svn55920-61.fc38.noarch 2530/3380 Verifying : texlive-postcards-9:svn21641.0-61.fc38.noarch 2531/3380 Verifying : texlive-poster-mac-9:svn18305.1.1-61.fc38.noar 2532/3380 Verifying : texlive-powerdot-fuberlin-9:svn52922-61.fc38.n 2533/3380 Verifying : texlive-powerdot-9:svn58730-61.fc38.noarch 2534/3380 Verifying : texlive-powerdot-tuliplab-9:svn47963-61.fc38.n 2535/3380 Verifying : texlive-ppr-prv-9:svn15878.0.13c-61.fc38.noarc 2536/3380 Verifying : texlive-pracjourn-9:svn15878.0.4n-61.fc38.noar 2537/3380 Verifying : texlive-practicalreports-9:svn52312-61.fc38.no 2538/3380 Verifying : texlive-prelim2e-9:svn57000-61.fc38.noarch 2539/3380 Verifying : texlive-preprint-9:svn30447.2011-61.fc38.noarc 2540/3380 Verifying : texlive-prerex-9:svn54512-61.fc38.noarch 2541/3380 Verifying : texlive-pressrelease-9:svn35147.1.0-61.fc38.no 2542/3380 Verifying : texlive-prettyref-9:svn15878.3.0-61.fc38.noarc 2543/3380 Verifying : texlive-prftree-9:svn54080-61.fc38.noarch 2544/3380 Verifying : texlive-principia-9:svn58927-61.fc38.noarch 2545/3380 Verifying : texlive-printlen-9:svn19847.1.1a-61.fc38.noarc 2546/3380 Verifying : texlive-proba-9:svn15878.0-61.fc38.noarch 2547/3380 Verifying : texlive-probsoln-9:svn44783-61.fc38.noarch 2548/3380 Verifying : texlive-procIAGssymp-9:svn51771-61.fc38.noarch 2549/3380 Verifying : texlive-prodint-9:svn21893.0-61.fc38.noarch 2550/3380 Verifying : texlive-productbox-9:svn20886.1.1-61.fc38.noar 2551/3380 Verifying : texlive-program-9:svn44214-61.fc38.noarch 2552/3380 Verifying : texlive-progress-9:svn19519.1.10-61.fc38.noarc 2553/3380 Verifying : texlive-progressbar-9:svn33822.v1.0b_4-61.fc38 2554/3380 Verifying : texlive-projlib-9:svn59347-61.fc38.noarch 2555/3380 Verifying : texlive-proof-at-the-end-9:svn51194-61.fc38.no 2556/3380 Verifying : texlive-proofread-9:svn50938-61.fc38.noarch 2557/3380 Verifying : texlive-prooftrees-9:svn52221-61.fc38.noarch 2558/3380 Verifying : texlive-properties-9:svn15878.0.2-61.fc38.noar 2559/3380 Verifying : texlive-proposal-9:svn40538-61.fc38.noarch 2560/3380 Verifying : texlive-prosper-9:svn33033.1.0h-61.fc38.noarch 2561/3380 Verifying : texlive-protex-9:svn41633-61.fc38.noarch 2562/3380 Verifying : texlive-protocol-9:svn25562.1.13-61.fc38.noarc 2563/3380 Verifying : texlive-prtec-9:svn51919-61.fc38.noarch 2564/3380 Verifying : texlive-pseudo-9:svn52582-61.fc38.noarch 2565/3380 Verifying : texlive-pseudocode-9:svn54080-61.fc38.noarch 2566/3380 Verifying : texlive-psfrag-9:svn15878.3.04-61.fc38.noarch 2567/3380 Verifying : texlive-psfragx-9:svn26243.1.1-61.fc38.noarch 2568/3380 Verifying : texlive-pslatex-9:svn57434-61.fc38.noarch 2569/3380 Verifying : texlive-psnfss-9:svn54694-61.fc38.noarch 2570/3380 Verifying : texlive-pspicture-9:svn15878.0-61.fc38.noarch 2571/3380 Verifying : texlive-pst-3d-9:svn17257.1.10-61.fc38.noarch 2572/3380 Verifying : texlive-pst-blur-9:svn15878.2.0-61.fc38.noarch 2573/3380 Verifying : texlive-pst-coil-9:svn37377.1.07-61.fc38.noarc 2574/3380 Verifying : texlive-pst-eps-9:svn15878.1.0-61.fc38.noarch 2575/3380 Verifying : texlive-pst-fill-9:svn15878.1.01-61.fc38.noarc 2576/3380 Verifying : texlive-pst-grad-9:svn15878.1.06-61.fc38.noarc 2577/3380 Verifying : texlive-pst-math-9:svn49425-61.fc38.noarch 2578/3380 Verifying : texlive-pst-node-9:svn54687-61.fc38.noarch 2579/3380 Verifying : texlive-pst-ovl-9:svn54963-61.fc38.noarch 2580/3380 Verifying : texlive-pst-plot-9:svn54080-61.fc38.noarch 2581/3380 Verifying : texlive-pst-slpe-9:svn24391.1.31-61.fc38.noarc 2582/3380 Verifying : texlive-pst-text-9:svn49542-61.fc38.noarch 2583/3380 Verifying : texlive-pst-tools-9:svn54518-61.fc38.noarch 2584/3380 Verifying : texlive-pst-tree-9:svn43272-61.fc38.noarch 2585/3380 Verifying : texlive-pstool-9:svn46393-61.fc38.noarch 2586/3380 Verifying : texlive-pstricks-add-9:svn53763-61.fc38.noarch 2587/3380 Verifying : texlive-pstricks-9:svn58371-61.fc38.noarch 2588/3380 Verifying : texlive-pstring-9:svn42857-61.fc38.noarch 2589/3380 Verifying : texlive-ptex-9:20210325-52.fc38.x86_64 2590/3380 Verifying : texlive-ptex-base-9:svn56487-61.fc38.noarch 2591/3380 Verifying : texlive-ptex-fonts-9:svn46940-61.fc38.noarch 2592/3380 Verifying : texlive-ptolemaicastronomy-9:svn50810-61.fc38. 2593/3380 Verifying : texlive-ptptex-9:svn19440.0.91-61.fc38.noarch 2594/3380 Verifying : texlive-punk-latex-9:svn27389.1.1-61.fc38.noar 2595/3380 Verifying : texlive-punk-9:svn27388.0-61.fc38.noarch 2596/3380 Verifying : texlive-punknova-9:svn24649.1.003-61.fc38.noar 2597/3380 Verifying : texlive-puyotikz-9:svn57254-61.fc38.noarch 2598/3380 Verifying : texlive-pxfonts-9:svn15878.0-61.fc38.noarch 2599/3380 Verifying : texlive-pxgreeks-9:svn21838.1.0-61.fc38.noarch 2600/3380 Verifying : texlive-pxpgfmark-9:svn30212.0.2-61.fc38.noarc 2601/3380 Verifying : texlive-pxpic-9:svn57445-61.fc38.noarch 2602/3380 Verifying : texlive-pxtxalfa-9:svn54080-61.fc38.noarch 2603/3380 Verifying : texlive-pygmentex-9:20210325-52.fc38.noarch 2604/3380 Verifying : texlive-python-9:svn27064.0.21-61.fc38.noarch 2605/3380 Verifying : texlive-pythonhighlight-9:svn43191-61.fc38.noa 2606/3380 Verifying : texlive-pythontex-9:20210325-52.fc38.noarch 2607/3380 Verifying : texlive-qcircuit-9:svn48400-61.fc38.noarch 2608/3380 Verifying : texlive-qcm-9:svn15878.2.1-61.fc38.noarch 2609/3380 Verifying : texlive-qrcode-9:svn36065.1.51-61.fc38.noarch 2610/3380 Verifying : texlive-qsharp-9:svn49722-61.fc38.noarch 2611/3380 Verifying : texlive-qstest-9:svn15878.0-61.fc38.noarch 2612/3380 Verifying : texlive-qsymbols-9:svn15878.0-61.fc38.noarch 2613/3380 Verifying : texlive-qtree-9:svn15878.3.1b-61.fc38.noarch 2614/3380 Verifying : texlive-qualitype-9:svn54512-61.fc38.noarch 2615/3380 Verifying : texlive-quantikz-9:svn54911-61.fc38.noarch 2616/3380 Verifying : texlive-quantumarticle-9:svn56862-61.fc38.noar 2617/3380 Verifying : texlive-quattrocento-9:svn56020-61.fc38.noarch 2618/3380 Verifying : texlive-quicktype-9:svn42183-61.fc38.noarch 2619/3380 Verifying : texlive-quiz2socrative-9:svn52276-61.fc38.noar 2620/3380 Verifying : texlive-quotchap-9:svn56926-61.fc38.noarch 2621/3380 Verifying : texlive-quoting-9:svn32818.v0.1c-61.fc38.noarc 2622/3380 Verifying : texlive-quotmark-9:svn15878.1.0-61.fc38.noarch 2623/3380 Verifying : texlive-ragged2e-9:svn57638-61.fc38.noarch 2624/3380 Verifying : texlive-raleway-9:svn42629-61.fc38.noarch 2625/3380 Verifying : texlive-ran_toks-9:svn57520-61.fc38.noarch 2626/3380 Verifying : texlive-randbild-9:svn15878.0.2-61.fc38.noarch 2627/3380 Verifying : texlive-random-9:svn54723-61.fc38.noarch 2628/3380 Verifying : texlive-randomwalk-9:svn49513-61.fc38.noarch 2629/3380 Verifying : texlive-randtext-9:svn15878.0-61.fc38.noarch 2630/3380 Verifying : texlive-rank-2-roots-9:svn48515-61.fc38.noarch 2631/3380 Verifying : texlive-rccol-9:svn15878.1.2c-61.fc38.noarch 2632/3380 Verifying : texlive-rcs-multi-9:svn56291-61.fc38.noarch 2633/3380 Verifying : texlive-rcs-9:svn15878.0-61.fc38.noarch 2634/3380 Verifying : texlive-rcsinfo-9:svn15878.1.11-61.fc38.noarch 2635/3380 Verifying : texlive-readablecv-9:svn57433-61.fc38.noarch 2636/3380 Verifying : texlive-readarray-9:svn42467-61.fc38.noarch 2637/3380 Verifying : texlive-realboxes-9:svn56291-61.fc38.noarch 2638/3380 Verifying : texlive-realhats-9:svn52865-61.fc38.noarch 2639/3380 Verifying : texlive-realscripts-9:svn56594-61.fc38.noarch 2640/3380 Verifying : texlive-rec-thy-9:svn58732-61.fc38.noarch 2641/3380 Verifying : texlive-recipe-9:svn54080-61.fc38.noarch 2642/3380 Verifying : texlive-recipebook-9:svn37026.0-61.fc38.noarch 2643/3380 Verifying : texlive-recipecard-9:svn15878.2.0-61.fc38.noar 2644/3380 Verifying : texlive-rectopma-9:svn19980.0-61.fc38.noarch 2645/3380 Verifying : texlive-recycle-9:svn15878.0-61.fc38.noarch 2646/3380 Verifying : texlive-refcheck-9:svn29128.1.9.1-61.fc38.noar 2647/3380 Verifying : texlive-refcount-9:svn53164-61.fc38.noarch 2648/3380 Verifying : texlive-refenums-9:svn44131-61.fc38.noarch 2649/3380 Verifying : texlive-reflectgraphics-9:svn40612-61.fc38.noa 2650/3380 Verifying : texlive-refman-9:svn15878.2.0e-61.fc38.noarch 2651/3380 Verifying : texlive-refstyle-9:svn20318.0.5-61.fc38.noarch 2652/3380 Verifying : texlive-regcount-9:svn19979.1.0-61.fc38.noarch 2653/3380 Verifying : texlive-regexpatch-9:svn58668-61.fc38.noarch 2654/3380 Verifying : texlive-register-9:svn54485-61.fc38.noarch 2655/3380 Verifying : texlive-regstats-9:svn25050.1.0h-61.fc38.noarc 2656/3380 Verifying : texlive-relenc-9:svn22050.0-61.fc38.noarch 2657/3380 Verifying : texlive-relsize-9:svn30707.4.1-61.fc38.noarch 2658/3380 Verifying : texlive-reotex-9:svn34924.1.1-61.fc38.noarch 2659/3380 Verifying : texlive-repeatindex-9:svn24305.0.01-61.fc38.no 2660/3380 Verifying : texlive-repltext-9:svn56433-61.fc38.noarch 2661/3380 Verifying : texlive-rerunfilecheck-9:svn54841-61.fc38.noar 2662/3380 Verifying : texlive-resphilosophica-9:svn50935-61.fc38.noa 2663/3380 Verifying : texlive-rest-api-9:svn57068-61.fc38.noarch 2664/3380 Verifying : texlive-resumecls-9:svn54815-61.fc38.noarch 2665/3380 Verifying : texlive-returntogrid-9:svn48485-61.fc38.noarch 2666/3380 Verifying : texlive-revquantum-9:svn43505-61.fc38.noarch 2667/3380 Verifying : texlive-revtex-9:svn56591-61.fc38.noarch 2668/3380 Verifying : texlive-revtex4-1-9:svn56590-61.fc38.noarch 2669/3380 Verifying : texlive-revtex4-9:svn56589-61.fc38.noarch 2670/3380 Verifying : texlive-rgltxdoc-9:svn53858-61.fc38.noarch 2671/3380 Verifying : texlive-ribbonproofs-9:svn31137.1.0-61.fc38.no 2672/3380 Verifying : texlive-rjlparshap-9:svn15878.1.0-61.fc38.noar 2673/3380 Verifying : texlive-rlepsf-9:svn19082.0-61.fc38.noarch 2674/3380 Verifying : texlive-rmathbr-9:svn57173-61.fc38.noarch 2675/3380 Verifying : texlive-rmpage-9:svn54080-61.fc38.noarch 2676/3380 Verifying : texlive-roboto-9:svn54512-61.fc38.noarch 2677/3380 Verifying : texlive-robustcommand-9:svn15878.0.1-61.fc38.n 2678/3380 Verifying : texlive-robustindex-9:svn49877-61.fc38.noarch 2679/3380 Verifying : texlive-romanbar-9:svn25005.1.0f-61.fc38.noarc 2680/3380 Verifying : texlive-romanbarpagenumber-9:svn36236.1.0-61.f 2681/3380 Verifying : texlive-romande-9:svn19537.1.008_v7_sc-61.fc38 2682/3380 Verifying : texlive-romanneg-9:svn20087.0-61.fc38.noarch 2683/3380 Verifying : texlive-romannum-9:svn15878.1.0b-61.fc38.noarc 2684/3380 Verifying : texlive-rosario-9:svn51688-61.fc38.noarch 2685/3380 Verifying : texlive-rotfloat-9:svn18292.1.2-61.fc38.noarch 2686/3380 Verifying : texlive-rotpages-9:svn18740.3.0-61.fc38.noarch 2687/3380 Verifying : texlive-roundbox-9:svn29675.0.2-61.fc38.noarch 2688/3380 Verifying : texlive-rsc-9:svn41923-61.fc38.noarch 2689/3380 Verifying : texlive-rsfs-9:svn15878.0-61.fc38.noarch 2690/3380 Verifying : texlive-rsfso-9:svn37965.1.02-61.fc38.noarch 2691/3380 Verifying : texlive-rterface-9:svn30084.0-61.fc38.noarch 2692/3380 Verifying : texlive-rtkinenc-9:svn20003.1.0-61.fc38.noarch 2693/3380 Verifying : texlive-rulerbox-9:svn50984-61.fc38.noarch 2694/3380 Verifying : texlive-rulercompass-9:svn32392.1-61.fc38.noar 2695/3380 Verifying : texlive-runcode-9:svn58908-61.fc38.noarch 2696/3380 Verifying : texlive-rutitlepage-9:svn51073-61.fc38.noarch 2697/3380 Verifying : texlive-rviewport-9:svn23739.v1.0-61.fc38.noar 2698/3380 Verifying : texlive-rvwrite-9:svn19614.1.2-61.fc38.noarch 2699/3380 Verifying : texlive-ryersonsgsthesis-9:svn50119-61.fc38.no 2700/3380 Verifying : texlive-ryethesis-9:svn33945.1.36-61.fc38.noar 2701/3380 Verifying : texlive-sa-tikz-9:svn32815.0.7a-61.fc38.noarch 2702/3380 Verifying : texlive-sageep-9:svn15878.1.0-61.fc38.noarch 2703/3380 Verifying : texlive-sanitize-umlaut-9:svn53292-61.fc38.noa 2704/3380 Verifying : texlive-sankey-9:svn58661-61.fc38.noarch 2705/3380 Verifying : texlive-sansmath-9:svn17997.1.1-61.fc38.noarch 2706/3380 Verifying : texlive-sansmathaccent-9:svn53628-61.fc38.noar 2707/3380 Verifying : texlive-sansmathfonts-9:svn51356-61.fc38.noarc 2708/3380 Verifying : texlive-sapthesis-9:svn48365-61.fc38.noarch 2709/3380 Verifying : texlive-sasnrdisplay-9:svn45963-61.fc38.noarch 2710/3380 Verifying : texlive-sauerj-9:svn15878.0-61.fc38.noarch 2711/3380 Verifying : texlive-sauter-9:svn13293.2.4-61.fc38.noarch 2712/3380 Verifying : texlive-sauterfonts-9:svn15878.0-61.fc38.noarc 2713/3380 Verifying : texlive-savefnmark-9:svn15878.1.0-61.fc38.noar 2714/3380 Verifying : texlive-savesym-9:svn31565.1.2-61.fc38.noarch 2715/3380 Verifying : texlive-savetrees-9:svn40525-61.fc38.noarch 2716/3380 Verifying : texlive-scale-9:svn15878.1.1.2-61.fc38.noarch 2717/3380 Verifying : texlive-scalebar-9:svn15878.1.0-61.fc38.noarch 2718/3380 Verifying : texlive-scalerel-9:svn42809-61.fc38.noarch 2719/3380 Verifying : texlive-scanpages-9:svn42633-61.fc38.noarch 2720/3380 Verifying : texlive-schedule-9:svn51805-61.fc38.noarch 2721/3380 Verifying : texlive-schemabloc-9:svn58212-61.fc38.noarch 2722/3380 Verifying : texlive-scholax-9:svn58733-61.fc38.noarch 2723/3380 Verifying : texlive-schooldocs-9:svn55838-61.fc38.noarch 2724/3380 Verifying : texlive-schule-9:svn56683-61.fc38.noarch 2725/3380 Verifying : texlive-schulschriften-9:svn35730.4-61.fc38.no 2726/3380 Verifying : texlive-scientific-thesis-cover-9:svn47923-61. 2727/3380 Verifying : texlive-sciposter-9:svn15878.1.18-61.fc38.noar 2728/3380 Verifying : texlive-sclang-prettifier-9:svn35087.0.1-61.fc 2729/3380 Verifying : texlive-scontents-9:svn53504-61.fc38.noarch 2730/3380 Verifying : texlive-scratch-9:svn50073-61.fc38.noarch 2731/3380 Verifying : texlive-scratch3-9:svn56258-61.fc38.noarch 2732/3380 Verifying : texlive-scratchx-9:svn44906-61.fc38.noarch 2733/3380 Verifying : texlive-scrjrnl-9:svn27810.0.1-61.fc38.noarch 2734/3380 Verifying : texlive-scrlayer-fancyhdr-9:svn58746-61.fc38.n 2735/3380 Verifying : texlive-scrlttr2copy-9:svn56733-61.fc38.noarch 2736/3380 Verifying : texlive-scsnowman-9:svn54080-61.fc38.noarch 2737/3380 Verifying : texlive-sdaps-9:svn54678-61.fc38.noarch 2738/3380 Verifying : texlive-sdrt-9:svn15878.1.0-61.fc38.noarch 2739/3380 Verifying : texlive-sduthesis-9:svn41401-61.fc38.noarch 2740/3380 Verifying : texlive-secdot-9:svn20208.1.0-61.fc38.noarch 2741/3380 Verifying : texlive-secnum-9:svn53657-61.fc38.noarch 2742/3380 Verifying : texlive-section-9:svn20180.0-61.fc38.noarch 2743/3380 Verifying : texlive-sectionbox-9:svn37749.1.01-61.fc38.noa 2744/3380 Verifying : texlive-sectionbreak-9:svn50339-61.fc38.noarch 2745/3380 Verifying : texlive-sectsty-9:svn15878.2.0.2-61.fc38.noarc 2746/3380 Verifying : texlive-seealso-9:svn43595-61.fc38.noarch 2747/3380 Verifying : texlive-selectp-9:svn20185.1.0-61.fc38.noarch 2748/3380 Verifying : texlive-selinput-9:svn53098-61.fc38.noarch 2749/3380 Verifying : texlive-semantex-9:svn56863-61.fc38.noarch 2750/3380 Verifying : texlive-semantic-markup-9:svn53607-61.fc38.noa 2751/3380 Verifying : texlive-semantic-9:svn15878.2.0-61.fc38.noarch 2752/3380 Verifying : texlive-semaphor-9:svn18651.0-61.fc38.noarch 2753/3380 Verifying : texlive-semesterplanner-9:svn56841-61.fc38.noa 2754/3380 Verifying : texlive-seminar-9:svn34011.1.62-61.fc38.noarch 2755/3380 Verifying : texlive-semioneside-9:svn15878.v0.41-61.fc38.n 2756/3380 Verifying : texlive-semproc-9:svn37568.0.1-61.fc38.noarch 2757/3380 Verifying : texlive-semtex-9:svn56530-61.fc38.noarch 2758/3380 Verifying : texlive-sepfootnotes-9:svn41732-61.fc38.noarch 2759/3380 Verifying : texlive-sepnum-9:svn20186.2.0-61.fc38.noarch 2760/3380 Verifying : texlive-seqsplit-9:svn15878.0.1-61.fc38.noarch 2761/3380 Verifying : texlive-sesamanuel-9:svn36613.0.6-61.fc38.noar 2762/3380 Verifying : texlive-sesstime-9:svn49750-61.fc38.noarch 2763/3380 Verifying : texlive-setdeck-9:svn40613-61.fc38.noarch 2764/3380 Verifying : texlive-setspace-9:svn24881.6.7a-61.fc38.noarc 2765/3380 Verifying : texlive-seuthesis-9:svn33042.2.1.2-61.fc38.noa 2766/3380 Verifying : texlive-seuthesix-9:svn40088-61.fc38.noarch 2767/3380 Verifying : texlive-sf298-9:svn41653-61.fc38.noarch 2768/3380 Verifying : texlive-sffms-9:svn15878.2.0-61.fc38.noarch 2769/3380 Verifying : texlive-sfg-9:svn20209.0.91-61.fc38.noarch 2770/3380 Verifying : texlive-sfmath-9:svn15878.0.8-61.fc38.noarch 2771/3380 Verifying : texlive-shadethm-9:svn53350-61.fc38.noarch 2772/3380 Verifying : texlive-shadow-9:svn20312.0-61.fc38.noarch 2773/3380 Verifying : texlive-shadowtext-9:svn26522.0.3-61.fc38.noar 2774/3380 Verifying : texlive-shapepar-9:svn30708.2.2-61.fc38.noarch 2775/3380 Verifying : texlive-shdoc-9:svn41991-61.fc38.noarch 2776/3380 Verifying : texlive-shipunov-9:svn52334-61.fc38.noarch 2777/3380 Verifying : texlive-shobhika-9:svn50555-61.fc38.noarch 2778/3380 Verifying : texlive-shortmathj-9:svn54407-61.fc38.noarch 2779/3380 Verifying : texlive-shorttoc-9:svn15878.1.3-61.fc38.noarch 2780/3380 Verifying : texlive-show2e-9:svn15878.1.0-61.fc38.noarch 2781/3380 Verifying : texlive-showcharinbox-9:svn29803.0.1-61.fc38.n 2782/3380 Verifying : texlive-showdim-9:svn28918.1.2-61.fc38.noarch 2783/3380 Verifying : texlive-showexpl-9:svn57414-61.fc38.noarch 2784/3380 Verifying : texlive-showhyphens-9:svn39787-61.fc38.noarch 2785/3380 Verifying : texlive-showlabels-9:svn41322-61.fc38.noarch 2786/3380 Verifying : texlive-showtags-9:svn20336.1.05-61.fc38.noarc 2787/3380 Verifying : texlive-shtthesis-9:svn57740-61.fc38.noarch 2788/3380 Verifying : texlive-shuffle-9:svn15878.1.0-61.fc38.noarch 2789/3380 Verifying : texlive-sidecap-9:svn15878.1.6f-61.fc38.noarch 2790/3380 Verifying : texlive-sidenotes-9:svn54524-61.fc38.noarch 2791/3380 Verifying : texlive-signchart-9:svn39707-61.fc38.noarch 2792/3380 Verifying : texlive-silence-9:svn27028.1.5b-61.fc38.noarch 2793/3380 Verifying : texlive-simplebnf-9:svn56761-61.fc38.noarch 2794/3380 Verifying : texlive-simplecd-9:svn29260.1.4-61.fc38.noarch 2795/3380 Verifying : texlive-simplecv-9:svn35537.1.6a-61.fc38.noarc 2796/3380 Verifying : texlive-simpleinvoice-9:svn45673-61.fc38.noarc 2797/3380 Verifying : texlive-simplekv-9:svn54915-61.fc38.noarch 2798/3380 Verifying : texlive-simpleoptics-9:svn54080-61.fc38.noarch 2799/3380 Verifying : texlive-simpler-wick-9:svn39074-61.fc38.noarch 2800/3380 Verifying : texlive-simplewick-9:svn15878.1.2a-61.fc38.noa 2801/3380 Verifying : texlive-simplivre-9:svn59323-61.fc38.noarch 2802/3380 Verifying : texlive-sitem-9:svn22136.1.0-61.fc38.noarch 2803/3380 Verifying : texlive-siunitx-9:svn58909-61.fc38.noarch 2804/3380 Verifying : texlive-skak-9:svn46259-61.fc38.noarch 2805/3380 Verifying : texlive-skb-9:svn22781.0.52-61.fc38.noarch 2806/3380 Verifying : texlive-skdoc-9:svn56950-61.fc38.noarch 2807/3380 Verifying : texlive-skeldoc-9:svn57922-61.fc38.noarch 2808/3380 Verifying : texlive-skeycommand-9:svn24652.0.4-61.fc38.noa 2809/3380 Verifying : texlive-skeyval-9:svn30560.1.3-61.fc38.noarch 2810/3380 Verifying : texlive-skills-9:svn56734-61.fc38.noarch 2811/3380 Verifying : texlive-skmath-9:svn52411-61.fc38.noarch 2812/3380 Verifying : texlive-skrapport-9:svn52412-61.fc38.noarch 2813/3380 Verifying : texlive-skull-9:svn51907-61.fc38.noarch 2814/3380 Verifying : texlive-slantsc-9:svn25007.2.11-61.fc38.noarch 2815/3380 Verifying : texlive-smalltableof-9:svn20333.0-61.fc38.noar 2816/3380 Verifying : texlive-smartdiagram-9:svn42781-61.fc38.noarch 2817/3380 Verifying : texlive-smartref-9:svn20311.1.9-61.fc38.noarch 2818/3380 Verifying : texlive-smartunits-9:svn39592-61.fc38.noarch 2819/3380 Verifying : texlive-smflatex-9:svn58910-61.fc38.noarch 2820/3380 Verifying : texlive-snapshot-9:svn56735-61.fc38.noarch 2821/3380 Verifying : texlive-snotez-9:svn57147-61.fc38.noarch 2822/3380 Verifying : texlive-songbook-9:svn18136.4.5-61.fc38.noarch 2823/3380 Verifying : texlive-sort-by-letters-9:svn27128.0-61.fc38.n 2824/3380 Verifying : texlive-soton-9:svn16215.0.1-61.fc38.noarch 2825/3380 Verifying : texlive-soul-9:svn56495-61.fc38.noarch 2826/3380 Verifying : texlive-soulpos-9:svn52663-61.fc38.noarch 2827/3380 Verifying : texlive-soulutf8-9:svn53163-61.fc38.noarch 2828/3380 Verifying : texlive-sourcecodepro-9:svn54512-61.fc38.noarc 2829/3380 Verifying : texlive-sourcesanspro-9:svn54892-61.fc38.noarc 2830/3380 Verifying : texlive-sourceserifpro-9:svn54512-61.fc38.noar 2831/3380 Verifying : texlive-spacingtricks-9:svn56840-61.fc38.noarc 2832/3380 Verifying : texlive-spalign-9:svn42225-61.fc38.noarch 2833/3380 Verifying : texlive-spark-otf-9:svn51005-61.fc38.noarch 2834/3380 Verifying : texlive-sparklines-9:svn42821-61.fc38.noarch 2835/3380 Verifying : texlive-spath3-9:svn57842-61.fc38.noarch 2836/3380 Verifying : texlive-spectral-9:svn57296-61.fc38.noarch 2837/3380 Verifying : texlive-spectralsequences-9:svn50072-61.fc38.n 2838/3380 Verifying : texlive-sphack-9:svn20842.0-61.fc38.noarch 2839/3380 Verifying : texlive-sphdthesis-9:svn34374.1.0-61.fc38.noar 2840/3380 Verifying : texlive-spie-9:svn15878.3.25-61.fc38.noarch 2841/3380 Verifying : texlive-splitbib-9:svn15878.1.17-61.fc38.noarc 2842/3380 Verifying : texlive-splitindex-9:20210325-52.fc38.noarch 2843/3380 Verifying : texlive-spot-9:svn22408.1.1-61.fc38.noarch 2844/3380 Verifying : texlive-spotcolor-9:svn15878.1.2-61.fc38.noarc 2845/3380 Verifying : texlive-spreadtab-9:svn50147-61.fc38.noarch 2846/3380 Verifying : texlive-spverbatim-9:svn15878.v1.0-61.fc38.noa 2847/3380 Verifying : texlive-sr-vorl-9:svn39529-61.fc38.noarch 2848/3380 Verifying : texlive-srbook-mem-9:svn45818-61.fc38.noarch 2849/3380 Verifying : texlive-srcltx-9:svn15878.1.6-61.fc38.noarch 2850/3380 Verifying : texlive-srdp-mathematik-9:svn58734-61.fc38.noa 2851/3380 Verifying : texlive-sseq-9:svn31585.2.01-61.fc38.noarch 2852/3380 Verifying : texlive-sslides-9:svn32293.0-61.fc38.noarch 2853/3380 Verifying : texlive-stack-9:svn15878.1.00-61.fc38.noarch 2854/3380 Verifying : texlive-stackengine-9:svn53843-61.fc38.noarch 2855/3380 Verifying : texlive-standalone-9:svn56291-61.fc38.noarch 2856/3380 Verifying : texlive-stanli-9:svn54512-61.fc38.noarch 2857/3380 Verifying : texlive-starfont-9:svn19982.1.2-61.fc38.noarch 2858/3380 Verifying : texlive-statex-9:svn20306.1.6-61.fc38.noarch 2859/3380 Verifying : texlive-statex2-9:svn23961.2.1-61.fc38.noarch 2860/3380 Verifying : texlive-statistics-9:svn52212-61.fc38.noarch 2861/3380 Verifying : texlive-statistik-9:svn20334.0.03-61.fc38.noar 2862/3380 Verifying : texlive-statmath-9:svn46925-61.fc38.noarch 2863/3380 Verifying : texlive-staves-9:svn15878.0-61.fc38.noarch 2864/3380 Verifying : texlive-stdclsdv-9:svn15878.1.1a-61.fc38.noarc 2865/3380 Verifying : texlive-stdpage-9:svn15878.0.6-61.fc38.noarch 2866/3380 Verifying : texlive-stealcaps-9:svn46434-61.fc38.noarch 2867/3380 Verifying : texlive-steinmetz-9:svn15878.1.0-61.fc38.noarc 2868/3380 Verifying : texlive-stellenbosch-9:svn36696.11a-61.fc38.no 2869/3380 Verifying : texlive-step-9:svn57307-61.fc38.noarch 2870/3380 Verifying : texlive-stepgreek-9:svn57074-61.fc38.noarch 2871/3380 Verifying : texlive-stex-9:svn50489-61.fc38.noarch 2872/3380 Verifying : texlive-stickstoo-9:svn57193-61.fc38.noarch 2873/3380 Verifying : texlive-stix-9:svn54512-61.fc38.noarch 2874/3380 Verifying : texlive-stix2-otf-9:svn58735-61.fc38.noarch 2875/3380 Verifying : texlive-stix2-type1-9:svn57448-61.fc38.noarch 2876/3380 Verifying : texlive-stmaryrd-9:svn22027.0-61.fc38.noarch 2877/3380 Verifying : texlive-storebox-9:svn56291-61.fc38.noarch 2878/3380 Verifying : texlive-storecmd-9:svn24431.0.0.2-61.fc38.noar 2879/3380 Verifying : texlive-stringenc-9:svn52982-61.fc38.noarch 2880/3380 Verifying : texlive-stringstrings-9:svn57097-61.fc38.noarc 2881/3380 Verifying : texlive-structmech-9:svn58985-61.fc38.noarch 2882/3380 Verifying : texlive-struktex-9:svn47931-61.fc38.noarch 2883/3380 Verifying : texlive-sttools-9:svn56774-61.fc38.noarch 2884/3380 Verifying : texlive-stubs-9:svn19440.0.1.1-61.fc38.noarch 2885/3380 Verifying : texlive-studenthandouts-9:svn43516-61.fc38.noa 2886/3380 Verifying : texlive-subdepth-9:svn15878.0.1-61.fc38.noarch 2887/3380 Verifying : texlive-subdocs-9:svn51480-61.fc38.noarch 2888/3380 Verifying : texlive-subeqn-9:svn15878.2.0b-61.fc38.noarch 2889/3380 Verifying : texlive-subeqnarray-9:svn15878.2.1c-61.fc38.no 2890/3380 Verifying : texlive-subfig-9:svn15878.1.3-61.fc38.noarch 2891/3380 Verifying : texlive-subfigmat-9:svn20308.1.0-61.fc38.noarc 2892/3380 Verifying : texlive-subfigure-9:svn15878.2.1.5-61.fc38.noa 2893/3380 Verifying : texlive-subfiles-9:svn56977-61.fc38.noarch 2894/3380 Verifying : texlive-subfloat-9:svn29349.2.14-61.fc38.noarc 2895/3380 Verifying : texlive-substances-9:svn40989-61.fc38.noarch 2896/3380 Verifying : texlive-substitutefont-9:svn32066.0.1.4-61.fc3 2897/3380 Verifying : texlive-substr-9:svn16117.1.2-61.fc38.noarch 2898/3380 Verifying : texlive-subsupscripts-9:svn16080.1.0-61.fc38.n 2899/3380 Verifying : texlive-subtext-9:svn51273-61.fc38.noarch 2900/3380 Verifying : texlive-suftesi-9:svn57650-61.fc38.noarch 2901/3380 Verifying : texlive-sugconf-9:svn58752-61.fc38.noarch 2902/3380 Verifying : texlive-superiors-9:svn51909-61.fc38.noarch 2903/3380 Verifying : texlive-supertabular-9:svn53658-61.fc38.noarch 2904/3380 Verifying : texlive-suppose-9:svn59281-61.fc38.noarch 2905/3380 Verifying : texlive-susy-9:svn19440.0-61.fc38.noarch 2906/3380 Verifying : texlive-svg-9:svn57010-61.fc38.noarch 2907/3380 Verifying : texlive-svgcolor-9:svn15878.1.0-61.fc38.noarch 2908/3380 Verifying : texlive-svn-multi-9:20210325-52.fc38.noarch 2909/3380 Verifying : texlive-svn-prov-9:svn56291-61.fc38.noarch 2910/3380 Verifying : texlive-svn-9:svn15878.43-61.fc38.noarch 2911/3380 Verifying : texlive-svninfo-9:svn17554.0.7.4-61.fc38.noarc 2912/3380 Verifying : texlive-svrsymbols-9:svn50019-61.fc38.noarch 2913/3380 Verifying : texlive-swfigure-9:svn57213-61.fc38.noarch 2914/3380 Verifying : texlive-swimgraf-9:svn25446.0-61.fc38.noarch 2915/3380 Verifying : texlive-syllogism-9:svn15878.1.2-61.fc38.noarc 2916/3380 Verifying : texlive-symbol-9:svn31835.0-61.fc38.noarch 2917/3380 Verifying : texlive-sympytexpackage-9:svn57090-61.fc38.noa 2918/3380 Verifying : texlive-synproof-9:svn15878.1.0-61.fc38.noarch 2919/3380 Verifying : texlive-syntax-9:svn15878.0-61.fc38.noarch 2920/3380 Verifying : texlive-syntaxdi-9:svn56685-61.fc38.noarch 2921/3380 Verifying : texlive-syntrace-9:svn15878.1.1-61.fc38.noarch 2922/3380 Verifying : texlive-synttree-9:svn16252.1.4.2-61.fc38.noar 2923/3380 Verifying : texlive-t-angles-9:svn15878.0-61.fc38.noarch 2924/3380 Verifying : texlive-tabfigures-9:svn25202.1.1-61.fc38.noar 2925/3380 Verifying : texlive-table-fct-9:svn41849-61.fc38.noarch 2926/3380 Verifying : texlive-tableaux-9:svn42413-61.fc38.noarch 2927/3380 Verifying : texlive-tablefootnote-9:svn32804.1.1c-61.fc38. 2928/3380 Verifying : texlive-tableof-9:svn48815-61.fc38.noarch 2929/3380 Verifying : texlive-tablestyles-9:svn34495.0-61.fc38.noarc 2930/3380 Verifying : texlive-tablists-9:svn15878.0.0e-61.fc38.noarc 2931/3380 Verifying : texlive-tablor-9:svn31855.4.07_g-61.fc38.noarc 2932/3380 Verifying : texlive-tabls-9:svn17255.3.5-61.fc38.noarch 2933/3380 Verifying : texlive-tablvar-9:svn51543-61.fc38.noarch 2934/3380 Verifying : texlive-tabriz-thesis-9:svn51729-61.fc38.noarc 2935/3380 Verifying : texlive-tabstackengine-9:svn46848-61.fc38.noar 2936/3380 Verifying : texlive-tabto-ltx-9:svn54080-61.fc38.noarch 2937/3380 Verifying : texlive-tabu-9:svn56615-61.fc38.noarch 2938/3380 Verifying : texlive-tabularborder-9:svn17885.1.0a-61.fc38. 2939/3380 Verifying : texlive-tabularcalc-9:svn15878.0.2-61.fc38.noa 2940/3380 Verifying : texlive-tabularew-9:svn15878.0.1-61.fc38.noarc 2941/3380 Verifying : texlive-tabulary-9:svn34368.0.10-61.fc38.noarc 2942/3380 Verifying : texlive-tagging-9:svn52064-61.fc38.noarch 2943/3380 Verifying : texlive-tagpair-9:svn42138-61.fc38.noarch 2944/3380 Verifying : texlive-tagpdf-9:svn57954-61.fc38.noarch 2945/3380 Verifying : texlive-talk-9:svn42428-61.fc38.noarch 2946/3380 Verifying : texlive-tamefloats-9:svn27345.v0.42-61.fc38.no 2947/3380 Verifying : texlive-tapir-9:svn20484.0.2-61.fc38.noarch 2948/3380 Verifying : texlive-tasks-9:svn57835-61.fc38.noarch 2949/3380 Verifying : texlive-tcldoc-9:svn22018.2.40-61.fc38.noarch 2950/3380 Verifying : texlive-tcolorbox-9:svn56610-61.fc38.noarch 2951/3380 Verifying : texlive-tdclock-9:svn33043.v2.5-61.fc38.noarch 2952/3380 Verifying : texlive-technics-9:svn29349.1.0-61.fc38.noarch 2953/3380 Verifying : texlive-technion-thesis-template-9:svn49889-61 2954/3380 Verifying : texlive-ted-9:svn15878.1.06-61.fc38.noarch 2955/3380 Verifying : texlive-templatetools-9:svn34495.0-61.fc38.noa 2956/3380 Verifying : texlive-tempora-9:svn39596-61.fc38.noarch 2957/3380 Verifying : texlive-tengwarscript-9:svn34594.1.3.1-61.fc38 2958/3380 Verifying : texlive-tensind-9:svn51481-61.fc38.noarch 2959/3380 Verifying : texlive-tensor-9:svn15878.2.1-61.fc38.noarch 2960/3380 Verifying : texlive-termcal-9:svn22514.1.8-61.fc38.noarch 2961/3380 Verifying : texlive-termlist-9:svn18923.1.1-61.fc38.noarch 2962/3380 Verifying : texlive-testhyphens-9:svn38928-61.fc38.noarch 2963/3380 Verifying : texlive-testidx-9:svn52213-61.fc38.noarch 2964/3380 Verifying : texlive-tex-9:20210325-52.fc38.x86_64 2965/3380 Verifying : texlive-tex-ewd-9:svn15878.0-61.fc38.noarch 2966/3380 Verifying : texlive-tex-gyre-math-9:svn41264-61.fc38.noarc 2967/3380 Verifying : texlive-tex-gyre-9:svn48058-61.fc38.noarch 2968/3380 Verifying : texlive-tex-ini-files-9:svn40533-61.fc38.noarc 2969/3380 Verifying : texlive-tex-label-9:svn16372.0-61.fc38.noarch 2970/3380 Verifying : texlive-tex-locale-9:svn48500-61.fc38.noarch 2971/3380 Verifying : texlive-tex4ht-9:20210325-52.fc38.x86_64 2972/3380 Verifying : texlive-texdraw-9:svn51030-61.fc38.noarch 2973/3380 Verifying : texlive-texilikechaps-9:svn28553.1.0a-61.fc38. 2974/3380 Verifying : texlive-texilikecover-9:svn15878.0.1-61.fc38.n 2975/3380 Verifying : texlive-texlive-common-doc-9:svn54176-61.fc38. 2976/3380 Verifying : texlive-texlive-en-9:20210325-52.fc38.noarch 2977/3380 Verifying : texlive-texlive-msg-translations-9:svn59096-61 2978/3380 Verifying : texlive-texlive-scripts-9:20210325-52.fc38.noa 2979/3380 Verifying : texlive-texlive.infra-9:20210325-52.fc38.noarc 2980/3380 Verifying : texlive-texlogos-9:svn19083.1.3.1-61.fc38.noar 2981/3380 Verifying : texlive-texmate-9:svn15878.2-61.fc38.noarch 2982/3380 Verifying : texlive-texments-9:svn15878.0.2.0-61.fc38.noar 2983/3380 Verifying : texlive-texpower-9:svn29349.0.2-61.fc38.noarch 2984/3380 Verifying : texlive-texshade-9:svn58789-61.fc38.noarch 2985/3380 Verifying : texlive-textcase-9:svn52092-61.fc38.noarch 2986/3380 Verifying : texlive-textfit-9:svn20591.5-61.fc38.noarch 2987/3380 Verifying : texlive-textgreek-9:svn44192-61.fc38.noarch 2988/3380 Verifying : texlive-textmerg-9:svn20677.2.01-61.fc38.noarc 2989/3380 Verifying : texlive-textopo-9:svn23796.1.5-61.fc38.noarch 2990/3380 Verifying : texlive-textpos-9:svn56441-61.fc38.noarch 2991/3380 Verifying : texlive-textualicomma-9:svn48474-61.fc38.noarc 2992/3380 Verifying : texlive-texvc-9:svn46844-61.fc38.noarch 2993/3380 Verifying : texlive-tfrupee-9:svn20770.1.02-61.fc38.noarch 2994/3380 Verifying : texlive-theanodidot-9:svn54512-61.fc38.noarch 2995/3380 Verifying : texlive-theanomodern-9:svn54512-61.fc38.noarch 2996/3380 Verifying : texlive-theanooldstyle-9:svn54512-61.fc38.noar 2997/3380 Verifying : texlive-theoremref-9:svn54512-61.fc38.noarch 2998/3380 Verifying : texlive-thesis-ekf-9:svn57207-61.fc38.noarch 2999/3380 Verifying : texlive-thesis-gwu-9:svn54287-61.fc38.noarch 3000/3380 Verifying : texlive-thesis-qom-9:svn49124-61.fc38.noarch 3001/3380 Verifying : texlive-thesis-titlepage-fhac-9:svn15878.0.1-6 3002/3380 Verifying : texlive-thinsp-9:svn39669-61.fc38.noarch 3003/3380 Verifying : texlive-thmbox-9:svn15878.0-61.fc38.noarch 3004/3380 Verifying : texlive-thmtools-9:svn56070-61.fc38.noarch 3005/3380 Verifying : texlive-threadcol-9:svn28754.1.0-61.fc38.noarc 3006/3380 Verifying : texlive-threeparttable-9:svn17383.0-61.fc38.no 3007/3380 Verifying : texlive-threeparttablex-9:svn34206.0.3-61.fc38 3008/3380 Verifying : texlive-thuaslogos-9:svn51347-61.fc38.noarch 3009/3380 Verifying : texlive-thucoursework-9:svn56435-61.fc38.noarc 3010/3380 Verifying : texlive-thumb-9:svn16549.1.0-61.fc38.noarch 3011/3380 Verifying : texlive-thumbpdf-9:20210325-52.fc38.noarch 3012/3380 Verifying : texlive-thumbs-9:svn33134.1.0q-61.fc38.noarch 3013/3380 Verifying : texlive-thumby-9:svn16736.0.1-61.fc38.noarch 3014/3380 Verifying : texlive-thuthesis-9:svn58750-61.fc38.noarch 3015/3380 Verifying : texlive-ticket-9:svn42280-61.fc38.noarch 3016/3380 Verifying : texlive-ticollege-9:svn36306.1.0-61.fc38.noarc 3017/3380 Verifying : texlive-tikz-3dplot-9:svn25087.0-61.fc38.noarc 3018/3380 Verifying : texlive-tikz-among-us-9:svn56820-61.fc38.noarc 3019/3380 Verifying : texlive-tikz-bayesnet-9:svn38295.0.1-61.fc38.n 3020/3380 Verifying : texlive-tikz-bbox-9:svn57444-61.fc38.noarch 3021/3380 Verifying : texlive-tikz-cd-9:svn59133-61.fc38.noarch 3022/3380 Verifying : texlive-tikz-dependency-9:svn54512-61.fc38.noa 3023/3380 Verifying : texlive-tikz-dimline-9:svn35805.1.0-61.fc38.no 3024/3380 Verifying : texlive-tikz-feynhand-9:svn51915-61.fc38.noarc 3025/3380 Verifying : texlive-tikz-feynman-9:svn56615-61.fc38.noarch 3026/3380 Verifying : texlive-tikz-imagelabels-9:svn51490-61.fc38.no 3027/3380 Verifying : texlive-tikz-inet-9:svn15878.0.1-61.fc38.noarc 3028/3380 Verifying : texlive-tikz-kalender-9:svn52890-61.fc38.noarc 3029/3380 Verifying : texlive-tikz-karnaugh-9:svn47026-61.fc38.noarc 3030/3380 Verifying : texlive-tikz-ladder-9:svn46555-61.fc38.noarch 3031/3380 Verifying : texlive-tikz-lake-fig-9:svn55288-61.fc38.noarc 3032/3380 Verifying : texlive-tikz-layers-9:svn46660-61.fc38.noarch 3033/3380 Verifying : texlive-tikz-nef-9:svn55920-61.fc38.noarch 3034/3380 Verifying : texlive-tikz-network-9:svn51884-61.fc38.noarch 3035/3380 Verifying : texlive-tikz-opm-9:svn32769.0.1.1-61.fc38.noar 3036/3380 Verifying : texlive-tikz-optics-9:svn43466-61.fc38.noarch 3037/3380 Verifying : texlive-tikz-page-9:svn42039-61.fc38.noarch 3038/3380 Verifying : texlive-tikz-palattice-9:svn43442-61.fc38.noar 3039/3380 Verifying : texlive-tikz-planets-9:svn55002-61.fc38.noarch 3040/3380 Verifying : texlive-tikz-qtree-9:svn26108.1.2-61.fc38.noar 3041/3380 Verifying : texlive-tikz-relay-9:svn51355-61.fc38.noarch 3042/3380 Verifying : texlive-tikz-sfc-9:svn49424-61.fc38.noarch 3043/3380 Verifying : texlive-tikz-timing-9:svn56291-61.fc38.noarch 3044/3380 Verifying : texlive-tikz-trackschematic-9:svn57300-61.fc38 3045/3380 Verifying : texlive-tikz-truchet-9:svn50020-61.fc38.noarch 3046/3380 Verifying : texlive-tikzcodeblocks-9:svn54758-61.fc38.noar 3047/3380 Verifying : texlive-tikzducks-9:svn55713-61.fc38.noarch 3048/3380 Verifying : texlive-tikzinclude-9:svn28715.1.0-61.fc38.noa 3049/3380 Verifying : texlive-tikzlings-9:svn58885-61.fc38.noarch 3050/3380 Verifying : texlive-tikzmark-9:svn57843-61.fc38.noarch 3051/3380 Verifying : texlive-tikzmarmots-9:svn54080-61.fc38.noarch 3052/3380 Verifying : texlive-tikzorbital-9:svn36439.0-61.fc38.noarc 3053/3380 Verifying : texlive-tikzpackets-9:svn55827-61.fc38.noarch 3054/3380 Verifying : texlive-tikzpagenodes-9:svn56291-61.fc38.noarc 3055/3380 Verifying : texlive-tikzpeople-9:svn43978-61.fc38.noarch 3056/3380 Verifying : texlive-tikzpfeile-9:svn25777.1.0-61.fc38.noar 3057/3380 Verifying : texlive-tikzposter-9:svn32732.2.0-61.fc38.noar 3058/3380 Verifying : texlive-tikzscale-9:svn30637.0.2.6-61.fc38.noa 3059/3380 Verifying : texlive-tikzsymbols-9:svn49975-61.fc38.noarch 3060/3380 Verifying : texlive-tikztosvg-9:20210325-52.fc38.x86_64 3061/3380 Verifying : texlive-timbreicmc-9:svn49740-61.fc38.noarch 3062/3380 Verifying : texlive-times-9:svn35058.0-61.fc38.noarch 3063/3380 Verifying : texlive-timing-diagrams-9:svn31491.0-61.fc38.n 3064/3380 Verifying : texlive-tinos-9:svn42882-61.fc38.noarch 3065/3380 Verifying : texlive-tipa-9:svn29349.1.3-61.fc38.noarch 3066/3380 Verifying : texlive-tipauni-9:svn59009-61.fc38.noarch 3067/3380 Verifying : texlive-tipfr-doc-9:svn38646-61.fc38.noarch 3068/3380 Verifying : texlive-titlecaps-9:svn36170.1.2-61.fc38.noarc 3069/3380 Verifying : texlive-titlefoot-9:svn15878.0-61.fc38.noarch 3070/3380 Verifying : texlive-titlepic-9:svn43497-61.fc38.noarch 3071/3380 Verifying : texlive-titleref-9:svn18729.3.1-61.fc38.noarch 3072/3380 Verifying : texlive-titlesec-9:svn52413-61.fc38.noarch 3073/3380 Verifying : texlive-titling-9:svn15878.2.1d-61.fc38.noarch 3074/3380 Verifying : texlive-tkz-base-9:svn54758-61.fc38.noarch 3075/3380 Verifying : texlive-tkz-berge-9:svn57485-61.fc38.noarch 3076/3380 Verifying : texlive-tkz-doc-9:svn55265-61.fc38.noarch 3077/3380 Verifying : texlive-tkz-euclide-9:svn54758-61.fc38.noarch 3078/3380 Verifying : texlive-tkz-fct-9:svn55031-61.fc38.noarch 3079/3380 Verifying : texlive-tkz-graph-9:svn57484-61.fc38.noarch 3080/3380 Verifying : texlive-tkz-orm-9:svn54512-61.fc38.noarch 3081/3380 Verifying : texlive-tkz-tab-9:svn54940-61.fc38.noarch 3082/3380 Verifying : texlive-tlc-article-9:svn51431-61.fc38.noarch 3083/3380 Verifying : texlive-tocbibind-9:svn20085.1.5k-61.fc38.noar 3084/3380 Verifying : texlive-tocdata-9:svn55852-61.fc38.noarch 3085/3380 Verifying : texlive-tocloft-9:svn53364-61.fc38.noarch 3086/3380 Verifying : texlive-tocvsec2-9:svn33146.1.3a-61.fc38.noarc 3087/3380 Verifying : texlive-todo-9:svn17746.2.142-61.fc38.noarch 3088/3380 Verifying : texlive-todonotes-9:svn58998-61.fc38.noarch 3089/3380 Verifying : texlive-tokcycle-9:svn58254-61.fc38.noarch 3090/3380 Verifying : texlive-tokenizer-9:svn15878.1.1.0-61.fc38.noa 3091/3380 Verifying : texlive-toolbox-9:svn32260.5.1-61.fc38.noarch 3092/3380 Verifying : texlive-tools-9:svn56514-61.fc38.noarch 3093/3380 Verifying : texlive-topfloat-9:svn19084.0-61.fc38.noarch 3094/3380 Verifying : texlive-topiclongtable-9:svn54758-61.fc38.noar 3095/3380 Verifying : texlive-topletter-9:svn48182-61.fc38.noarch 3096/3380 Verifying : texlive-toptesi-9:svn56276-61.fc38.noarch 3097/3380 Verifying : texlive-totalcount-9:svn56214-61.fc38.noarch 3098/3380 Verifying : texlive-totcount-9:svn21178.1.2-61.fc38.noarch 3099/3380 Verifying : texlive-totpages-9:svn15878.2.00-61.fc38.noarc 3100/3380 Verifying : texlive-tpslifonts-9:svn42428-61.fc38.noarch 3101/3380 Verifying : texlive-tqft-9:svn44455-61.fc38.noarch 3102/3380 Verifying : texlive-tracklang-9:svn55707-61.fc38.noarch 3103/3380 Verifying : texlive-trajan-9:svn15878.1.1-61.fc38.noarch 3104/3380 Verifying : texlive-translations-9:svn57461-61.fc38.noarch 3105/3380 Verifying : texlive-translator-9:svn56052-61.fc38.noarch 3106/3380 Verifying : texlive-transparent-9:svn52981-61.fc38.noarch 3107/3380 Verifying : texlive-tree-dvips-9:svn21751.91-61.fc38.noarc 3108/3380 Verifying : texlive-trfsigns-9:svn15878.1.01-61.fc38.noarc 3109/3380 Verifying : texlive-trimspaces-9:svn15878.1.1-61.fc38.noar 3110/3380 Verifying : texlive-trivfloat-9:svn15878.1.3b-61.fc38.noar 3111/3380 Verifying : texlive-trsym-9:svn18732.1.0-61.fc38.noarch 3112/3380 Verifying : texlive-truncate-9:svn18921.3.6-61.fc38.noarch 3113/3380 Verifying : texlive-tsemlines-9:svn23440.1.0-61.fc38.noarc 3114/3380 Verifying : texlive-ttfutils-9:20210325-52.fc38.x86_64 3115/3380 Verifying : texlive-tucv-9:svn20680.1.0-61.fc38.noarch 3116/3380 Verifying : texlive-tuda-ci-9:svn58661-61.fc38.noarch 3117/3380 Verifying : texlive-tudscr-9:svn58713-61.fc38.noarch 3118/3380 Verifying : texlive-tufte-latex-9:svn37649.3.5.2-61.fc38.n 3119/3380 Verifying : texlive-tugboat-plain-9:svn51373-61.fc38.noarc 3120/3380 Verifying : texlive-tugboat-9:svn56942-61.fc38.noarch 3121/3380 Verifying : texlive-tui-9:svn27253.1.9-61.fc38.noarch 3122/3380 Verifying : texlive-turabian-formatting-9:svn58561-61.fc38 3123/3380 Verifying : texlive-turabian-9:svn36298.0.1.0-61.fc38.noar 3124/3380 Verifying : texlive-turnstile-9:svn15878.1.0-61.fc38.noarc 3125/3380 Verifying : texlive-turnthepage-9:svn29803.1.3a-61.fc38.no 3126/3380 Verifying : texlive-twemoji-colr-9:svn55675-61.fc38.noarch 3127/3380 Verifying : texlive-twoinone-9:svn17024.0-61.fc38.noarch 3128/3380 Verifying : texlive-twoup-9:svn15878.1.3-61.fc38.noarch 3129/3380 Verifying : texlive-txfonts-9:svn15878.0-61.fc38.noarch 3130/3380 Verifying : texlive-txfontsb-9:svn54512-61.fc38.noarch 3131/3380 Verifying : texlive-txgreeks-9:svn21839.1.0-61.fc38.noarch 3132/3380 Verifying : texlive-txuprcal-9:svn43327-61.fc38.noarch 3133/3380 Verifying : texlive-type1cm-9:svn21820.0-61.fc38.noarch 3134/3380 Verifying : texlive-typed-checklist-9:svn49731-61.fc38.noa 3135/3380 Verifying : texlive-typeface-9:svn27046.0.1-61.fc38.noarch 3136/3380 Verifying : texlive-typehtml-9:svn17134.0-61.fc38.noarch 3137/3380 Verifying : texlive-typicons-9:svn37623.2.0.7-61.fc38.noar 3138/3380 Verifying : texlive-typoaid-9:svn44238-61.fc38.noarch 3139/3380 Verifying : texlive-typogrid-9:svn24994.0.21-61.fc38.noarc 3140/3380 Verifying : texlive-tzplot-9:svn58558-61.fc38.noarch 3141/3380 Verifying : texlive-uaclasses-9:svn15878.0-61.fc38.noarch 3142/3380 Verifying : texlive-uafthesis-9:svn57349-61.fc38.noarch 3143/3380 Verifying : texlive-uantwerpendocs-9:svn58669-61.fc38.noar 3144/3380 Verifying : texlive-uassign-9:svn38459-61.fc38.noarch 3145/3380 Verifying : texlive-ucalgmthesis-9:svn52527-61.fc38.noarch 3146/3380 Verifying : texlive-ucbthesis-9:svn51690-61.fc38.noarch 3147/3380 Verifying : texlive-ucdavisthesis-9:svn40772-61.fc38.noarc 3148/3380 Verifying : texlive-ucharcat-9:svn38907-61.fc38.noarch 3149/3380 Verifying : texlive-ucs-9:svn35853.2.2-61.fc38.noarch 3150/3380 Verifying : texlive-ucsmonograph-9:svn52698-61.fc38.noarch 3151/3380 Verifying : texlive-ucthesis-9:svn15878.3.2-61.fc38.noarch 3152/3380 Verifying : texlive-uebungsblatt-9:svn15878.1.5.0-61.fc38. 3153/3380 Verifying : texlive-uestcthesis-9:svn36371.1.1.0-61.fc38.n 3154/3380 Verifying : texlive-uhc-9:svn16791.0-61.fc38.noarch 3155/3380 Verifying : texlive-uhhassignment-9:svn44026-61.fc38.noarc 3156/3380 Verifying : texlive-uiucredborder-9:svn29974.1.00-61.fc38. 3157/3380 Verifying : texlive-uiucthesis-9:svn15878.2.25-61.fc38.noa 3158/3380 Verifying : texlive-ulem-9:svn53365-61.fc38.noarch 3159/3380 Verifying : texlive-ulqda-9:20210325-52.fc38.noarch 3160/3380 Verifying : texlive-ulthese-9:svn52972-61.fc38.noarch 3161/3380 Verifying : texlive-umbclegislation-9:svn41348-61.fc38.noa 3162/3380 Verifying : texlive-umich-thesis-9:svn15878.1.20-61.fc38.n 3163/3380 Verifying : texlive-umoline-9:svn19085.0-61.fc38.noarch 3164/3380 Verifying : texlive-umthesis-9:svn15878.0.2-61.fc38.noarch 3165/3380 Verifying : texlive-umtypewriter-9:svn18651.001.002-61.fc3 3166/3380 Verifying : texlive-unam-thesis-9:svn51207-61.fc38.noarch 3167/3380 Verifying : texlive-unamth-template-doc-9:svn33625.2.0-61. 3168/3380 Verifying : texlive-unamthesis-9:svn43639-61.fc38.noarch 3169/3380 Verifying : texlive-underlin-9:svn15878.1.01-61.fc38.noarc 3170/3380 Verifying : texlive-underoverlap-9:svn29019.0.0.1_r1-61.fc 3171/3380 Verifying : texlive-underscore-9:svn18261.0-61.fc38.noarch 3172/3380 Verifying : texlive-undolabl-9:svn36681.1.0l-61.fc38.noarc 3173/3380 Verifying : texlive-uni-wtal-ger-9:svn31541.0.2-61.fc38.no 3174/3380 Verifying : texlive-uni-wtal-lin-9:svn31409.0.2-61.fc38.no 3175/3380 Verifying : texlive-unicode-data-9:svn56768-61.fc38.noarch 3176/3380 Verifying : texlive-unicode-math-9:svn56594-61.fc38.noarch 3177/3380 Verifying : texlive-unifith-9:svn51968-61.fc38.noarch 3178/3380 Verifying : texlive-uniquecounter-9:svn53162-61.fc38.noarc 3179/3380 Verifying : texlive-unitconv-9:svn55060-61.fc38.noarch 3180/3380 Verifying : texlive-unitipa-9:svn58749-61.fc38.noarch 3181/3380 Verifying : texlive-unitn-bimrep-9:svn45581-61.fc38.noarch 3182/3380 Verifying : texlive-units-9:svn42428-61.fc38.noarch 3183/3380 Verifying : texlive-unitsdef-9:svn15878.0.2-61.fc38.noarch 3184/3380 Verifying : texlive-universa-9:svn51984-61.fc38.noarch 3185/3380 Verifying : texlive-universalis-9:svn33860.0-61.fc38.noarc 3186/3380 Verifying : texlive-univie-ling-9:svn56913-61.fc38.noarch 3187/3380 Verifying : texlive-unizgklasa-9:svn51647-61.fc38.noarch 3188/3380 Verifying : texlive-unravel-9:svn52822-61.fc38.noarch 3189/3380 Verifying : texlive-unswcover-9:svn29476.1.0-61.fc38.noarc 3190/3380 Verifying : texlive-uothesis-9:svn25355.2.5.6-61.fc38.noar 3191/3380 Verifying : texlive-uowthesis-9:svn19700.1.0a-61.fc38.noar 3192/3380 Verifying : texlive-uowthesistitlepage-9:svn54512-61.fc38. 3193/3380 Verifying : texlive-uplatex-9:svn58842-61.fc38.noarch 3194/3380 Verifying : texlive-upmethodology-9:svn54758-61.fc38.noarc 3195/3380 Verifying : texlive-uppunctlm-9:svn42334-61.fc38.noarch 3196/3380 Verifying : texlive-upquote-9:svn26059.v1.3-61.fc38.noarch 3197/3380 Verifying : texlive-uptex-9:20210325-52.fc38.x86_64 3198/3380 Verifying : texlive-uptex-base-9:svn56832-61.fc38.noarch 3199/3380 Verifying : texlive-uptex-fonts-9:svn54045-61.fc38.noarch 3200/3380 Verifying : texlive-urcls-9:svn49903-61.fc38.noarch 3201/3380 Verifying : texlive-uri-9:svn48602-61.fc38.noarch 3202/3380 Verifying : texlive-url-9:svn32528.3.4-61.fc38.noarch 3203/3380 Verifying : texlive-urlbst-9:20210325-52.fc38.noarch 3204/3380 Verifying : texlive-urwchancal-9:svn21701.1-61.fc38.noarch 3205/3380 Verifying : texlive-usebib-9:svn25969.1.0a-61.fc38.noarch 3206/3380 Verifying : texlive-ushort-9:svn32261.2.2-61.fc38.noarch 3207/3380 Verifying : texlive-uspace-9:svn42456-61.fc38.noarch 3208/3380 Verifying : texlive-uspatent-9:svn27744.1.0-61.fc38.noarch 3209/3380 Verifying : texlive-ut-thesis-9:svn59078-61.fc38.noarch 3210/3380 Verifying : texlive-utexasthesis-9:svn48648-61.fc38.noarch 3211/3380 Verifying : texlive-utf8add-9:svn55291-61.fc38.noarch 3212/3380 Verifying : texlive-utfsym-9:svn56729-61.fc38.noarch 3213/3380 Verifying : texlive-utopia-9:svn15878.0-61.fc38.noarch 3214/3380 Verifying : texlive-uwthesis-9:svn15878.6.13-61.fc38.noarc 3215/3380 Verifying : texlive-vak-9:svn23431.0-61.fc38.noarch 3216/3380 Verifying : texlive-vancouver-9:svn55423-61.fc38.noarch 3217/3380 Verifying : texlive-variablelm-9:svn46611-61.fc38.noarch 3218/3380 Verifying : texlive-varindex-9:svn32262.2.3-61.fc38.noarch 3219/3380 Verifying : texlive-varsfromjobname-9:svn44154-61.fc38.noa 3220/3380 Verifying : texlive-varwidth-9:svn24104.0.92-61.fc38.noarc 3221/3380 Verifying : texlive-vdmlisting-9:svn56905-61.fc38.noarch 3222/3380 Verifying : texlive-venn-9:svn15878.0-61.fc38.noarch 3223/3380 Verifying : texlive-venndiagram-9:svn47952-61.fc38.noarch 3224/3380 Verifying : texlive-venturisadf-9:svn19444.1.005-61.fc38.n 3225/3380 Verifying : texlive-verbasef-9:svn21922.1.1-61.fc38.noarch 3226/3380 Verifying : texlive-verbatimbox-9:svn33197.3.13-61.fc38.no 3227/3380 Verifying : texlive-verbatimcopy-9:svn15878.0.06-61.fc38.n 3228/3380 Verifying : texlive-verbdef-9:svn17177.0.2-61.fc38.noarch 3229/3380 Verifying : texlive-verbments-9:svn23670.1.2-61.fc38.noarc 3230/3380 Verifying : texlive-verifiche-9:svn57766-61.fc38.noarch 3231/3380 Verifying : texlive-verse-9:svn34017.2.4b-61.fc38.noarch 3232/3380 Verifying : texlive-version-9:svn21920.2.0-61.fc38.noarch 3233/3380 Verifying : texlive-versions-9:svn21921.0.55-61.fc38.noarc 3234/3380 Verifying : texlive-versonotes-9:svn55777-61.fc38.noarch 3235/3380 Verifying : texlive-vertbars-9:svn49429-61.fc38.noarch 3236/3380 Verifying : texlive-vgrid-9:svn32457.0.1-61.fc38.noarch 3237/3380 Verifying : texlive-vhistory-9:svn30080.1.6.1-61.fc38.noar 3238/3380 Verifying : texlive-visualpstricks-doc-9:svn39799-61.fc38. 3239/3380 Verifying : texlive-vmargin-9:svn15878.2.5-61.fc38.noarch 3240/3380 Verifying : texlive-volumes-9:svn15878.1.0-61.fc38.noarch 3241/3380 Verifying : texlive-vpe-9:20210325-52.fc38.noarch 3242/3380 Verifying : texlive-vruler-9:svn21598.2.3-61.fc38.noarch 3243/3380 Verifying : texlive-vtable-9:svn51126-61.fc38.noarch 3244/3380 Verifying : texlive-vwcol-9:svn36254.0.2-61.fc38.noarch 3245/3380 Verifying : texlive-wadalab-9:svn42428-61.fc38.noarch 3246/3380 Verifying : texlive-wallcalendar-9:svn45568-61.fc38.noarch 3247/3380 Verifying : texlive-wallpaper-9:svn15878.1.10-61.fc38.noar 3248/3380 Verifying : texlive-warning-9:svn22028.0.01-61.fc38.noarch 3249/3380 Verifying : texlive-warpcol-9:svn15878.1.0c-61.fc38.noarch 3250/3380 Verifying : texlive-was-9:svn21439.0-61.fc38.noarch 3251/3380 Verifying : texlive-wasy-9:svn53533-61.fc38.noarch 3252/3380 Verifying : texlive-wasy-type1-9:svn53534-61.fc38.noarch 3253/3380 Verifying : texlive-wasysym-9:svn54080-61.fc38.noarch 3254/3380 Verifying : texlive-webquiz-9:20210325-52.fc38.noarch 3255/3380 Verifying : texlive-widetable-9:svn53409-61.fc38.noarch 3256/3380 Verifying : texlive-widows-and-orphans-9:svn58172-61.fc38. 3257/3380 Verifying : texlive-williams-9:svn15878.0-61.fc38.noarch 3258/3380 Verifying : texlive-willowtreebook-9:svn54866-61.fc38.noar 3259/3380 Verifying : texlive-windycity-9:svn59067-61.fc38.noarch 3260/3380 Verifying : texlive-withargs-9:svn52641-61.fc38.noarch 3261/3380 Verifying : texlive-witharrows-9:svn58120-61.fc38.noarch 3262/3380 Verifying : texlive-wordcount-9:20210325-52.fc38.noarch 3263/3380 Verifying : texlive-wordlike-9:svn15878.1.2b-61.fc38.noarc 3264/3380 Verifying : texlive-worksheet-9:svn48423-61.fc38.noarch 3265/3380 Verifying : texlive-worldflags-9:svn59171-61.fc38.noarch 3266/3380 Verifying : texlive-wrapfig-9:svn22048.3.6-61.fc38.noarch 3267/3380 Verifying : texlive-wsemclassic-9:svn31532.1.0.1-61.fc38.n 3268/3380 Verifying : texlive-wsuipa-9:svn25469.0-61.fc38.noarch 3269/3380 Verifying : texlive-wtref-9:svn55558-61.fc38.noarch 3270/3380 Verifying : texlive-xargs-9:svn15878.1.1-61.fc38.noarch 3271/3380 Verifying : texlive-xassoccnt-9:svn55876-61.fc38.noarch 3272/3380 Verifying : texlive-xbmks-9:svn53448-61.fc38.noarch 3273/3380 Verifying : texlive-xcharter-9:svn58755-61.fc38.noarch 3274/3380 Verifying : texlive-xcite-9:svn53486-61.fc38.noarch 3275/3380 Verifying : texlive-xcjk2uni-9:svn54958-61.fc38.noarch 3276/3380 Verifying : texlive-xcntperchap-9:svn54080-61.fc38.noarch 3277/3380 Verifying : texlive-xcolor-material-9:svn42289-61.fc38.noa 3278/3380 Verifying : texlive-xcolor-solarized-9:svn41809-61.fc38.no 3279/3380 Verifying : texlive-xcolor-9:svn41044-61.fc38.noarch 3280/3380 Verifying : texlive-xcomment-9:svn20031.1.3-61.fc38.noarch 3281/3380 Verifying : texlive-xcookybooky-9:svn36435.1.5-61.fc38.noa 3282/3380 Verifying : texlive-xcpdftips-9:svn50449-61.fc38.noarch 3283/3380 Verifying : texlive-xdoc-9:svn15878.prot2.5-61.fc38.noarch 3284/3380 Verifying : texlive-xduthesis-9:svn39694-61.fc38.noarch 3285/3380 Verifying : texlive-xdvi-9:20210325-52.fc38.x86_64 3286/3380 Verifying : texlive-xecjk-9:svn56711-61.fc38.noarch 3287/3380 Verifying : texlive-xellipsis-9:svn47546-61.fc38.noarch 3288/3380 Verifying : texlive-xetex-9:20210325-52.fc38.x86_64 3289/3380 Verifying : texlive-xetexconfig-9:svn45845-61.fc38.noarch 3290/3380 Verifying : texlive-xfakebold-9:svn55654-61.fc38.noarch 3291/3380 Verifying : texlive-xfor-9:svn15878.1.05-61.fc38.noarch 3292/3380 Verifying : texlive-xhfill-9:svn22575.1.01-61.fc38.noarch 3293/3380 Verifying : texlive-xifthen-9:svn38929-61.fc38.noarch 3294/3380 Verifying : texlive-xint-9:svn59161-61.fc38.noarch 3295/3380 Verifying : texlive-xits-9:svn55730-61.fc38.noarch 3296/3380 Verifying : texlive-xkcdcolors-9:svn54512-61.fc38.noarch 3297/3380 Verifying : texlive-xkeyval-9:svn57006-61.fc38.noarch 3298/3380 Verifying : texlive-xltabular-9:svn56855-61.fc38.noarch 3299/3380 Verifying : texlive-xltxtra-9:svn56594-61.fc38.noarch 3300/3380 Verifying : texlive-xmpincl-9:svn15878.2.2-61.fc38.noarch 3301/3380 Verifying : texlive-xmuthesis-9:svn56614-61.fc38.noarch 3302/3380 Verifying : texlive-xnewcommand-9:svn15878.1.2-61.fc38.noa 3303/3380 Verifying : texlive-xoptarg-9:svn15878.1.0-61.fc38.noarch 3304/3380 Verifying : texlive-xpatch-9:svn54563-61.fc38.noarch 3305/3380 Verifying : texlive-xpeek-9:svn27442.0.2-61.fc38.noarch 3306/3380 Verifying : texlive-xpicture-9:svn28770.1.2a-61.fc38.noarc 3307/3380 Verifying : texlive-xpinyin-9:svn56709-61.fc38.noarch 3308/3380 Verifying : texlive-xprintlen-9:svn35928.1.0-61.fc38.noarc 3309/3380 Verifying : texlive-xpunctuate-9:svn26641.1.0-61.fc38.noar 3310/3380 Verifying : texlive-xsavebox-9:svn54097-61.fc38.noarch 3311/3380 Verifying : texlive-xsim-9:svn57619-61.fc38.noarch 3312/3380 Verifying : texlive-xstring-9:svn49946-61.fc38.noarch 3313/3380 Verifying : texlive-xtab-9:svn23347.2.3f-61.fc38.noarch 3314/3380 Verifying : texlive-xunicode-9:svn30466.0.981-61.fc38.noar 3315/3380 Verifying : texlive-xurl-9:svn57265-61.fc38.noarch 3316/3380 Verifying : texlive-xwatermark-9:svn28090.1.5.2d-61.fc38.n 3317/3380 Verifying : texlive-xyling-9:svn15878.1.1-61.fc38.noarch 3318/3380 Verifying : texlive-xymtex-9:svn32182.5.06-61.fc38.noarch 3319/3380 Verifying : texlive-xypic-9:svn31859.3.8.9-61.fc38.noarch 3320/3380 Verifying : texlive-xytree-9:svn15878.1.5-61.fc38.noarch 3321/3380 Verifying : texlive-yafoot-9:svn48568-61.fc38.noarch 3322/3380 Verifying : texlive-yagusylo-9:svn29803.1.2-61.fc38.noarch 3323/3380 Verifying : texlive-yaletter-9:svn42830-61.fc38.noarch 3324/3380 Verifying : texlive-yathesis-9:svn58683-61.fc38.noarch 3325/3380 Verifying : texlive-yazd-thesis-9:svn51725-61.fc38.noarch 3326/3380 Verifying : texlive-ycbook-9:svn46201-61.fc38.noarch 3327/3380 Verifying : texlive-ydoc-9:svn56291-61.fc38.noarch 3328/3380 Verifying : texlive-yfonts-9:svn50755-61.fc38.noarch 3329/3380 Verifying : texlive-yfonts-t1-9:svn36013-61.fc38.noarch 3330/3380 Verifying : texlive-yhmath-9:svn54377-61.fc38.noarch 3331/3380 Verifying : texlive-yinit-otf-9:svn40207-61.fc38.noarch 3332/3380 Verifying : texlive-york-thesis-9:svn23348.3.6-61.fc38.noa 3333/3380 Verifying : texlive-youngtab-9:svn56500-61.fc38.noarch 3334/3380 Verifying : texlive-yplan-9:20210325-52.fc38.noarch 3335/3380 Verifying : texlive-yquant-9:svn58712-61.fc38.noarch 3336/3380 Verifying : texlive-ytableau-9:svn27430.1.3-61.fc38.noarch 3337/3380 Verifying : texlive-zapfchan-9:svn31835.0-61.fc38.noarch 3338/3380 Verifying : texlive-zapfding-9:svn31835.0-61.fc38.noarch 3339/3380 Verifying : texlive-zebra-goodies-9:svn51554-61.fc38.noarc 3340/3380 Verifying : texlive-zed-csp-9:svn17258.0-61.fc38.noarch 3341/3380 Verifying : texlive-zhmetrics-9:svn22207.r206-61.fc38.noar 3342/3380 Verifying : texlive-zhmetrics-uptex-9:svn40728-61.fc38.noa 3343/3380 Verifying : texlive-zhnumber-9:svn54960-61.fc38.noarch 3344/3380 Verifying : texlive-ziffer-9:svn32279.2.1-61.fc38.noarch 3345/3380 Verifying : texlive-zlmtt-9:svn51368-61.fc38.noarch 3346/3380 Verifying : texlive-zootaxa-bst-9:svn50619-61.fc38.noarch 3347/3380 Verifying : texlive-zref-9:svn56611-61.fc38.noarch 3348/3380 Verifying : texlive-zwgetfdate-9:svn15878.0-61.fc38.noarch 3349/3380 Verifying : texlive-zwpagelayout-9:svn53965-61.fc38.noarch 3350/3380 Verifying : tk-1:8.6.12-3.fc37.x86_64 3351/3380 Verifying : tre-0.8.0-37.20140228gitc2f5d13.fc37.x86_64 3352/3380 Verifying : tre-common-0.8.0-37.20140228gitc2f5d13.fc37.no 3353/3380 Verifying : txt2man-1.7.1-3.fc37.noarch 3354/3380 Verifying : urw-base35-bookman-fonts-20200910-15.fc37.noar 3355/3380 Verifying : urw-base35-c059-fonts-20200910-15.fc37.noarch 3356/3380 Verifying : urw-base35-d050000l-fonts-20200910-15.fc37.noa 3357/3380 Verifying : urw-base35-fonts-20200910-15.fc37.noarch 3358/3380 Verifying : urw-base35-fonts-common-20200910-15.fc37.noarc 3359/3380 Verifying : urw-base35-gothic-fonts-20200910-15.fc37.noarc 3360/3380 Verifying : urw-base35-nimbus-mono-ps-fonts-20200910-15.fc 3361/3380 Verifying : urw-base35-nimbus-roman-fonts-20200910-15.fc37 3362/3380 Verifying : urw-base35-nimbus-sans-fonts-20200910-15.fc37. 3363/3380 Verifying : urw-base35-p052-fonts-20200910-15.fc37.noarch 3364/3380 Verifying : urw-base35-standard-symbols-ps-fonts-20200910- 3365/3380 Verifying : urw-base35-z003-fonts-20200910-15.fc37.noarch 3366/3380 Verifying : vim-data-2:9.0.1054-1.fc38.noarch 3367/3380 Verifying : vim-minimal-2:9.0.1054-1.fc38.x86_64 3368/3380 Verifying : vulkan-loader-1.3.231.1-2.fc38.x86_64 3369/3380 Verifying : webkit2gtk4.0-2.39.3-3.fc38.x86_64 3370/3380 Verifying : webrtc-audio-processing-0.3.1-9.fc37.x86_64 3371/3380 Verifying : woff2-1.0.2-15.fc37.x86_64 3372/3380 Verifying : wpebackend-fdo-1.14.0-1.fc38.x86_64 3373/3380 Verifying : xdg-dbus-proxy-0.1.3-2.fc37.x86_64 3374/3380 Verifying : xdg-utils-1.1.3-12.fc37.noarch 3375/3380 Verifying : xkeyboard-config-2.36-3.fc38.noarch 3376/3380 Verifying : xml-common-0.6.3-59.fc37.noarch 3377/3380 Verifying : xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.no 3378/3380 Verifying : xprop-1.2.5-2.fc37.x86_64 3379/3380 Verifying : zlib-devel-1.2.13-1.fc38.x86_64 3380/3380 Installed: ImageMagick-1:6.9.12.70-1.fc38.x86_64 ImageMagick-c++-1:6.9.12.70-1.fc38.x86_64 ImageMagick-libs-1:6.9.12.70-1.fc38.x86_64 LibRaw-0.21.0-1.fc38.x86_64 R-core-4.2.2-5.fc38.x86_64 R-evaluate-0.15-1.fc38.noarch R-glue-1.6.2-1.fc38.x86_64 R-highr-0.9-7.fc38.noarch R-knitr-1.39-1.fc38.noarch R-magrittr-2.0.3-1.fc38.x86_64 R-stringi-1.7.8-1.fc38.x86_64 R-stringr-1.4.0-14.fc38.noarch R-xfun-0.33-1.fc38.x86_64 R-yaml-2.3.5-1.fc38.x86_64 abc-1.01-35.git20220731.fc37.x86_64 abc-libs-1.01-35.git20220731.fc37.x86_64 adobe-mappings-cmap-20190730-4.fc37.noarch adobe-mappings-cmap-deprecated-20190730-4.fc37.noarch adobe-mappings-pdf-20190401-2.fc37.noarch adwaita-cursor-theme-43-1.fc38.noarch adwaita-icon-theme-43-1.fc38.noarch alsa-lib-1.2.8-2.fc38.x86_64 annobin-docs-10.99-1.0.1.fc38.noarch annobin-plugin-gcc-10.99-1.0.1.fc38.x86_64 at-spi2-atk-2.46.0-2.fc38.x86_64 at-spi2-core-2.46.0-2.fc38.x86_64 atk-2.46.0-2.fc38.x86_64 autotrace-0.31.9-2.fc38.x86_64 avahi-libs-0.8-19.fc38.x86_64 biber-2.18-1.fc38.noarch bison-3.8.2-3.fc37.x86_64 bubblewrap-0.5.0-3.fc37.x86_64 cairo-1.17.6-2.fc37.x86_64 cairo-gobject-1.17.6-2.fc37.x86_64 cdparanoia-libs-10.2-40.fc37.x86_64 colord-libs-1.4.6-2.fc38.x86_64 cpp-13.0.0-0.4.fc38.x86_64 crypto-policies-scripts-20221215-1.gita4c31a3.fc38.noarch cups-libs-1:2.4.2-5.fc38.x86_64 dbus-1:1.14.4-1.fc38.x86_64 dbus-broker-32-1.fc37.x86_64 dbus-common-1:1.14.4-1.fc38.noarch dbus-libs-1:1.14.4-1.fc38.x86_64 desktop-file-utils-0.26-7.fc37.x86_64 emacs-filesystem-1:28.1-3.fc37.noarch enchant2-2.3.3-2.fc37.x86_64 expat-2.5.0-1.fc38.x86_64 fdk-aac-free-2.0.0-9.fc37.x86_64 flac-libs-1.4.2-1.fc38.x86_64 flex-2.6.4-11.fc37.x86_64 flexiblas-3.2.1-3.fc38.x86_64 flexiblas-netlib-3.2.1-3.fc38.x86_64 flexiblas-openblas-openmp-3.2.1-3.fc38.x86_64 fontawesome-fonts-1:4.7.0-14.fc37.noarch fontconfig-2.14.1-2.fc38.x86_64 fontforge-20220308-3.fc37.x86_64 fonts-filesystem-1:2.0.5-10.fc38.noarch freetype-2.12.1-3.fc37.x86_64 fribidi-1.0.12-2.fc37.x86_64 gc-8.2.2-1.fc38.x86_64 gcc-13.0.0-0.4.fc38.x86_64 gcc-c++-13.0.0-0.4.fc38.x86_64 gcc-plugin-annobin-13.0.0-0.4.fc38.x86_64 gd-2.3.3-9.fc38.x86_64 gdk-pixbuf2-2.42.10-1.fc38.x86_64 gdk-pixbuf2-modules-2.42.10-1.fc38.x86_64 ghostscript-9.56.1-5.fc38.x86_64 ghostscript-tools-fonts-9.56.1-5.fc38.x86_64 ghostscript-tools-printing-9.56.1-5.fc38.x86_64 giflib-5.2.1-14.fc37.x86_64 glib-networking-2.74.0-1.fc38.x86_64 glib2-2.74.1-2.fc38.x86_64 glibc-devel-2.36.9000-19.fc38.x86_64 glibc-headers-x86-2.36.9000-19.fc38.noarch gnutls-3.7.8-9.fc38.x86_64 google-droid-sans-fonts-20200215-13.fc37.noarch google-noto-fonts-common-20201206^1.git0c78c8329-9.fc38.noarch google-noto-sans-vf-fonts-20201206^1.git0c78c8329-9.fc38.noarch graphene-1.10.6-4.fc37.x86_64 graphite2-1.3.14-10.fc37.x86_64 graphviz-7.0.5-1.fc38.x86_64 groff-base-1.22.4-10.fc37.x86_64 gsettings-desktop-schemas-43.0-1.fc38.x86_64 gsm-1.0.22-1.fc37.x86_64 gssdp-1.6.2-1.fc38.x86_64 gstreamer1-1.20.4-1.fc38.x86_64 gstreamer1-plugins-bad-free-1.20.4-2.fc38.x86_64 gstreamer1-plugins-base-1.20.4-1.fc38.x86_64 gtk-update-icon-cache-3.24.35-2.fc38.x86_64 gtk3-3.24.35-2.fc38.x86_64 gts-0.7.6-43.20121130.fc38.x86_64 guile22-2.2.7-6.fc37.x86_64 gupnp-1.6.3-1.fc38.x86_64 gupnp-igd-1.2.0-7.fc38.x86_64 harfbuzz-6.0.0-1.fc38.x86_64 harfbuzz-icu-6.0.0-1.fc38.x86_64 hicolor-icon-theme-0.17-14.fc37.noarch highway-1.0.2-1.fc38.x86_64 hunspell-1.7.1-1.fc38.x86_64 hunspell-en-US-0.20201207-2.fc38.noarch hunspell-filesystem-1.7.1-1.fc38.x86_64 hwdata-0.365-1.fc38.noarch hyphen-2.8.8-18.fc37.x86_64 imath-3.1.6-1.fc38.x86_64 iso-codes-4.12.0-2.fc38.noarch iverilog-11.0-6.fc38.x86_64 jasper-libs-3.0.6-1.fc37.x86_64 javascriptcoregtk4.0-2.39.3-3.fc38.x86_64 jbig2dec-libs-0.19-7.fc37.x86_64 jbigkit-libs-2.1-24.fc37.x86_64 json-glib-1.6.6-3.fc37.x86_64 kernel-headers-6.1.0-1.fc38.x86_64 lame-libs-3.100-13.fc37.x86_64 langpacks-core-font-en-3.0-27.fc38.noarch lasi-1.1.3-9.fc37.x86_64 lcms2-2.14-1.fc38.x86_64 less-608-1.fc38.x86_64 libEMF-1.0.13-6.fc37.x86_64 libICE-1.0.10-9.fc37.x86_64 libRmath-4.2.2-5.fc38.x86_64 libSM-1.2.3-11.fc37.x86_64 libX11-1.8.1-2.fc38.x86_64 libX11-common-1.8.1-2.fc38.noarch libX11-xcb-1.8.1-2.fc38.x86_64 libXau-1.0.11-1.fc38.x86_64 libXaw-1.0.14-3.fc37.x86_64 libXcomposite-0.4.5-8.fc37.x86_64 libXcursor-1.2.1-2.fc37.x86_64 libXdamage-1.1.5-8.fc37.x86_64 libXext-1.3.5-1.fc38.x86_64 libXfixes-6.0.0-4.fc37.x86_64 libXft-2.3.6-1.fc38.x86_64 libXi-1.8-3.fc37.x86_64 libXinerama-1.1.5-1.fc38.x86_64 libXmu-1.1.4-1.fc38.x86_64 libXpm-3.5.13-8.fc37.x86_64 libXrandr-1.5.2-9.fc37.x86_64 libXrender-0.9.11-1.fc38.x86_64 libXt-1.2.1-3.fc37.x86_64 libXtst-1.2.4-1.fc38.x86_64 libXv-1.0.11-17.fc37.x86_64 libXxf86vm-1.1.5-1.fc38.x86_64 libaom-3.5.0-2.fc38.x86_64 libatomic-13.0.0-0.4.fc38.x86_64 libavif-0.11.1-4.fc38.x86_64 libb2-0.98.1-7.fc37.x86_64 libcloudproviders-0.3.1-6.fc37.x86_64 libdatrie-0.2.13-4.fc37.x86_64 libdav1d-1.0.0-2.fc37.x86_64 libdrm-2.4.114-1.fc38.x86_64 libdvdnav-6.1.1-4.fc37.x86_64 libdvdread-6.1.3-2.fc37.x86_64 libepoxy-1.5.10-2.fc37.x86_64 libevdev-1.13.0-1.fc37.x86_64 libffi-devel-3.4.4-1.fc38.x86_64 libfontenc-1.1.6-1.fc38.x86_64 libgcrypt-1.10.1-6.fc38.x86_64 libgee-0.20.6-1.fc38.x86_64 libgfortran-13.0.0-0.4.fc38.x86_64 libglvnd-1:1.6.0-1.fc38.x86_64 libglvnd-egl-1:1.6.0-1.fc38.x86_64 libglvnd-glx-1:1.6.0-1.fc38.x86_64 libgpg-error-1.46-1.fc38.x86_64 libgs-9.56.1-5.fc38.x86_64 libgudev-237-3.fc37.x86_64 libgusb-0.4.3-1.fc38.x86_64 libicu-71.1-2.fc38.x86_64 libijs-0.35-16.fc37.x86_64 libimagequant-2.17.0-3.fc37.x86_64 libjpeg-turbo-2.1.4-1.fc38.x86_64 libjxl-1:0.7.0-5.fc38.x86_64 liblqr-1-0.4.2-20.fc37.x86_64 libmanette-0.2.6-5.fc37.x86_64 libmarkdown-2.2.7-3.fc38.x86_64 libmpc-1.2.1-5.fc37.x86_64 libnice-0.1.19-3.fc38.x86_64 libogg-2:1.3.5-4.fc37.x86_64 libpaper-1.1.28-5.fc37.x86_64 libpciaccess-0.16-7.fc37.x86_64 libpng-2:1.6.37-13.fc37.x86_64 libproxy-0.4.18-4.fc38.x86_64 libquadmath-13.0.0-0.4.fc38.x86_64 libraqm-0.8.0-2.fc37.x86_64 librsvg2-2.55.1-3.fc38.x86_64 libseccomp-2.5.3-3.fc37.x86_64 libsecret-0.20.5-2.fc37.x86_64 libsndfile-1.1.0-5.fc38.x86_64 libsoup-2.74.3-1.fc38.x86_64 libsoup3-3.2.2-1.fc38.x86_64 libspiro-20221101-1.fc38.x86_64 libsrtp-2.3.0-9.fc37.x86_64 libstdc++-devel-13.0.0-0.4.fc38.x86_64 libstemmer-2.2.0-4.fc38.x86_64 libthai-0.1.29-3.fc37.x86_64 libtheora-1:1.1.1-32.fc37.x86_64 libtiff-4.4.0-4.fc37.x86_64 libtool-ltdl-2.4.7-3.0.1.fc38.x86_64 libtracker-sparql-3.4.2-1.fc38.x86_64 libunwind-1.6.2-5.fc38.x86_64 libusb1-1.0.26-1.fc38.x86_64 libva-2.17.0-1.fc38.x86_64 libvisual-1:0.4.0-36.fc37.x86_64 libvmaf-2.3.0-4.fc38.x86_64 libvorbis-1:1.3.7-6.fc37.x86_64 libwayland-client-1.21.0-1.fc37.x86_64 libwayland-cursor-1.21.0-1.fc37.x86_64 libwayland-egl-1.21.0-1.fc37.x86_64 libwayland-server-1.21.0-1.fc37.x86_64 libwebp-1.2.4-2.fc38.x86_64 libwmf-lite-0.2.12-9.fc37.x86_64 libwpe-1.14.0-1.fc38.x86_64 libxcb-1.13.1-10.fc37.x86_64 libxcrypt-devel-4.4.33-5.fc38.x86_64 libxkbcommon-1.4.1-2.fc37.x86_64 libxshmfence-1.3-11.fc37.x86_64 libxslt-1.1.37-1.fc38.x86_64 libzip-1.9.2-2.fc37.x86_64 lilv-libs-0.24.14-3.fc37.x86_64 m4-1.4.19-4.fc37.x86_64 mailcap-2.1.53-4.fc37.noarch make-1:4.3-11.fc37.x86_64 mesa-filesystem-22.3.1-1.fc38.x86_64 mesa-libEGL-22.3.1-1.fc38.x86_64 mesa-libGL-22.3.1-1.fc38.x86_64 mesa-libgbm-22.3.1-1.fc38.x86_64 mesa-libglapi-22.3.1-1.fc38.x86_64 mkfontscale-1.2.2-2.fc37.x86_64 mpdecimal-2.5.1-4.fc37.x86_64 mpg123-libs-1.31.1-3.fc38.x86_64 ncurses-6.3-5.20221126.fc38.x86_64 ncurses-c++-libs-6.3-5.20221126.fc38.x86_64 ncurses-devel-6.3-5.20221126.fc38.x86_64 netpbm-11.00.00-2.fc38.x86_64 nspr-4.35.0-2.fc38.x86_64 nss-3.85.0-1.fc38.x86_64 nss-softokn-3.85.0-1.fc38.x86_64 nss-softokn-freebl-3.85.0-1.fc38.x86_64 nss-sysinit-3.85.0-1.fc38.x86_64 nss-util-3.85.0-1.fc38.x86_64 oldstandard-sfd-fonts-2.0.2-32.fc37.noarch openblas-0.3.21-4.fc38.x86_64 openblas-openmp-0.3.21-4.fc38.x86_64 openexr-libs-3.1.5-2.fc37.x86_64 openjpeg2-2.5.0-2.fc37.x86_64 opus-1.3.1-11.fc38.x86_64 orc-0.4.33-1.fc38.x86_64 pango-1.50.12-1.fc38.x86_64 pcre-8.45-1.fc37.2.x86_64 pdfpc-4.5.0-8.fc37.x86_64 perl-Authen-SASL-2.16-28.fc37.noarch perl-AutoLoader-5.74-492.fc38.noarch perl-B-1.83-492.fc38.x86_64 perl-B-Hooks-EndOfScope-0.26-4.fc37.noarch perl-BibTeX-Parser-1.03-6.fc37.noarch perl-Bit-Vector-7.4-28.fc38.x86_64 perl-Business-ISBN-3.007-4.fc37.noarch perl-Business-ISBN-Data-20210112.006-6.fc37.noarch perl-Business-ISMN-1.202-6.fc37.noarch perl-Business-ISSN-1.005-3.fc37.noarch perl-Carp-1.52-489.fc37.noarch perl-Carp-Clan-6.08-13.fc37.noarch perl-Class-Accessor-0.51-16.fc37.noarch perl-Class-Data-Inheritable-0.09-4.fc37.noarch perl-Class-Inspector-1.36-12.fc38.noarch perl-Class-Method-Modifiers-2.13-13.fc37.noarch perl-Class-Singleton-1.6-7.fc37.noarch perl-Class-Struct-0.66-492.fc38.noarch perl-Clone-0.46-1.fc38.x86_64 perl-Compress-Raw-Bzip2-2.201-2.fc37.x86_64 perl-Compress-Raw-Zlib-2.202-4.fc38.x86_64 perl-Convert-ASN1-0.33-4.fc37.noarch perl-Crypt-RC4-2.02-33.fc37.noarch perl-Data-Compare-1.27-10.fc37.noarch perl-Data-Dump-1.25-5.fc37.noarch perl-Data-Dumper-2.184-490.fc37.x86_64 perl-Data-OptList-0.112-5.fc37.noarch perl-Data-Uniqid-0.12-29.fc37.noarch perl-Date-Calc-6.4-23.fc37.noarch perl-Date-ISO8601-0.005-16.fc37.noarch perl-Date-Manip-6.90-1.fc38.noarch perl-DateTime-2:1.59-1.fc38.x86_64 perl-DateTime-Calendar-Julian-0.107-3.fc37.noarch perl-DateTime-Format-Builder-0.8300-8.fc37.noarch perl-DateTime-Format-Strptime-1:1.79-6.fc37.noarch perl-DateTime-Locale-1.37-1.fc38.noarch perl-DateTime-TimeZone-2.57-1.fc38.noarch perl-DateTime-TimeZone-SystemV-0.010-17.fc37.noarch perl-DateTime-TimeZone-Tzfile-0.011-17.fc37.noarch perl-Devel-CallChecker-0.008-18.fc38.x86_64 perl-Devel-Caller-2.06-29.fc37.x86_64 perl-Devel-GlobalDestruction-0.14-19.fc37.noarch perl-Devel-LexAlias-0.05-30.fc37.x86_64 perl-Devel-StackTrace-1:2.04-14.fc38.noarch perl-Digest-1.20-489.fc37.noarch perl-Digest-HMAC-1.04-6.fc37.noarch perl-Digest-MD4-1.9-32.fc37.x86_64 perl-Digest-MD5-2.58-489.fc37.x86_64 perl-Digest-Perl-MD5-1.9-27.fc37.noarch perl-Digest-SHA-1:6.03-1.fc37.x86_64 perl-Digest-SHA1-2.13-37.fc37.x86_64 perl-Dist-CheckConflicts-0.11-26.fc37.noarch perl-DynaLoader-1.52-492.fc38.x86_64 perl-DynaLoader-Functions-0.003-17.fc38.noarch perl-Email-Date-Format-1.005-23.fc37.noarch perl-Encode-4:3.19-492.fc38.x86_64 perl-Encode-Locale-1.05-25.fc38.noarch perl-English-1.11-492.fc38.noarch perl-Errno-1.36-492.fc38.x86_64 perl-Eval-Closure-0.14-19.fc37.noarch perl-Exception-Class-1.45-6.fc37.noarch perl-Exporter-5.77-489.fc37.noarch perl-ExtUtils-MM-Utils-2:7.64-490.fc37.noarch perl-Fcntl-1.15-492.fc38.x86_64 perl-File-Basename-2.85-492.fc38.noarch perl-File-Compare-1.100.700-492.fc38.noarch perl-File-Copy-2.39-492.fc38.noarch perl-File-Find-1.40-492.fc38.noarch perl-File-Find-Rule-0.34-24.fc38.noarch perl-File-Listing-6.15-4.fc38.noarch perl-File-Path-2.18-489.fc37.noarch perl-File-ShareDir-1.118-7.fc37.noarch perl-File-Slurper-0.014-1.fc38.noarch perl-File-Temp-1:0.231.100-489.fc37.noarch perl-File-Which-1.27-6.fc37.noarch perl-File-stat-1.12-492.fc38.noarch perl-FileHandle-2.03-492.fc38.noarch perl-Filter-2:1.64-1.fc38.x86_64 perl-FindBin-1.53-492.fc38.noarch perl-GSSAPI-0.28-40.fc37.x86_64 perl-Getopt-Long-1:2.54-1.fc38.noarch perl-Getopt-Std-1.13-492.fc38.noarch perl-HTML-Parser-3.80-1.fc38.x86_64 perl-HTML-Tagset-3.20-52.fc37.noarch perl-HTTP-Cookies-6.10-8.fc38.noarch perl-HTTP-Date-6.05-11.fc38.noarch perl-HTTP-Message-6.44-1.fc38.noarch perl-HTTP-Negotiate-6.01-34.fc38.noarch perl-HTTP-Tiny-0.082-1.fc37.noarch perl-Hash-Util-FieldHash-1.26-492.fc38.x86_64 perl-I18N-LangTags-0.45-492.fc38.noarch perl-I18N-Langinfo-0.21-492.fc38.x86_64 perl-IO-1.50-492.fc38.x86_64 perl-IO-Compress-2.201-3.fc37.noarch perl-IO-HTML-1.004-9.fc38.noarch perl-IO-Socket-IP-0.41-490.fc37.noarch perl-IO-Socket-SSL-2.078-1.fc38.noarch perl-IO-String-1.08-46.fc37.noarch perl-IO-stringy-2.113-10.fc37.noarch perl-IPC-Cmd-2:1.04-490.fc37.noarch perl-IPC-Open3-1.22-492.fc38.noarch perl-IPC-Run3-0.048-27.fc38.noarch perl-IPC-SysV-2.09-490.fc37.x86_64 perl-IPC-System-Simple-1.30-9.fc37.noarch perl-JSON-4.10-1.fc38.noarch perl-Jcode-2.07-39.fc37.noarch perl-LDAP-1:0.68-8.fc37.noarch perl-LWP-MediaTypes-6.04-13.fc38.noarch perl-LWP-Protocol-https-6.10-8.fc38.noarch perl-LaTeX-ToUnicode-0.11-7.fc37.noarch perl-Lingua-Translit-0.29-2.fc37.noarch perl-List-AllUtils-0.19-8.fc38.noarch perl-List-SomeUtils-0.59-1.fc38.noarch perl-List-UtilsBy-0.12-5.fc38.noarch perl-Locale-Maketext-1.32-1.fc38.noarch perl-Locale-Maketext-Simple-1:0.21-492.fc38.noarch perl-Log-Dispatch-2.70-9.fc38.noarch perl-Log-Dispatch-FileRotate-1.38-5.fc37.noarch perl-Log-Log4perl-1.57-1.fc38.noarch perl-MIME-Base64-3.16-489.fc37.x86_64 perl-MIME-Charset-1.013.1-1.fc38.noarch perl-MIME-Lite-3.033-5.fc37.noarch perl-MIME-Types-2.24-1.fc38.noarch perl-MRO-Compat-0.15-4.fc37.noarch perl-Mail-Sender-1:0.903-19.fc37.noarch perl-Mail-Sendmail-0.80-16.fc37.noarch perl-MailTools-2.21-12.fc37.noarch perl-Math-BigInt-1:1.9998.37-2.fc37.noarch perl-Math-BigRat-0.2624-2.fc37.noarch perl-Math-Complex-1.59-492.fc38.noarch perl-Module-CoreList-1:5.20221220-1.fc38.noarch perl-Module-Implementation-0.09-35.fc37.noarch perl-Module-Load-1:0.36-489.fc37.noarch perl-Module-Load-Conditional-0.74-489.fc37.noarch perl-Module-Metadata-1.000037-489.fc37.noarch perl-Module-Runtime-0.016-18.fc38.noarch perl-Mozilla-CA-20221114-1.fc38.noarch perl-NTLM-1.09-33.fc37.noarch perl-Net-HTTP-6.22-4.fc38.noarch perl-Net-SMTP-SSL-1.04-19.fc37.noarch perl-Net-SSLeay-1.92-4.fc37.x86_64 perl-Number-Compare-0.03-34.fc38.noarch perl-OLE-Storage_Lite-0.20-10.fc37.noarch perl-Opcode-1.57-492.fc38.x86_64 perl-POSIX-2.03-492.fc38.x86_64 perl-Package-Generator-1.106-26.fc37.noarch perl-Package-Stash-0.40-3.fc37.noarch perl-Package-Stash-XS-0.30-2.fc37.x86_64 perl-PadWalker-2.5-7.fc37.x86_64 perl-Params-Check-1:0.38-489.fc37.noarch perl-Params-Classify-0.015-17.fc37.x86_64 perl-Params-Util-1.102-9.fc38.x86_64 perl-Params-Validate-1.31-2.fc38.x86_64 perl-Params-ValidationCompiler-0.30-17.fc37.noarch perl-Parse-RecDescent-1.967015-18.fc37.noarch perl-PathTools-3.84-489.fc37.x86_64 perl-Pod-Escapes-1:1.07-489.fc37.noarch perl-Pod-Html-1.33-492.fc38.noarch perl-Pod-Perldoc-3.28.01-490.fc37.noarch perl-Pod-Simple-1:3.43-490.fc37.noarch perl-Pod-Usage-4:2.03-3.fc37.noarch perl-Ref-Util-0.204-15.fc37.noarch perl-Ref-Util-XS-0.117-16.fc37.x86_64 perl-Regexp-Common-2017060201-20.fc38.noarch perl-Role-Tiny-2.002004-7.fc37.noarch perl-Safe-2.43-492.fc38.noarch perl-Scalar-List-Utils-5:1.63-489.fc38.x86_64 perl-SelectSaver-1.02-492.fc38.noarch perl-Socket-4:2.036-1.fc38.x86_64 perl-Sort-Key-1.33-25.fc37.x86_64 perl-Specio-0.48-2.fc37.noarch perl-Spreadsheet-ParseExcel-0.6500-33.fc37.x86_64 perl-Spreadsheet-WriteExcel-2.40-26.fc37.noarch perl-Storable-1:3.26-489.fc37.x86_64 perl-Sub-Exporter-0.988-5.fc37.noarch perl-Sub-Exporter-Progressive-0.001013-19.fc37.noarch perl-Sub-Identify-0.14-20.fc37.x86_64 perl-Sub-Install-0.928-33.fc37.noarch perl-Symbol-1.09-492.fc38.noarch perl-Sys-Hostname-1.24-492.fc38.x86_64 perl-Sys-Syslog-0.36-490.fc37.x86_64 perl-Term-ANSIColor-5.01-490.fc37.noarch perl-Term-Cap-1.17-489.fc37.noarch perl-Text-Balanced-2.06-2.fc37.noarch perl-Text-BibTeX-0.88-12.fc37.x86_64 perl-Text-CSV-2.02-1.fc38.noarch perl-Text-CSV_XS-1.48-3.fc37.x86_64 perl-Text-Glob-0.11-19.fc38.noarch perl-Text-ParseWords-3.31-489.fc37.noarch perl-Text-Roman-3.5-23.fc37.noarch perl-Text-Soundex-3.05-24.fc38.x86_64 perl-Text-Tabs+Wrap-2021.0814-489.fc37.noarch perl-Text-Unidecode-1.30-19.fc37.noarch perl-Tie-4.6-492.fc38.noarch perl-Tie-Cycle-1.227-4.fc37.noarch perl-Tie-RefHash-1.40-489.fc37.noarch perl-Time-HiRes-4:1.9770-489.fc37.x86_64 perl-Time-Local-2:1.300-489.fc37.noarch perl-TimeDate-1:2.33-9.fc37.noarch perl-Try-Tiny-0.31-4.fc37.noarch perl-UNIVERSAL-isa-1.20171012-15.fc37.noarch perl-URI-5.17-1.fc38.noarch perl-Unicode-Collate-1.31-489.fc37.x86_64 perl-Unicode-LineBreak-2019.001-14.fc37.x86_64 perl-Unicode-Map-0.112-58.fc37.x86_64 perl-Unicode-Normalize-1.31-489.fc37.x86_64 perl-Unicode-UCD-0.78-492.fc38.noarch perl-Variable-Magic-0.63-1.fc38.x86_64 perl-WWW-RobotRules-6.02-35.fc38.noarch perl-XML-LibXML-1:2.0208-1.fc38.x86_64 perl-XML-LibXML-Simple-1.01-10.fc37.noarch perl-XML-LibXSLT-2.002.000-3.fc37.x86_64 perl-XML-NamespaceSupport-1.12-18.fc37.noarch perl-XML-Parser-2.46-12.fc37.x86_64 perl-XML-SAX-1.02-11.fc37.noarch perl-XML-SAX-Base-1.09-18.fc37.noarch perl-XML-Writer-0.900-9.fc38.noarch perl-XML-XPath-1.48-2.fc38.noarch perl-XString-0.005-7.fc37.x86_64 perl-autodie-2.34-490.fc37.noarch perl-autouse-1.11-492.fc38.noarch perl-autovivification-0.18-17.fc37.x86_64 perl-base-2.27-492.fc38.noarch perl-constant-1.33-490.fc37.noarch perl-deprecate-0.04-492.fc38.noarch perl-encoding-4:3.00-492.fc38.x86_64 perl-if-0.61.000-492.fc38.noarch perl-interpreter-4:5.36.0-492.fc38.x86_64 perl-lib-0.65-492.fc38.x86_64 perl-libnet-3.14-490.fc37.noarch perl-libs-4:5.36.0-492.fc38.x86_64 perl-libwww-perl-6.67-3.fc38.noarch perl-locale-1.10-492.fc38.noarch perl-meta-notation-5.36.0-492.fc38.noarch perl-mro-1.26-492.fc38.x86_64 perl-namespace-autoclean-0.29-13.fc37.noarch perl-namespace-clean-0.27-21.fc37.noarch perl-open-1.13-492.fc38.noarch perl-overload-1.35-492.fc38.noarch perl-overloading-0.02-492.fc38.noarch perl-parent-1:0.239-1.fc38.noarch perl-podlators-1:5.00-1.fc38.noarch perl-sigtrap-1.10-492.fc38.noarch perl-subs-1.04-492.fc38.noarch perl-vars-1.05-492.fc38.noarch perl-version-8:0.99.29-490.fc37.x86_64 perltidy-20221112-1.fc38.noarch pixman-0.40.0-6.fc37.x86_64 plotutils-2.6-29.fc37.x86_64 poppler-22.08.0-4.fc38.x86_64 poppler-data-0.4.11-3.fc37.noarch poppler-glib-22.08.0-4.fc38.x86_64 pstoedit-3.78-5.fc37.x86_64 python-pip-wheel-22.3.1-1.fc38.noarch python-setuptools-wheel-65.5.1-1.fc38.noarch python-unversioned-command-3.11.1-1.fc38.noarch python3-3.11.1-1.fc38.x86_64 python3-libs-3.11.1-1.fc38.x86_64 python3-pygments-2.13.0-1.fc38.noarch rav1e-libs-0.5.1-5.fc37.x86_64 readline-devel-8.2-2.fc38.x86_64 ruby-3.1.3-175.fc38.x86_64 ruby-libs-3.1.3-175.fc38.x86_64 rubypick-1.1.1-17.fc37.noarch serd-0.30.12-2.fc37.x86_64 shared-mime-info-2.2-2.fc37.x86_64 sombok-2.4.0-17.fc37.x86_64 sord-0.16.10-1.fc37.x86_64 soundtouch-2.3.1-3.fc37.x86_64 sratom-0.6.10-2.fc37.x86_64 svt-av1-libs-1.4.1-1.fc38.x86_64 tcl-1:8.6.12-3.fc38.x86_64 tcl-devel-1:8.6.12-3.fc38.x86_64 teckit-2.5.9-9.fc37.x86_64 tex-preview-13.1-2.fc37.noarch texlive-12many-9:svn15878.0.3-61.fc38.noarch texlive-2up-9:svn55076-61.fc38.noarch texlive-ESIEEcv-9:svn15878.0-61.fc38.noarch texlive-GS1-9:svn44822-61.fc38.noarch texlive-HA-prosper-9:svn15878.4.21-61.fc38.noarch texlive-IEEEconf-9:svn15878.1.4-61.fc38.noarch texlive-IEEEtran-9:svn51065-61.fc38.noarch texlive-SIstyle-9:svn54080-61.fc38.noarch texlive-SIunits-9:svn15878.1.36-61.fc38.noarch texlive-Tabbing-9:svn17022.0-61.fc38.noarch texlive-a0poster-9:svn54071-61.fc38.noarch texlive-a4wide-9:svn20943.0-61.fc38.noarch texlive-a5comb-9:svn17020.4-61.fc38.noarch texlive-aaai-named-9:svn52470-61.fc38.noarch texlive-aastex-9:svn58057-61.fc38.noarch texlive-abnt-9:svn55471-61.fc38.noarch texlive-abntex2-9:svn49248-61.fc38.noarch texlive-abraces-9:svn58761-61.fc38.noarch texlive-abstract-9:svn15878.1.2a-61.fc38.noarch texlive-academicons-9:svn56119-61.fc38.noarch texlive-accanthis-9:svn32089.0-61.fc38.noarch texlive-accents-9:svn51497-61.fc38.noarch texlive-accessibility-9:svn55777-61.fc38.noarch texlive-accsupp-9:svn53052-61.fc38.noarch texlive-achemso-9:svn57479-61.fc38.noarch texlive-acmart-9:svn58893-61.fc38.noarch texlive-acmconf-9:svn15878.1.3-61.fc38.noarch texlive-acro-9:svn57447-61.fc38.noarch texlive-acronym-9:svn54758-61.fc38.noarch texlive-acroterm-9:svn20498.0.1-61.fc38.noarch texlive-active-conf-9:svn15878.0.3a-61.fc38.noarch texlive-actuarialangle-9:svn51376-61.fc38.noarch texlive-actuarialsymbol-9:svn54080-61.fc38.noarch texlive-addfont-9:svn58559-61.fc38.noarch texlive-addlines-9:svn49326-61.fc38.noarch texlive-adfathesis-9:svn26048.2.42-61.fc38.noarch texlive-adforn-9:svn54512-61.fc38.noarch texlive-adfsymbols-9:svn54512-61.fc38.noarch texlive-adigraph-9:svn49862-61.fc38.noarch texlive-adjmulticol-9:svn54157-61.fc38.noarch texlive-adjustbox-9:svn56291-61.fc38.noarch texlive-adobemapping-9:svn51787-61.fc38.noarch texlive-adrconv-9:svn46817-61.fc38.noarch texlive-advdate-9:svn20538.0-61.fc38.noarch texlive-ae-9:svn15878.1.4-61.fc38.noarch texlive-aeguill-9:svn15878.0-61.fc38.noarch texlive-aesupp-9:svn58253-61.fc38.noarch texlive-afparticle-9:svn35900.1.3-61.fc38.noarch texlive-afthesis-9:svn15878.2.7-61.fc38.noarch texlive-aguplus-9:svn17156.1.6b-61.fc38.noarch texlive-aiaa-9:svn15878.3.6-61.fc38.noarch texlive-aichej-9:svn15878.0-61.fc38.noarch texlive-ajl-9:svn34016.0-61.fc38.noarch texlive-akktex-9:svn26055.0.3.2-61.fc38.noarch texlive-akletter-9:svn15878.1.5i-61.fc38.noarch texlive-alegreya-9:svn54512-61.fc38.noarch texlive-alertmessage-9:svn38055.1.1-61.fc38.noarch texlive-alg-9:svn15878.0-61.fc38.noarch texlive-algobox-9:svn52204-61.fc38.noarch texlive-algolrevived-9:svn56864-61.fc38.noarch texlive-algorithm2e-9:svn44846-61.fc38.noarch texlive-algorithmicx-9:svn15878.0-61.fc38.noarch texlive-algorithms-9:svn42428-61.fc38.noarch texlive-algpseudocodex-9:svn56125-61.fc38.noarch texlive-algxpar-9:svn56006-61.fc38.noarch texlive-aligned-overset-9:svn47290-61.fc38.noarch texlive-allrunes-9:svn42221-61.fc38.noarch texlive-almendra-9:svn56035-61.fc38.noarch texlive-almfixed-9:svn35065.0.92-61.fc38.noarch texlive-alnumsec-9:svn15878.v0.03-61.fc38.noarch texlive-alphalph-9:svn53087-61.fc38.noarch texlive-altfont-9:svn15878.1.1-61.fc38.noarch texlive-ametsoc-9:svn36030.4.3.2-61.fc38.noarch texlive-amsaddr-9:svn29630.1.1-61.fc38.noarch texlive-amscdx-9:svn51532-61.fc38.noarch texlive-amscls-9:svn55378-61.fc38.noarch texlive-amsfonts-9:svn29208.3.04-61.fc38.noarch texlive-amsmath-9:svn56514-61.fc38.noarch texlive-amsrefs-9:svn30646.2.14-61.fc38.noarch texlive-amstex-9:20210325-52.fc38.noarch texlive-animate-9:svn56583-61.fc38.noarch texlive-annotate-9:svn52824-61.fc38.noarch texlive-anonchap-9:svn17049.1.1a-61.fc38.noarch texlive-anonymous-acm-9:svn55121-61.fc38.noarch texlive-anonymouspro-9:svn51631-61.fc38.noarch texlive-answers-9:svn35032.2.16-61.fc38.noarch texlive-antiqua-9:svn24266.001.003-61.fc38.noarch texlive-antt-9:svn18651.2.08-61.fc38.noarch texlive-anufinalexam-doc-9:svn26053.0-61.fc38.noarch texlive-anyfontsize-9:svn17050.0-61.fc38.noarch texlive-anysize-9:svn15878.0-61.fc38.noarch texlive-aobs-tikz-9:svn32662.1.0-61.fc38.noarch texlive-aomart-9:svn58855-61.fc38.noarch texlive-apa-9:svn54080-61.fc38.noarch texlive-apa6-9:svn53406-61.fc38.noarch texlive-apa6e-9:svn23350.0.3-61.fc38.noarch texlive-apa7-9:svn58835-61.fc38.noarch texlive-apacite-9:svn54080-61.fc38.noarch texlive-apalike2-9:svn54080-61.fc38.noarch texlive-appendix-9:svn53718-61.fc38.noarch texlive-appendixnumberbeamer-9:svn46317-61.fc38.noarch texlive-apptools-9:svn28400.1.0-61.fc38.noarch texlive-apxproof-9:svn56673-61.fc38.noarch texlive-arabicfront-9:svn51474-61.fc38.noarch texlive-archaeologie-9:svn57090-61.fc38.noarch texlive-archaic-9:svn38005.0-61.fc38.noarch texlive-arcs-9:svn15878.1-61.fc38.noarch texlive-arev-9:svn15878.0-61.fc38.noarch texlive-arimo-9:svn42880-61.fc38.noarch texlive-arphic-9:svn15878.0-61.fc38.noarch texlive-arraycols-9:svn56997-61.fc38.noarch texlive-arrayjobx-9:svn18125.1.04-61.fc38.noarch texlive-arraysort-9:svn31576.1.0-61.fc38.noarch texlive-arsclassica-9:svn45656-61.fc38.noarch texlive-articleingud-9:svn38741-61.fc38.noarch texlive-arydshln-9:svn50084-61.fc38.noarch texlive-asaetr-9:svn15878.1.0a-61.fc38.noarch texlive-asana-math-9:svn50999-61.fc38.noarch texlive-asapsym-9:svn40201-61.fc38.noarch texlive-ascelike-9:svn29129.2.3-61.fc38.noarch texlive-ascii-font-9:svn29989.2.0-61.fc38.noarch texlive-asciilist-9:svn49060-61.fc38.noarch texlive-ascmac-9:svn53411-61.fc38.noarch texlive-askinclude-9:svn54725-61.fc38.noarch texlive-askmaps-9:svn56730-61.fc38.noarch texlive-asmeconf-9:svn57833-61.fc38.noarch texlive-asmejour-9:svn57598-61.fc38.noarch texlive-aspectratio-9:svn25243.2.0-61.fc38.noarch texlive-assignment-9:svn20431.0-61.fc38.noarch texlive-assoccnt-9:svn38497-61.fc38.noarch texlive-association-matrix-9:svn56759-61.fc38.noarch texlive-astro-9:svn15878.2.20-61.fc38.noarch texlive-asyfig-9:svn17512.0.1c-61.fc38.noarch texlive-asypictureb-9:svn33490.0.3-61.fc38.noarch texlive-atbegshi-9:svn53051-61.fc38.noarch texlive-atenddvi-9:svn56922-61.fc38.noarch texlive-atkinson-9:svn57624-61.fc38.noarch texlive-attachfile-9:svn42099-61.fc38.noarch texlive-attachfile2-9:20210325-52.fc38.noarch texlive-atveryend-9:svn53108-61.fc38.noarch texlive-aucklandthesis-9:svn51323-61.fc38.noarch texlive-augie-9:svn18948.0-61.fc38.noarch texlive-auncial-new-9:svn15878.2.0-61.fc38.noarch texlive-aurical-9:svn15878.1.5-61.fc38.noarch texlive-aurl-9:svn41853-61.fc38.noarch texlive-authoraftertitle-9:svn55889-61.fc38.noarch texlive-authorarchive-9:svn54512-61.fc38.noarch texlive-authordate-9:svn52564-61.fc38.noarch texlive-authorindex-9:20210325-52.fc38.noarch texlive-auto-pst-pdf-9:svn56596-61.fc38.noarch texlive-autoarea-9:svn15878.0.3a-61.fc38.noarch texlive-autobreak-9:svn43337-61.fc38.noarch texlive-autofancyhdr-9:svn54049-61.fc38.noarch texlive-autonum-9:svn36084.0.3.11-61.fc38.noarch texlive-autopdf-9:svn32377.1.1-61.fc38.noarch texlive-auxhook-9:svn53173-61.fc38.noarch texlive-avantgar-9:svn31835.0-61.fc38.noarch texlive-avremu-9:svn35373.0.1-61.fc38.noarch texlive-axessibility-9:svn57105-61.fc38.noarch texlive-axodraw2-9:20210325-52.fc38.x86_64 texlive-b1encoding-9:svn21271.1.0-61.fc38.noarch texlive-babel-9:svn58999-61.fc38.noarch texlive-babel-english-9:svn44495-61.fc38.noarch texlive-babelbib-9:svn57349-61.fc38.noarch texlive-background-9:svn42428-61.fc38.noarch texlive-backnaur-9:svn54080-61.fc38.noarch texlive-bangorcsthesis-9:svn48834-61.fc38.noarch texlive-bangorexam-9:svn46626-61.fc38.noarch texlive-bankstatement-9:svn38857-61.fc38.noarch texlive-barcodes-9:svn15878.0-61.fc38.noarch texlive-bardiag-9:svn22013.0.4a-61.fc38.noarch texlive-base-9:20210325-52.fc38.x86_64 texlive-bashful-9:svn25597.0.93-61.fc38.noarch texlive-basicarith-9:svn35460.1.1-61.fc38.noarch texlive-baskervald-9:svn19490.1.016-61.fc38.noarch texlive-baskervaldx-9:svn57080-61.fc38.noarch texlive-baskervillef-9:svn55475-61.fc38.noarch texlive-bath-bst-9:svn57925-61.fc38.noarch texlive-bbding-9:svn17186.1.01-61.fc38.noarch texlive-bbm-9:svn15878.0-61.fc38.noarch texlive-bbm-macros-9:svn17224.0-61.fc38.noarch texlive-bbold-9:svn17187.1.01-61.fc38.noarch texlive-bbold-type1-9:svn33143.0-61.fc38.noarch texlive-bchart-9:svn43928-61.fc38.noarch texlive-beamer-9:svn58537-61.fc38.noarch texlive-beamer-FUBerlin-doc-9:svn38159.0.02b-61.fc38.noarch texlive-beamer-rl-9:svn58513-61.fc38.noarch texlive-beamer-verona-9:svn39180-61.fc38.noarch texlive-beamer2thesis-9:svn27539.2.2-61.fc38.noarch texlive-beamerappendixnote-9:svn55732-61.fc38.noarch texlive-beameraudience-9:svn23427.0.1-61.fc38.noarch texlive-beamerauxtheme-9:svn56087-61.fc38.noarch texlive-beamercolorthemeowl-9:svn40105-61.fc38.noarch texlive-beamerdarkthemes-9:svn55117-61.fc38.noarch texlive-beamerposter-9:svn54512-61.fc38.noarch texlive-beamersubframe-9:svn23510.0.2-61.fc38.noarch texlive-beamerswitch-9:svn58873-61.fc38.noarch texlive-beamertheme-cuerna-9:svn42161-61.fc38.noarch texlive-beamertheme-detlevcm-9:svn39048-61.fc38.noarch texlive-beamertheme-epyt-9:svn41404-61.fc38.noarch texlive-beamertheme-focus-9:svn56967-61.fc38.noarch texlive-beamertheme-light-9:svn49867-61.fc38.noarch texlive-beamertheme-metropolis-9:svn43031-61.fc38.noarch texlive-beamertheme-npbt-9:svn54512-61.fc38.noarch texlive-beamertheme-phnompenh-9:svn39100-61.fc38.noarch texlive-beamertheme-pure-minimalistic-9:svn56934-61.fc38.noarch texlive-beamertheme-saintpetersburg-9:svn45877-61.fc38.noarch texlive-beamertheme-trigon-9:svn59004-61.fc38.noarch texlive-beamertheme-upenn-bc-9:svn29937.1.0-61.fc38.noarch texlive-beamerthemejltree-9:svn21977.1.1-61.fc38.noarch texlive-beamerthemelalic-9:svn58777-61.fc38.noarch texlive-beamerthemenirma-9:svn20765.0.1-61.fc38.noarch texlive-beamerthemenord-9:svn56180-61.fc38.noarch texlive-bearwear-9:svn54826-61.fc38.noarch texlive-beaulivre-9:svn59320-61.fc38.noarch texlive-beebe-9:svn58983-61.fc38.noarch texlive-begriff-9:svn15878.1.6-61.fc38.noarch texlive-beilstein-9:svn56193-61.fc38.noarch texlive-belleek-9:svn18651.0-61.fc38.noarch texlive-bera-9:svn20031.0-61.fc38.noarch texlive-berenisadf-9:svn32215.1.004-61.fc38.noarch texlive-besjournals-9:svn45662-61.fc38.noarch texlive-bestpapers-9:svn38708-61.fc38.noarch texlive-beton-9:svn15878.0-61.fc38.noarch texlive-beuron-9:svn46374-61.fc38.noarch texlive-bewerbung-9:svn56998-61.fc38.noarch texlive-bez123-9:svn15878.1.1b-61.fc38.noarch texlive-bgteubner-9:svn54080-61.fc38.noarch texlive-bguq-9:svn27401.0.4-61.fc38.noarch texlive-bhcexam-9:svn39041-61.fc38.noarch texlive-bib2gls-9:20210325-52.fc38.noarch texlive-bibarts-9:svn54080-61.fc38.noarch texlive-bibexport-9:20210325-52.fc38.noarch texlive-bibhtml-9:svn31607.2.0.2-61.fc38.noarch texlive-biblatex-9:svn63878-61.fc38.noarch texlive-biblatex-abnt-9:svn49179-61.fc38.noarch texlive-biblatex-ajc2020unofficial-9:svn54401-61.fc38.noarch texlive-biblatex-anonymous-9:svn48548-61.fc38.noarch texlive-biblatex-apa-9:svn56208-61.fc38.noarch texlive-biblatex-apa6-9:svn56209-61.fc38.noarch texlive-biblatex-archaeology-9:svn53281-61.fc38.noarch texlive-biblatex-arthistory-bonn-9:svn46637-61.fc38.noarch texlive-biblatex-bath-9:svn57924-61.fc38.noarch texlive-biblatex-bookinarticle-9:svn40323-61.fc38.noarch texlive-biblatex-bookinother-9:svn54015-61.fc38.noarch texlive-biblatex-bwl-9:svn26556.0.02-61.fc38.noarch texlive-biblatex-caspervector-9:svn56837-61.fc38.noarch texlive-biblatex-chem-9:svn57904-61.fc38.noarch texlive-biblatex-chicago-9:svn58715-61.fc38.noarch texlive-biblatex-claves-9:svn43723-61.fc38.noarch texlive-biblatex-dw-9:svn42649-61.fc38.noarch texlive-biblatex-enc-9:svn44627-61.fc38.noarch texlive-biblatex-ext-9:svn58975-61.fc38.noarch texlive-biblatex-fiwi-9:svn45876-61.fc38.noarch texlive-biblatex-gb7714-2015-9:svn59101-61.fc38.noarch texlive-biblatex-german-legal-9:svn56939-61.fc38.noarch texlive-biblatex-gost-9:svn59135-61.fc38.noarch texlive-biblatex-historian-9:svn19787.0.4-61.fc38.noarch texlive-biblatex-ieee-9:svn58716-61.fc38.noarch texlive-biblatex-ijsra-9:svn41634-61.fc38.noarch texlive-biblatex-iso690-9:svn54561-61.fc38.noarch texlive-biblatex-jura2-9:svn56133-61.fc38.noarch texlive-biblatex-juradiss-9:svn56502-61.fc38.noarch texlive-biblatex-license-9:svn58437-61.fc38.noarch texlive-biblatex-lni-9:svn49935-61.fc38.noarch texlive-biblatex-luh-ipw-9:svn32180.0.3-61.fc38.noarch texlive-biblatex-manuscripts-philology-9:svn53344-61.fc38.noarch texlive-biblatex-mla-9:svn42445-61.fc38.noarch texlive-biblatex-morenames-9:svn43049-61.fc38.noarch texlive-biblatex-multiple-dm-9:svn37081.1.0.1-61.fc38.noarch texlive-biblatex-musuos-9:svn24097.1.0-61.fc38.noarch texlive-biblatex-nature-9:svn57262-61.fc38.noarch texlive-biblatex-nejm-9:svn49839-61.fc38.noarch texlive-biblatex-nottsclassic-9:svn41596-61.fc38.noarch texlive-biblatex-opcit-booktitle-9:svn48983-61.fc38.noarch texlive-biblatex-oxref-9:svn57513-61.fc38.noarch texlive-biblatex-philosophy-9:svn58925-61.fc38.noarch texlive-biblatex-phys-9:svn55643-61.fc38.noarch texlive-biblatex-publist-9:svn56392-61.fc38.noarch texlive-biblatex-realauthor-9:svn45865-61.fc38.noarch texlive-biblatex-sbl-9:svn56853-61.fc38.noarch texlive-biblatex-science-9:svn48945-61.fc38.noarch texlive-biblatex-shortfields-9:svn45858-61.fc38.noarch texlive-biblatex-socialscienceshuberlin-9:svn47839-61.fc38.noarch texlive-biblatex-software-9:svn57366-61.fc38.noarch texlive-biblatex-source-division-9:svn45379-61.fc38.noarch texlive-biblatex-subseries-9:svn43330-61.fc38.noarch texlive-biblatex-swiss-legal-9:svn58661-61.fc38.noarch texlive-biblatex-trad-9:svn58169-61.fc38.noarch texlive-biblatex-true-citepages-omit-9:svn44653-61.fc38.noarch texlive-biblatex-unified-9:svn55290-61.fc38.noarch texlive-biblatex-vancouver-9:svn55339-61.fc38.noarch texlive-biblatex2bibitem-9:svn54030-61.fc38.noarch texlive-bibletext-9:svn45196-61.fc38.noarch texlive-biblist-9:svn17116.0-61.fc38.noarch texlive-bibtex-9:20210325-52.fc38.x86_64 texlive-bibtexperllibs-9:svn57137-61.fc38.noarch texlive-bibtopic-9:svn15878.1.1a-61.fc38.noarch texlive-bibtopicprefix-9:svn15878.1.10-61.fc38.noarch texlive-bibunits-9:svn15878.2.2-61.fc38.noarch texlive-bidi-9:svn55193-61.fc38.noarch texlive-bigfoot-9:svn38248.2.1-61.fc38.noarch texlive-bigintcalc-9:svn53172-61.fc38.noarch texlive-bigints-9:svn29803.0-61.fc38.noarch texlive-binarytree-9:svn41777-61.fc38.noarch texlive-binomexp-9:svn15878.1.0-61.fc38.noarch texlive-biochemistry-colors-9:svn54512-61.fc38.noarch texlive-biocon-9:svn15878.0-61.fc38.noarch texlive-biolett-bst-9:svn42217-61.fc38.noarch texlive-bithesis-9:svn57388-61.fc38.noarch texlive-bitpattern-9:svn39073-61.fc38.noarch texlive-bitset-9:svn53837-61.fc38.noarch texlive-bitter-9:svn56026-61.fc38.noarch texlive-bizcard-9:svn15878.1.1-61.fc38.noarch texlive-blacklettert1-9:svn15878.0-61.fc38.noarch texlive-blindtext-9:svn25039.2.0-61.fc38.noarch texlive-blkarray-9:svn36406.0.07-61.fc38.noarch texlive-blochsphere-9:svn38388-61.fc38.noarch texlive-block-9:svn17209.0-61.fc38.noarch texlive-bloques-9:svn22490.1.0-61.fc38.noarch texlive-blowup-9:svn46213-61.fc38.noarch texlive-blox-9:svn57949-61.fc38.noarch texlive-bnumexpr-9:svn49643-61.fc38.noarch texlive-bodegraph-9:svn20047.1.4-61.fc38.noarch texlive-bohr-9:svn54512-61.fc38.noarch texlive-boisik-9:svn15878.0.5-61.fc38.noarch texlive-boites-9:svn32235.1.1-61.fc38.noarch texlive-bold-extra-9:svn17076.0.1-61.fc38.noarch texlive-boldtensors-9:svn15878.0-61.fc38.noarch texlive-bondgraph-9:svn21670.1.0-61.fc38.noarch texlive-bondgraphs-9:svn36605.1.0.1-61.fc38.noarch texlive-bookcover-9:svn57326-61.fc38.noarch texlive-bookdb-9:svn37536.0.2-61.fc38.noarch texlive-bookest-9:svn15878.1.1-61.fc38.noarch texlive-bookhands-9:svn46480-61.fc38.noarch texlive-booklet-9:svn15878.0.7b-61.fc38.noarch texlive-bookman-9:svn31835.0-61.fc38.noarch texlive-bookmark-9:svn56885-61.fc38.noarch texlive-bookshelf-9:svn55475-61.fc38.noarch texlive-booktabs-9:svn53402-61.fc38.noarch texlive-boolexpr-9:svn17830.3.14-61.fc38.noarch texlive-boondox-9:svn54512-61.fc38.noarch texlive-bophook-9:svn17062.0.02-61.fc38.noarch texlive-bosisio-9:svn16989.0-61.fc38.noarch texlive-boxedminipage-9:svn54827-61.fc38.noarch texlive-boxhandler-9:svn28031.1.30-61.fc38.noarch texlive-bpchem-9:svn45120-61.fc38.noarch texlive-br-lex-9:svn44939-61.fc38.noarch texlive-bracketkey-9:svn17129.1.0-61.fc38.noarch texlive-braids-9:svn54080-61.fc38.noarch texlive-braille-9:svn20655.0-61.fc38.noarch texlive-braket-9:svn17127.0-61.fc38.noarch texlive-brandeis-dissertation-9:svn54758-61.fc38.noarch texlive-brandeis-problemset-9:svn50991-61.fc38.noarch texlive-brandeis-thesis-9:svn54758-61.fc38.noarch texlive-breakcites-9:svn21014-61.fc38.noarch texlive-breakurl-9:svn29901.1.40-61.fc38.noarch texlive-breqn-9:svn56422-61.fc38.noarch texlive-bropd-9:svn35383.1.2-61.fc38.noarch texlive-brushscr-9:svn28363.0-61.fc38.noarch texlive-bubblesort-9:svn56070-61.fc38.noarch texlive-buctthesis-9:svn59002-61.fc38.noarch texlive-bullcntr-9:svn15878.0.04-61.fc38.noarch texlive-bussproofs-9:svn54080-61.fc38.noarch texlive-bussproofs-extra-9:svn51299-61.fc38.noarch texlive-bxcalc-9:svn56431-61.fc38.noarch texlive-bxdpx-beamer-9:svn41813-61.fc38.noarch texlive-bxdvidriver-9:svn43219-61.fc38.noarch texlive-bxeepic-9:svn30559.0.2-61.fc38.noarch texlive-bxenclose-9:svn40213-61.fc38.noarch texlive-bxnewfont-9:svn44173-61.fc38.noarch texlive-bxpapersize-9:svn56491-61.fc38.noarch texlive-bxpdfver-9:svn57755-61.fc38.noarch texlive-bxtexlogo-9:svn47230-61.fc38.noarch texlive-byo-twemojis-9:svn58917-61.fc38.noarch texlive-bytefield-9:svn56821-61.fc38.noarch texlive-cabin-9:svn55907-61.fc38.noarch texlive-cachepic-9:20210325-52.fc38.noarch texlive-caladea-9:svn34991.0-61.fc38.noarch texlive-calcage-9:svn27725.0.90-61.fc38.noarch texlive-calctab-9:svn15878.v0.6.1-61.fc38.noarch texlive-calculation-9:svn35973.1.0-61.fc38.noarch texlive-calculator-9:svn33041.2.0-61.fc38.noarch texlive-calligra-9:svn15878.0-61.fc38.noarch texlive-calligra-type1-9:svn24302.001.000-61.fc38.noarch texlive-callouts-9:svn44899-61.fc38.noarch texlive-calrsfs-9:svn17125.0-61.fc38.noarch texlive-cals-9:svn43003-61.fc38.noarch texlive-calxxxx-yyyy-9:svn57282-61.fc38.noarch texlive-cancel-9:svn32508.2.2-61.fc38.noarch texlive-canoniclayout-9:svn54758-61.fc38.noarch texlive-cantarell-9:svn54512-61.fc38.noarch texlive-capt-of-9:svn29803.0-61.fc38.noarch texlive-captcont-9:svn15878.2.0-61.fc38.noarch texlive-captdef-9:svn17353.0-61.fc38.noarch texlive-caption-9:svn56771-61.fc38.noarch texlive-carbohydrates-9:svn39000-61.fc38.noarch texlive-carlisle-9:svn56753-61.fc38.noarch texlive-carlito-9:svn35002.0-61.fc38.noarch texlive-carolmin-ps-9:svn15878.0-61.fc38.noarch texlive-cascade-9:svn55759-61.fc38.noarch texlive-cascadia-code-9:svn57478-61.fc38.noarch texlive-cascadilla-9:svn25144.1.8.2-61.fc38.noarch texlive-cases-9:svn54682-61.fc38.noarch texlive-casyl-9:svn15878.2.0-61.fc38.noarch texlive-catchfile-9:svn53084-61.fc38.noarch texlive-catchfilebetweentags-9:svn21476.1.1-61.fc38.noarch texlive-catcodes-9:svn38859-61.fc38.noarch texlive-catechis-9:svn49061-61.fc38.noarch texlive-catoptions-9:svn35069.0.2.7h-61.fc38.noarch texlive-causets-9:svn57139-61.fc38.noarch texlive-cbcoptic-9:svn16666.0.2-61.fc38.noarch texlive-cbfonts-9:svn54080-61.fc38.noarch texlive-cbfonts-fd-9:svn54080-61.fc38.noarch texlive-ccaption-9:svn23443.3.2c-61.fc38.noarch texlive-ccfonts-9:svn54686-61.fc38.noarch texlive-ccicons-9:svn54512-61.fc38.noarch texlive-cclicenses-9:svn15878.0-61.fc38.noarch texlive-ccool-9:svn56636-61.fc38.noarch texlive-cd-9:svn34452.1.4-61.fc38.noarch texlive-cd-cover-9:svn17121.1.0-61.fc38.noarch texlive-cdpbundl-9:svn46613-61.fc38.noarch texlive-cell-9:svn42428-61.fc38.noarch texlive-cellprops-9:svn57599-61.fc38.noarch texlive-cellspace-9:svn50374-61.fc38.noarch texlive-celtic-9:svn39797-61.fc38.noarch texlive-censor-9:svn49168-61.fc38.noarch texlive-centeredline-9:svn50971-61.fc38.noarch texlive-cesenaexam-9:svn44960-61.fc38.noarch texlive-cfr-initials-9:svn36728.1.01-61.fc38.noarch texlive-cfr-lm-9:svn36195.1.5-61.fc38.noarch texlive-changebar-9:svn46919-61.fc38.noarch texlive-changelayout-9:svn16094.1.0-61.fc38.noarch texlive-changelog-9:svn56338-61.fc38.noarch texlive-changepage-9:svn15878.1.0c-61.fc38.noarch texlive-changes-9:svn58773-61.fc38.noarch texlive-chappg-9:svn15878.2.1b-61.fc38.noarch texlive-chapterfolder-9:svn15878.2.0.1-61.fc38.noarch texlive-charissil-9:svn55920-61.fc38.noarch texlive-charter-9:svn15878.0-61.fc38.noarch texlive-chbibref-9:svn17120.1.0-61.fc38.noarch texlive-cheatsheet-9:svn45069-61.fc38.noarch texlive-checkend-9:svn51475-61.fc38.noarch texlive-chem-journal-9:svn15878.0-61.fc38.noarch texlive-chemarrow-9:svn17146.0.9-61.fc38.noarch texlive-chembst-9:svn15878.0.2.5-61.fc38.noarch texlive-chemcompounds-9:svn15878.0-61.fc38.noarch texlive-chemcono-9:svn17119.1.3-61.fc38.noarch texlive-chemexec-9:svn21632.1.0-61.fc38.noarch texlive-chemfig-9:svn58014-61.fc38.noarch texlive-chemformula-9:svn57206-61.fc38.noarch texlive-chemgreek-9:svn53437-61.fc38.noarch texlive-chemmacros-9:svn56983-61.fc38.noarch texlive-chemnum-9:svn57490-61.fc38.noarch texlive-chemplants-9:svn52863-61.fc38.noarch texlive-chemschemex-9:svn46723-61.fc38.noarch texlive-chemsec-9:svn46972-61.fc38.noarch texlive-chemstyle-9:svn31096.2.0m-61.fc38.noarch texlive-cherokee-9:svn21046.0-61.fc38.noarch texlive-chessfss-9:svn19440.1.2a-61.fc38.noarch texlive-chet-9:svn45081-61.fc38.noarch texlive-chextras-9:svn27118.1.01-61.fc38.noarch texlive-chicago-9:svn15878.0-61.fc38.noarch texlive-chicago-annote-9:svn15878.0-61.fc38.noarch texlive-chicagoa-9:svn52567-61.fc38.noarch texlive-chifoot-9:svn57312-61.fc38.noarch texlive-childdoc-9:svn49543-61.fc38.noarch texlive-chivo-9:svn54512-61.fc38.noarch texlive-chkfloat-9:svn27473.0.1-61.fc38.noarch texlive-chletter-9:svn20060.2.0-61.fc38.noarch texlive-chngcntr-9:svn47577-61.fc38.noarch texlive-chronology-9:svn37934.1.1.1-61.fc38.noarch texlive-chs-physics-report-9:svn54512-61.fc38.noarch texlive-chscite-9:svn28552.2.9999-61.fc38.noarch texlive-cinzel-9:svn54512-61.fc38.noarch texlive-circ-9:svn15878.1.1-61.fc38.noarch texlive-circledsteps-9:svn53382-61.fc38.noarch texlive-circuit-macros-9:svn57308-61.fc38.noarch texlive-circuitikz-9:svn59147-61.fc38.noarch texlive-cite-9:svn36428.5.5-61.fc38.noarch texlive-citeall-9:svn45975-61.fc38.noarch texlive-citeref-9:svn47407-61.fc38.noarch texlive-cje-9:svn46721-61.fc38.noarch texlive-cjk-9:svn36951.4.8.4-61.fc38.noarch texlive-cjkpunct-9:svn41119-61.fc38.noarch texlive-clara-9:svn54512-61.fc38.noarch texlive-classics-9:svn53671-61.fc38.noarch texlive-classicthesis-9:svn48041-61.fc38.noarch texlive-classpack-9:svn55218-61.fc38.noarch texlive-cleanthesis-9:svn51472-61.fc38.noarch texlive-clearsans-9:svn54512-61.fc38.noarch texlive-clefval-9:svn55985-61.fc38.noarch texlive-cleveref-9:svn47525-61.fc38.noarch texlive-clipboard-9:svn47747-61.fc38.noarch texlive-clock-9:svn15878.0-61.fc38.noarch texlive-clrdblpg-9:svn47511-61.fc38.noarch texlive-clrscode-9:svn51136-61.fc38.noarch texlive-clrscode3e-9:svn51137-61.fc38.noarch texlive-clrstrip-9:svn53537-61.fc38.noarch texlive-cm-9:svn57963-61.fc38.noarch texlive-cm-lgc-9:svn28250.0.5-61.fc38.noarch texlive-cm-mf-extra-bold-9:svn54512-61.fc38.noarch texlive-cm-super-9:svn15878.0-61.fc38.noarch texlive-cm-unicode-9:svn58661-61.fc38.noarch texlive-cmap-9:svn57640-61.fc38.noarch texlive-cmathbb-9:svn56414-61.fc38.noarch texlive-cmbright-9:svn21107.8.1-61.fc38.noarch texlive-cmdstring-9:svn15878.1.1-61.fc38.noarch texlive-cmdtrack-9:svn28910-61.fc38.noarch texlive-cmexb-9:svn54074-61.fc38.noarch texlive-cmextra-9:svn57866-61.fc38.noarch texlive-cmll-9:svn17964.0-61.fc38.noarch texlive-cmpica-9:svn15878.0-61.fc38.noarch texlive-cmpj-9:svn58506-61.fc38.noarch texlive-cmsd-9:svn18787.0-61.fc38.noarch texlive-cmsrb-9:svn54706-61.fc38.noarch texlive-cmtiup-9:svn39728-61.fc38.noarch texlive-cmupint-9:svn54735-61.fc38.noarch texlive-cnltx-9:svn55265-61.fc38.noarch texlive-cns-9:svn45677-61.fc38.noarch texlive-cntformats-9:svn34668.0.7-61.fc38.noarch texlive-cntperchap-9:svn37572.0.3-61.fc38.noarch texlive-cochineal-9:svn58717-61.fc38.noarch texlive-codeanatomy-9:svn51627-61.fc38.noarch texlive-codedoc-9:svn17630.0.3-61.fc38.noarch texlive-codepage-9:svn51502-61.fc38.noarch texlive-codesection-9:svn34481.0.1-61.fc38.noarch texlive-coelacanth-9:svn54736-61.fc38.noarch texlive-coffeestains-9:svn59115-61.fc38.noarch texlive-collcell-9:svn56291-61.fc38.noarch texlive-collectbox-9:svn56291-61.fc38.noarch texlive-collection-basic-9:svn59159-61.fc38.noarch texlive-collection-bibtexextra-9:svn58437-61.fc38.noarch texlive-collection-fontsextra-9:svn58253-61.fc38.noarch texlive-collection-fontsrecommended-9:svn54074-61.fc38.noarch texlive-collection-latex-9:svn57048-61.fc38.noarch texlive-collection-latexextra-9:svn59009-61.fc38.noarch texlive-collection-latexrecommended-9:svn57862-61.fc38.noarch texlive-collection-mathscience-9:svn57759-61.fc38.noarch texlive-collection-pictures-9:svn59115-61.fc38.noarch texlive-collection-publishers-9:svn59002-61.fc38.noarch texlive-collref-9:svn46358-61.fc38.noarch texlive-colophon-9:svn47913-61.fc38.noarch texlive-color-edits-9:svn56707-61.fc38.noarch texlive-colordoc-9:svn18270.0-61.fc38.noarch texlive-colorinfo-9:svn15878.0.3c-61.fc38.noarch texlive-coloring-9:svn41042-61.fc38.noarch texlive-colorist-9:svn59316-61.fc38.noarch texlive-colorprofiles-9:svn49086-61.fc38.noarch texlive-colorspace-9:svn50585-61.fc38.noarch texlive-colortab-9:svn22155.1.0-61.fc38.noarch texlive-colortbl-9:svn53545-61.fc38.noarch texlive-colorwav-9:svn15878.1.0-61.fc38.noarch texlive-colorweb-9:svn31490.1.3-61.fc38.noarch texlive-colourchange-9:svn21741.1.22-61.fc38.noarch texlive-combelow-9:svn18462.0.99f-61.fc38.noarch texlive-combine-9:svn19361.0.7a-61.fc38.noarch texlive-combinedgraphics-9:svn27198.0.2.2-61.fc38.noarch texlive-comfortaa-9:svn54512-61.fc38.noarch texlive-comicneue-9:svn54891-61.fc38.noarch texlive-comma-9:svn18259.1.2-61.fc38.noarch texlive-commado-9:svn38875-61.fc38.noarch texlive-commath-9:svn15878.0.3-61.fc38.noarch texlive-commedit-9:svn50116-61.fc38.noarch texlive-comment-9:svn41927-61.fc38.noarch texlive-compactbib-9:svn15878.0-61.fc38.noarch texlive-competences-9:svn47573-61.fc38.noarch texlive-complexity-9:svn45322-61.fc38.noarch texlive-computational-complexity-9:svn44847-61.fc38.noarch texlive-concepts-9:svn29020.0.0.5_r1-61.fc38.noarch texlive-concmath-9:svn17219.0-61.fc38.noarch texlive-concmath-fonts-9:svn17218.0-61.fc38.noarch texlive-concprog-9:svn18791.0-61.fc38.noarch texlive-concrete-9:svn57963-61.fc38.noarch texlive-conditext-9:svn55387-61.fc38.noarch texlive-confproc-9:svn29349.0.8-61.fc38.noarch texlive-constants-9:svn15878.1.0-61.fc38.noarch texlive-conteq-9:svn37868.0.1.1-61.fc38.noarch texlive-continue-9:svn49449-61.fc38.noarch texlive-contour-9:svn18950.2.14-61.fc38.noarch texlive-contracard-9:svn50217-61.fc38.noarch texlive-conv-xkv-9:svn43558-61.fc38.noarch texlive-convbkmk-9:20210325-52.fc38.noarch texlive-cooking-9:svn15878.0.9b-61.fc38.noarch texlive-cooking-units-9:svn53403-61.fc38.noarch texlive-cookingsymbols-9:svn35929.1.1-61.fc38.noarch texlive-cool-9:svn15878.1.35-61.fc38.noarch texlive-coollist-9:svn15878.1.4-61.fc38.noarch texlive-coolstr-9:svn15878.2.2-61.fc38.noarch texlive-coolthms-9:svn29062.1.2-61.fc38.noarch texlive-cooltooltips-9:svn15878.1.0-61.fc38.noarch texlive-coordsys-9:svn15878.1.4-61.fc38.noarch texlive-copyedit-9:svn37928.1.6-61.fc38.noarch texlive-copyrightbox-9:svn24829.0.1-61.fc38.noarch texlive-cormorantgaramond-9:svn54696-61.fc38.noarch texlive-correctmathalign-9:svn44131-61.fc38.noarch texlive-coseoul-9:svn23862.1.1-61.fc38.noarch texlive-countriesofeurope-9:svn54512-61.fc38.noarch texlive-counttexruns-9:svn27576.1.00a-61.fc38.noarch texlive-courier-9:svn35058.0-61.fc38.noarch texlive-courier-scaled-9:svn24940.0-61.fc38.noarch texlive-courierten-9:svn55436-61.fc38.noarch texlive-courseoutline-9:svn15878.1.0-61.fc38.noarch texlive-coursepaper-9:svn15878.2.0-61.fc38.noarch texlive-coverpage-9:svn15878.1.01-61.fc38.noarch texlive-cprotect-9:svn21209.1.0e-61.fc38.noarch texlive-cquthesis-9:svn55643-61.fc38.noarch texlive-crbox-9:svn29803.0.1-61.fc38.noarch texlive-crimson-9:svn54512-61.fc38.noarch texlive-crimsonpro-9:svn54512-61.fc38.noarch texlive-crop-9:svn55424-61.fc38.noarch texlive-crossreference-9:svn15878.0-61.fc38.noarch texlive-crossreftools-9:svn55879-61.fc38.noarch texlive-crossrefware-9:20210325-52.fc38.noarch texlive-cryptocode-9:svn55920-61.fc38.noarch texlive-cryst-9:svn15878.0-61.fc38.noarch texlive-csquotes-9:svn57844-61.fc38.noarch texlive-css-colors-9:svn54512-61.fc38.noarch texlive-csvmerge-9:svn51857-61.fc38.noarch texlive-csvsimple-9:svn51010-61.fc38.noarch texlive-ctable-9:svn38672-61.fc38.noarch texlive-ctablestack-9:svn38514-61.fc38.noarch texlive-ctex-9:svn58583-61.fc38.noarch texlive-ctib-9:svn15878.0-61.fc38.noarch texlive-cuisine-9:svn34453.0.7-61.fc38.noarch texlive-cuprum-9:svn49909-61.fc38.noarch texlive-currency-9:svn48990-61.fc38.noarch texlive-currfile-9:svn56478-61.fc38.noarch texlive-currvita-9:svn15878.0-61.fc38.noarch texlive-curve-9:svn20745.1.16-61.fc38.noarch texlive-curve2e-9:svn57402-61.fc38.noarch texlive-curves-9:svn45255-61.fc38.noarch texlive-custom-bib-9:svn24729.4.33-61.fc38.noarch texlive-cutwin-9:svn29803.0.1-61.fc38.noarch texlive-cv-9:svn15878.0-61.fc38.noarch texlive-cv4tw-9:svn34577.0.2-61.fc38.noarch texlive-cweb-latex-9:svn28878.0-61.fc38.noarch texlive-cyber-9:svn46776-61.fc38.noarch texlive-cybercic-9:svn37659.2.1-61.fc38.noarch texlive-cyklop-9:svn18651.0.915-61.fc38.noarch texlive-dancers-9:svn13293.0-61.fc38.noarch texlive-dantelogo-9:svn38599-61.fc38.noarch texlive-dashbox-9:svn23425.1.14-61.fc38.noarch texlive-dashrule-9:svn29579.1.3-61.fc38.noarch texlive-dashundergaps-9:svn58150-61.fc38.noarch texlive-dataref-9:svn42883-61.fc38.noarch texlive-datatool-9:svn52663-61.fc38.noarch texlive-datax-9:svn57033-61.fc38.noarch texlive-dateiliste-9:svn27974.0.6-61.fc38.noarch texlive-datenumber-9:svn18951.0.02-61.fc38.noarch texlive-datetime-9:svn36650.2.60-61.fc38.noarch texlive-datetime2-9:svn58590-61.fc38.noarch texlive-datetime2-bahasai-9:svn46287-61.fc38.noarch texlive-datetime2-basque-9:svn47064-61.fc38.noarch texlive-datetime2-breton-9:svn52647-61.fc38.noarch texlive-datetime2-bulgarian-9:svn47031-61.fc38.noarch texlive-datetime2-catalan-9:svn47032-61.fc38.noarch texlive-datetime2-croatian-9:svn36682.1.0-61.fc38.noarch texlive-datetime2-czech-9:svn47033-61.fc38.noarch texlive-datetime2-danish-9:svn47034-61.fc38.noarch texlive-datetime2-dutch-9:svn47355-61.fc38.noarch texlive-datetime2-en-fulltext-9:svn36705.1.0-61.fc38.noarch texlive-datetime2-english-9:svn52479-61.fc38.noarch texlive-datetime2-esperanto-9:svn47356-61.fc38.noarch texlive-datetime2-estonian-9:svn47565-61.fc38.noarch texlive-datetime2-finnish-9:svn47047-61.fc38.noarch texlive-datetime2-french-9:svn56393-61.fc38.noarch texlive-datetime2-galician-9:svn47631-61.fc38.noarch texlive-datetime2-german-9:svn53125-61.fc38.noarch texlive-datetime2-greek-9:svn47533-61.fc38.noarch texlive-datetime2-hebrew-9:svn47534-61.fc38.noarch texlive-datetime2-icelandic-9:svn47501-61.fc38.noarch texlive-datetime2-irish-9:svn47632-61.fc38.noarch texlive-datetime2-it-fulltext-9:svn54779-61.fc38.noarch texlive-datetime2-italian-9:svn37146.1.3-61.fc38.noarch texlive-datetime2-latin-9:svn47748-61.fc38.noarch texlive-datetime2-lsorbian-9:svn47749-61.fc38.noarch texlive-datetime2-magyar-9:svn48266-61.fc38.noarch texlive-datetime2-norsk-9:svn48267-61.fc38.noarch texlive-datetime2-polish-9:svn48456-61.fc38.noarch texlive-datetime2-portuges-9:svn48457-61.fc38.noarch texlive-datetime2-romanian-9:svn56394-61.fc38.noarch texlive-datetime2-russian-9:svn49345-61.fc38.noarch texlive-datetime2-samin-9:svn49346-61.fc38.noarch texlive-datetime2-scottish-9:svn52101-61.fc38.noarch texlive-datetime2-serbian-9:svn52893-61.fc38.noarch texlive-datetime2-slovak-9:svn52281-61.fc38.noarch texlive-datetime2-slovene-9:svn52282-61.fc38.noarch texlive-datetime2-spanish-9:svn45785-61.fc38.noarch texlive-datetime2-swedish-9:svn36700.1.0-61.fc38.noarch texlive-datetime2-turkish-9:svn52331-61.fc38.noarch texlive-datetime2-ukrainian-9:svn47552-61.fc38.noarch texlive-datetime2-usorbian-9:svn52375-61.fc38.noarch texlive-datetime2-welsh-9:svn52553-61.fc38.noarch texlive-dblfloatfix-9:svn28983.1.0a-61.fc38.noarch texlive-dccpaper-9:svn57522-61.fc38.noarch texlive-dcpic-9:svn30206.5.0.0-61.fc38.noarch texlive-decimal-9:svn23374.0-61.fc38.noarch texlive-decision-table-9:svn57094-61.fc38.noarch texlive-decorule-9:svn55230-61.fc38.noarch texlive-dehyph-9:svn48599-61.fc38.noarch texlive-dejavu-9:svn31771.2.34-61.fc38.noarch texlive-dejavu-otf-9:svn45991-61.fc38.noarch texlive-delim-9:svn23974.1.0-61.fc38.noarch texlive-delimseasy-9:svn39589-61.fc38.noarch texlive-delimset-9:svn49544-61.fc38.noarch texlive-delimtxt-9:svn16549.0-61.fc38.noarch texlive-denisbdoc-9:svn56664-61.fc38.noarch texlive-derivative-9:svn55890-61.fc38.noarch texlive-dhua-9:svn24035.0.11-61.fc38.noarch texlive-diabetes-logbook-9:svn54810-61.fc38.noarch texlive-diagbox-9:svn54080-61.fc38.noarch texlive-diagmac2-9:svn15878.2.1-61.fc38.noarch texlive-diagnose-9:svn19387.0.2-61.fc38.noarch texlive-dialogl-9:svn28946.0-61.fc38.noarch texlive-dice-9:svn28501.0-61.fc38.noarch texlive-dichokey-9:svn17192.0-61.fc38.noarch texlive-dictsym-9:svn20031.0-61.fc38.noarch texlive-diffcoeff-9:svn53244-61.fc38.noarch texlive-digiconfigs-9:svn15878.0.5-61.fc38.noarch texlive-dijkstra-9:svn55661-61.fc38.noarch texlive-dimnum-9:svn58774-61.fc38.noarch texlive-din1505-9:svn19441.0-61.fc38.noarch texlive-dinbrief-9:svn15878.0-61.fc38.noarch texlive-dingbat-9:svn27918.1.0-61.fc38.noarch texlive-directory-9:svn15878.1.20-61.fc38.noarch texlive-dirtree-9:svn42428-61.fc38.noarch texlive-dirtytalk-9:svn20520.1.0-61.fc38.noarch texlive-ditaa-9:svn48932-61.fc38.noarch texlive-dithesis-9:svn34295.0.2-61.fc38.noarch texlive-dk-bib-9:svn15878.0.6-61.fc38.noarch texlive-dlfltxb-9:svn17337.0-61.fc38.noarch texlive-dnaseq-9:svn17194.0.01-61.fc38.noarch texlive-doc-pictex-doc-9:svn24927.0-61.fc38.noarch texlive-doclicense-9:svn58350-61.fc38.noarch texlive-docmfp-9:svn15878.1.2d-61.fc38.noarch texlive-docmute-9:svn25741.1.4-61.fc38.noarch texlive-doctools-9:svn34474.0.1-61.fc38.noarch texlive-documentation-9:svn34521.0.1-61.fc38.noarch texlive-docutils-9:svn56594-61.fc38.noarch texlive-doi-9:svn48634-61.fc38.noarch texlive-doipubmed-9:svn15878.1.01-61.fc38.noarch texlive-domitian-9:svn55286-61.fc38.noarch texlive-dot2texi-9:svn26237.3.0-61.fc38.noarch texlive-dotarrow-9:svn15878.0.01a-61.fc38.noarch texlive-dotlessi-9:svn51476-61.fc38.noarch texlive-dotseqn-9:svn17195.1.1-61.fc38.noarch texlive-dottex-9:svn15878.0.6-61.fc38.noarch texlive-doublestroke-9:svn15878.1.111-61.fc38.noarch texlive-doulossil-9:svn56407-61.fc38.noarch texlive-dowith-9:svn38860-61.fc38.noarch texlive-download-9:svn52257-61.fc38.noarch texlive-dox-9:svn46011-61.fc38.noarch texlive-dozenal-9:svn47680-61.fc38.noarch texlive-dpcircling-9:svn54994-61.fc38.noarch texlive-dpfloat-9:svn17196.0-61.fc38.noarch texlive-dprogress-9:svn15878.0.1-61.fc38.noarch texlive-drac-9:svn15878.1-61.fc38.noarch texlive-draftcopy-9:svn15878.2.16-61.fc38.noarch texlive-draftfigure-9:svn44854-61.fc38.noarch texlive-draftwatermark-9:svn57099-61.fc38.noarch texlive-dratex-9:svn15878.0-61.fc38.noarch texlive-drawmatrix-9:svn44471-61.fc38.noarch texlive-drawstack-9:svn28582.0-61.fc38.noarch texlive-drm-9:svn38157.4.4-61.fc38.noarch texlive-droid-9:svn54512-61.fc38.noarch texlive-drs-9:svn19232.1.1b-61.fc38.noarch texlive-dsserif-9:svn54512-61.fc38.noarch texlive-dtk-9:svn56696-61.fc38.noarch texlive-dtxdescribe-9:svn51652-61.fc38.noarch texlive-dtxgallery-doc-9:svn49504-61.fc38.noarch texlive-ducksay-9:svn56800-61.fc38.noarch texlive-duckuments-9:svn52271-61.fc38.noarch texlive-duerer-9:svn20741.0-61.fc38.noarch texlive-duerer-latex-9:svn15878.1.1-61.fc38.noarch texlive-duotenzor-9:svn18728.1.00-61.fc38.noarch texlive-dutchcal-9:svn54080-61.fc38.noarch texlive-dvdcoll-9:svn15878.v1.1a-61.fc38.noarch texlive-dvipdfmx-9:20210325-52.fc38.x86_64 texlive-dvips-9:20210325-52.fc38.x86_64 texlive-dvisvgm-9:20210325-52.fc38.x86_64 texlive-dynamicnumber-9:svn38726-61.fc38.noarch texlive-dynblocks-9:svn35193.0.2b-61.fc38.noarch texlive-dynkin-diagrams-9:svn58758-61.fc38.noarch texlive-dyntree-9:svn15878.1.0-61.fc38.noarch texlive-ean-9:svn20851.0-61.fc38.noarch texlive-ean13isbn-9:svn57514-61.fc38.noarch texlive-easy-9:svn19440.0.99-61.fc38.noarch texlive-easy-todo-9:svn32677.0-61.fc38.noarch texlive-easybook-9:svn59306-61.fc38.noarch texlive-easyfig-9:svn56291-61.fc38.noarch texlive-easyfloats-9:svn57204-61.fc38.noarch texlive-easyformat-9:svn44543-61.fc38.noarch texlive-easylist-9:svn32661.1.3-61.fc38.noarch texlive-easyreview-9:svn38352.1.0-61.fc38.noarch texlive-ebezier-9:svn15878.4-61.fc38.noarch texlive-ebgaramond-9:svn54721-61.fc38.noarch texlive-ebgaramond-maths-9:svn52168-61.fc38.noarch texlive-ebook-9:svn29466.0-61.fc38.noarch texlive-ebproof-9:svn57544-61.fc38.noarch texlive-ebsthesis-9:svn15878.1.0-61.fc38.noarch texlive-ec-9:svn25033.1.0-61.fc38.noarch texlive-ecc-9:svn15878.0-61.fc38.noarch texlive-ecclesiastic-9:svn38172.0.3-61.fc38.noarch texlive-ecgdraw-9:svn41617-61.fc38.noarch texlive-eco-9:svn29349.1.3-61.fc38.noarch texlive-ecobiblatex-9:svn39233-61.fc38.noarch texlive-econ-bst-9:svn54191-61.fc38.noarch texlive-econlipsum-9:svn58390-61.fc38.noarch texlive-econometrics-9:svn39396-61.fc38.noarch texlive-economic-9:svn32639.0-61.fc38.noarch texlive-ecothesis-9:svn48007-61.fc38.noarch texlive-ecv-9:svn24928.0.3-61.fc38.noarch texlive-eczar-9:svn57716-61.fc38.noarch texlive-ed-9:svn25231.1.8-61.fc38.noarch texlive-edichokey-9:svn56223-61.fc38.noarch texlive-edmargin-9:svn27599.1.2-61.fc38.noarch texlive-eemeir-9:svn15878.1.1b-61.fc38.noarch texlive-eepic-9:svn15878.1.1e-61.fc38.noarch texlive-efbox-9:svn33236.1.0-61.fc38.noarch texlive-egplot-9:svn20617.1.02a-61.fc38.noarch texlive-ehhline-9:svn54676-61.fc38.noarch texlive-eiad-9:svn15878.0-61.fc38.noarch texlive-eiad-ltx-9:svn15878.1.0-61.fc38.noarch texlive-einfart-9:svn59321-61.fc38.noarch texlive-ejpecp-9:svn56728-61.fc38.noarch texlive-ekaia-9:svn49594-61.fc38.noarch texlive-ektype-tanka-9:svn56070-61.fc38.noarch texlive-elbioimp-9:svn21758.1.2-61.fc38.noarch texlive-electrum-9:svn19705.1.005_b-61.fc38.noarch texlive-elegantbook-9:svn59053-61.fc38.noarch texlive-elegantnote-9:svn54758-61.fc38.noarch texlive-elegantpaper-9:svn54758-61.fc38.noarch texlive-elements-9:svn52398-61.fc38.noarch texlive-ellipse-9:svn39025-61.fc38.noarch texlive-ellipsis-9:svn55418-61.fc38.noarch texlive-elmath-9:svn15878.v1.2-61.fc38.noarch texlive-elocalloc-9:svn42712-61.fc38.noarch texlive-elpres-9:svn58015-61.fc38.noarch texlive-els-cas-templates-9:svn54317-61.fc38.noarch texlive-elsarticle-9:svn56999-61.fc38.noarch texlive-elteikthesis-9:svn55928-61.fc38.noarch texlive-eltex-9:svn15878.2.0-61.fc38.noarch texlive-elvish-9:svn15878.0-61.fc38.noarch texlive-elzcards-9:svn51894-61.fc38.noarch texlive-emarks-9:svn24504.1.0-61.fc38.noarch texlive-embedall-9:svn51177-61.fc38.noarch texlive-embedfile-9:svn54865-61.fc38.noarch texlive-embrac-9:svn57814-61.fc38.noarch texlive-emf-9:svn42023-61.fc38.noarch texlive-emisa-9:svn57013-61.fc38.noarch texlive-emptypage-9:svn18064.1.2-61.fc38.noarch texlive-emulateapj-9:svn28469.0-61.fc38.noarch texlive-enctex-9:svn34957.0-61.fc38.noarch texlive-endfloat-9:svn57090-61.fc38.noarch texlive-endheads-9:svn43750-61.fc38.noarch texlive-endiagram-9:svn34486.0.1d-61.fc38.noarch texlive-endnotes-9:svn53319-61.fc38.noarch texlive-endnotes-hy-9:svn54758-61.fc38.noarch texlive-endofproofwd-9:svn55643-61.fc38.noarch texlive-engpron-9:svn16558.2-61.fc38.noarch texlive-engrec-9:svn15878.1.1-61.fc38.noarch texlive-engtlc-9:svn28571.3.2-61.fc38.noarch texlive-enotez-9:svn57130-61.fc38.noarch texlive-enumitem-9:svn51423-61.fc38.noarch texlive-enumitem-zref-9:svn21472.1.8-61.fc38.noarch texlive-envbig-9:svn15878.0-61.fc38.noarch texlive-environ-9:svn56615-61.fc38.noarch texlive-envlab-9:svn15878.1.2-61.fc38.noarch texlive-epigrafica-9:svn17210.1.01-61.fc38.noarch texlive-epigraph-9:svn54857-61.fc38.noarch texlive-epigraph-keys-9:svn54851-61.fc38.noarch texlive-epiolmec-9:svn15878.0-61.fc38.noarch texlive-epsdice-9:svn15878.2.1-61.fc38.noarch texlive-epsf-9:svn21461.2.7.4-61.fc38.noarch texlive-epspdf-9:20210325-52.fc38.noarch texlive-epspdfconversion-9:svn18703.0.61-61.fc38.noarch texlive-epstopdf-9:20210325-52.fc38.noarch texlive-epstopdf-pkg-9:svn53546-61.fc38.noarch texlive-eq-pin2corr-9:svn57815-61.fc38.noarch texlive-eqell-9:svn22931.0-61.fc38.noarch texlive-eqexpl-9:svn54080-61.fc38.noarch texlive-eqlist-9:svn32257.2.1-61.fc38.noarch texlive-eqnalign-9:svn43278-61.fc38.noarch texlive-eqname-9:svn20678.0-61.fc38.noarch texlive-eqnarray-9:svn20641.1.3-61.fc38.noarch texlive-eqnnumwarn-9:svn45511-61.fc38.noarch texlive-eqparbox-9:svn45215-61.fc38.noarch texlive-erdc-9:svn15878.1.1-61.fc38.noarch texlive-erewhon-9:svn58722-61.fc38.noarch texlive-erewhon-math-9:svn58903-61.fc38.noarch texlive-errata-9:svn42428-61.fc38.noarch texlive-erw-l3-9:svn55414-61.fc38.noarch texlive-esami-9:svn47639-61.fc38.noarch texlive-esdiff-9:svn21385.1.2-61.fc38.noarch texlive-esindex-9:svn52342-61.fc38.noarch texlive-esint-9:svn52240-61.fc38.noarch texlive-esint-type1-9:svn15878.0-61.fc38.noarch texlive-esk-9:svn18115.1.0-61.fc38.noarch texlive-eso-pic-9:svn56658-61.fc38.noarch texlive-esrelation-9:svn37236.0-61.fc38.noarch texlive-esstix-9:svn22426.1.0-61.fc38.noarch texlive-estcpmm-9:svn17335.0.4-61.fc38.noarch texlive-esvect-9:svn32098.1.3-61.fc38.noarch texlive-etaremune-9:svn15878.v1.2-61.fc38.noarch texlive-etbb-9:svn56390-61.fc38.noarch texlive-etex-9:svn56291-61.fc38.noarch texlive-etex-pkg-9:svn41784-61.fc38.noarch texlive-etexcmds-9:svn53171-61.fc38.noarch texlive-etextools-9:svn20694.3.1415926-61.fc38.noarch texlive-etoc-9:svn55156-61.fc38.noarch texlive-etoolbox-9:svn56554-61.fc38.noarch texlive-etsvthor-9:svn48186-61.fc38.noarch texlive-euclideangeometry-9:svn54897-61.fc38.noarch texlive-euenc-9:svn19795.0.1h-61.fc38.noarch texlive-euflag-9:svn55265-61.fc38.noarch texlive-eukdate-9:svn15878.1.04-61.fc38.noarch texlive-euler-9:svn42428-61.fc38.noarch texlive-eulerpx-9:svn43735-61.fc38.noarch texlive-eulervm-9:svn15878.4.0-61.fc38.noarch texlive-euro-9:svn22191.1.1-61.fc38.noarch texlive-euro-ce-9:svn25714-61.fc38.noarch texlive-europasscv-9:svn56829-61.fc38.noarch texlive-europecv-9:svn59162-61.fc38.noarch texlive-eurosym-9:svn17265.1.4_subrfix-61.fc38.noarch texlive-euxm-9:svn54074-61.fc38.noarch texlive-everyhook-9:svn35675.1.2-61.fc38.noarch texlive-everypage-9:svn56694-61.fc38.noarch texlive-everysel-9:svn57489-61.fc38.noarch texlive-everyshi-9:svn57001-61.fc38.noarch texlive-exam-9:svn58023-61.fc38.noarch texlive-exam-n-9:svn42755-61.fc38.noarch texlive-exam-randomizechoices-9:svn49662-61.fc38.noarch texlive-examdesign-9:svn15878.1.02-61.fc38.noarch texlive-example-9:svn33398.0-61.fc38.noarch texlive-examplep-9:svn55265-61.fc38.noarch texlive-exceltex-9:20210325-52.fc38.noarch texlive-excludeonly-9:svn17262.1.0-61.fc38.noarch texlive-exercise-9:svn35417.1.6-61.fc38.noarch texlive-exercisebank-9:svn50448-61.fc38.noarch texlive-exercisepoints-9:svn49590-61.fc38.noarch texlive-exercises-9:svn55188-61.fc38.noarch texlive-exesheet-9:svn55916-61.fc38.noarch texlive-exframe-9:svn53911-61.fc38.noarch texlive-exp-testopt-9:svn15878.0.3-61.fc38.noarch texlive-expdlist-9:svn15878.2.4-61.fc38.noarch texlive-expkv-9:svn58853-61.fc38.noarch texlive-export-9:svn27206.1.8-61.fc38.noarch texlive-exsheets-9:svn52227-61.fc38.noarch texlive-exsol-9:svn48977-61.fc38.noarch texlive-extarrows-9:svn54400-61.fc38.noarch texlive-extpfeil-9:svn16243.0.4-61.fc38.noarch texlive-extract-9:svn52117-61.fc38.noarch texlive-extsizes-9:svn17263.1.4a-61.fc38.noarch texlive-facsimile-9:svn21328.1.0-61.fc38.noarch texlive-factura-9:svn56948-61.fc38.noarch texlive-facture-belge-simple-sans-tva-9:svn49004-61.fc38.noarch texlive-faktor-9:svn15878.0.1b-61.fc38.noarch texlive-fancybox-9:svn18304.1.4-61.fc38.noarch texlive-fancyhandout-9:svn46411-61.fc38.noarch texlive-fancyhdr-9:svn57672-61.fc38.noarch texlive-fancylabel-9:svn46736-61.fc38.noarch texlive-fancynum-9:svn15878.0.92-61.fc38.noarch texlive-fancypar-9:svn58895-61.fc38.noarch texlive-fancyref-9:svn15878.0.9c-61.fc38.noarch texlive-fancyslides-9:svn36263.1.0-61.fc38.noarch texlive-fancytabs-9:svn41549-61.fc38.noarch texlive-fancytooltips-9:svn56291-61.fc38.noarch texlive-fancyvrb-9:svn57488-61.fc38.noarch texlive-fandol-9:svn37889.0.3-61.fc38.noarch texlive-fascicules-9:svn54080-61.fc38.noarch texlive-fast-diagram-9:svn29264.1.1-61.fc38.noarch texlive-fbb-9:svn55728-61.fc38.noarch texlive-fbithesis-9:svn21340.1.2m-61.fc38.noarch texlive-fbox-9:svn55627-61.fc38.noarch texlive-fbs-9:svn15878.0-61.fc38.noarch texlive-fcavtex-9:svn38074.1.1-61.fc38.noarch texlive-fcltxdoc-9:svn24500.1.0-61.fc38.noarch texlive-fcolumn-9:svn57428-61.fc38.noarch texlive-fdsymbol-9:svn26722.0.8-61.fc38.noarch texlive-fei-9:svn55960-61.fc38.noarch texlive-fetamont-9:svn43812-61.fc38.noarch texlive-fetchcls-9:svn45245-61.fc38.noarch texlive-fewerfloatpages-9:svn58058-61.fc38.noarch texlive-feyn-9:svn55777-61.fc38.noarch texlive-feynmf-9:svn17259.1.08-61.fc38.noarch texlive-ffslides-9:svn38895-61.fc38.noarch texlive-fge-9:svn37628.1.25-61.fc38.noarch texlive-fgruler-9:svn56854-61.fc38.noarch texlive-fibeamer-9:svn53146-61.fc38.noarch texlive-fifo-stack-9:svn33288.1.0-61.fc38.noarch texlive-fig4latex-9:20210325-52.fc38.noarch texlive-figbib-9:svn19388.0-61.fc38.noarch texlive-figchild-9:svn58964-61.fc38.noarch texlive-figsize-9:svn18784.0.1-61.fc38.noarch texlive-filecontents-9:svn52142-61.fc38.noarch texlive-filecontentsdef-9:svn52208-61.fc38.noarch texlive-filedate-9:svn29529.0-61.fc38.noarch texlive-filehook-9:svn56479-61.fc38.noarch texlive-fileinfo-9:svn28421.0.81a-61.fc38.noarch texlive-filemod-9:svn56291-61.fc38.noarch texlive-fink-9:svn24329.2.2.1-61.fc38.noarch texlive-finstrut-9:svn21719.0.5-61.fc38.noarch texlive-fira-9:svn55437-61.fc38.noarch texlive-firamath-9:svn56672-61.fc38.noarch texlive-firamath-otf-9:svn50732-61.fc38.noarch texlive-firstaid-9:svn58440-61.fc38.noarch texlive-fitbox-9:svn50088-61.fc38.noarch texlive-fithesis-9:svn54483-61.fc38.noarch texlive-fix2col-9:svn38770-61.fc38.noarch texlive-fixcmex-9:svn51825-61.fc38.noarch texlive-fixfoot-9:svn17131.0.3a-61.fc38.noarch texlive-fixltxhyph-9:svn25832.0.4-61.fc38.noarch texlive-fixme-9:svn49591-61.fc38.noarch texlive-fixmetodonotes-9:svn30168.0.2.2-61.fc38.noarch texlive-fjodor-9:svn53207-61.fc38.noarch texlive-flabels-9:svn17272.1.0-61.fc38.noarch texlive-flacards-9:svn19440.0.1.1b-61.fc38.noarch texlive-flagderiv-9:svn15878.0.10-61.fc38.noarch texlive-flashcards-9:svn19667.1.0.1-61.fc38.noarch texlive-flashmovie-9:svn25768.0.4-61.fc38.noarch texlive-flipbook-9:svn25584.0.2-61.fc38.noarch texlive-flippdf-9:svn56782-61.fc38.noarch texlive-float-9:svn15878.1.3d-61.fc38.noarch texlive-floatflt-9:svn25540.1.31-61.fc38.noarch texlive-floatrow-9:svn15878.0.3b-61.fc38.noarch texlive-flowchart-9:svn36572.3.3-61.fc38.noarch texlive-flowfram-9:svn35291.1.17-61.fc38.noarch texlive-fltpoint-9:svn56594-61.fc38.noarch texlive-fmp-9:svn15878.0-61.fc38.noarch texlive-fmtcount-9:svn53912-61.fc38.noarch texlive-fn2end-9:svn15878.1.1-61.fc38.noarch texlive-fnbreak-9:svn25003.1.30-61.fc38.noarch texlive-fncychap-9:svn20710.v1.34-61.fc38.noarch texlive-fncylab-9:svn52090-61.fc38.noarch texlive-fnpara-9:svn25607.0-61.fc38.noarch texlive-fnpct-9:svn57508-61.fc38.noarch texlive-fnspe-9:svn45360-61.fc38.noarch texlive-fnumprint-9:svn29173.1.1a-61.fc38.noarch texlive-foekfont-9:svn15878.0-61.fc38.noarch texlive-foilhtml-9:svn21855.1.2-61.fc38.noarch texlive-foliono-9:svn58877-61.fc38.noarch texlive-fonetika-9:svn21326.0-61.fc38.noarch texlive-fontawesome-9:svn48145-61.fc38.noarch texlive-fontawesome5-9:svn54517-61.fc38.noarch texlive-fontaxes-9:svn55920-61.fc38.noarch texlive-fontmfizz-9:svn43546-61.fc38.noarch texlive-fonts-churchslavonic-9:svn56350-61.fc38.noarch texlive-fonts-tlwg-9:svn54994-61.fc38.noarch texlive-fontsetup-9:svn59079-61.fc38.noarch texlive-fontsize-9:svn58906-61.fc38.noarch texlive-fontspec-9:svn56594-61.fc38.noarch texlive-fonttable-9:svn44799-61.fc38.noarch texlive-footbib-9:svn17115.2.0.7-61.fc38.noarch texlive-footmisc-9:svn23330.5.5b-61.fc38.noarch texlive-footmisx-9:svn42621-61.fc38.noarch texlive-footnotebackref-9:svn27034.1.0-61.fc38.noarch texlive-footnotehyper-9:svn57618-61.fc38.noarch texlive-footnoterange-9:svn52910-61.fc38.noarch texlive-footnpag-9:svn15878.0-61.fc38.noarch texlive-forarray-9:svn15878.1.01-61.fc38.noarch texlive-foreign-9:svn27819.2.7-61.fc38.noarch texlive-forest-9:svn57398-61.fc38.noarch texlive-forloop-9:svn15878.3.0-61.fc38.noarch texlive-formlett-9:svn21480.2.3-61.fc38.noarch texlive-forms16be-9:svn51305-61.fc38.noarch texlive-formular-9:svn15878.1.0a-61.fc38.noarch texlive-forum-9:svn56025-61.fc38.noarch texlive-fouridx-9:svn32214.2.00-61.fc38.noarch texlive-fourier-9:svn54090-61.fc38.noarch texlive-fouriernc-9:svn29646.0-61.fc38.noarch texlive-fp-9:svn49719-61.fc38.noarch texlive-fpl-9:svn54512-61.fc38.noarch texlive-fragments-9:svn15878.0-61.fc38.noarch texlive-frame-9:svn18312.1.0-61.fc38.noarch texlive-framed-9:svn26789.0.96-61.fc38.noarch texlive-francais-bst-9:svn38922-61.fc38.noarch texlive-frankenstein-9:svn15878.0-61.fc38.noarch texlive-frcursive-9:svn24559.0-61.fc38.noarch texlive-frederika2016-9:svn42157-61.fc38.noarch texlive-frege-9:svn27417.1.3-61.fc38.noarch texlive-frimurer-9:svn56704-61.fc38.noarch texlive-froufrou-9:svn59103-61.fc38.noarch texlive-ftc-notebook-9:svn50043-61.fc38.noarch texlive-ftcap-9:svn17275.1.4-61.fc38.noarch texlive-ftnxtra-9:svn29652.0.1-61.fc38.noarch texlive-fullblck-9:svn25434.1.03-61.fc38.noarch texlive-fullminipage-9:svn34545.0.1.1-61.fc38.noarch texlive-fullwidth-9:svn24684.0.1-61.fc38.noarch texlive-functan-9:svn15878.0-61.fc38.noarch texlive-fundus-calligra-9:svn26018.1.2-61.fc38.noarch texlive-fundus-cyr-9:svn26019.0-61.fc38.noarch texlive-fundus-sueterlin-9:svn26030.1.2-61.fc38.noarch texlive-fvextra-9:svn49947-61.fc38.noarch texlive-fwlw-9:svn29803.0-61.fc38.noarch texlive-g-brief-9:svn50415-61.fc38.noarch texlive-gaceta-9:svn15878.1.06-61.fc38.noarch texlive-galois-9:svn15878.1.5-61.fc38.noarch texlive-gammas-9:svn56403-61.fc38.noarch texlive-garamond-libre-9:svn55166-61.fc38.noarch texlive-garamond-math-9:svn52820-61.fc38.noarch texlive-garuda-c90-9:svn37677.0-61.fc38.noarch texlive-gastex-9:svn58505-61.fc38.noarch texlive-gatech-thesis-9:svn19886.1.8-61.fc38.noarch texlive-gatherenum-9:svn52209-61.fc38.noarch texlive-gauss-9:svn32934.0-61.fc38.noarch texlive-gb4e-9:svn19216.0-61.fc38.noarch texlive-gbt7714-9:svn57157-61.fc38.noarch texlive-gcard-9:svn15878.0-61.fc38.noarch texlive-gcite-9:svn15878.1.0.1-61.fc38.noarch texlive-gender-9:svn36464.1.0-61.fc38.noarch texlive-gene-logic-9:svn15878.1.4-61.fc38.noarch texlive-genealogy-9:svn25112.0-61.fc38.noarch texlive-genealogytree-9:svn55978-61.fc38.noarch texlive-genmpage-9:svn15878.0.3.1-61.fc38.noarch texlive-gentium-tug-9:svn54512-61.fc38.noarch texlive-geometry-9:svn54080-61.fc38.noarch texlive-geschichtsfrkl-9:svn42121-61.fc38.noarch texlive-getfiledate-9:svn16189.1.2-61.fc38.noarch texlive-getitems-9:svn39365-61.fc38.noarch texlive-getmap-9:20210325-52.fc38.noarch texlive-gettitlestring-9:svn53170-61.fc38.noarch texlive-gfsartemisia-9:svn19469.1.0-61.fc38.noarch texlive-gfsbodoni-9:svn28484.1.01-61.fc38.noarch texlive-gfscomplutum-9:svn19469.1.0-61.fc38.noarch texlive-gfsdidot-9:svn54080-61.fc38.noarch texlive-gfsdidotclassic-9:svn52778-61.fc38.noarch texlive-gfsneohellenic-9:svn54080-61.fc38.noarch texlive-gfsneohellenicmath-9:svn52570-61.fc38.noarch texlive-gfssolomos-9:svn18651.1.0-61.fc38.noarch texlive-ghsystem-9:svn53822-61.fc38.noarch texlive-gillcm-9:svn19878.1.1-61.fc38.noarch texlive-gillius-9:svn32068.0-61.fc38.noarch texlive-gincltex-9:svn56291-61.fc38.noarch texlive-gindex-9:svn52311-61.fc38.noarch texlive-ginpenc-9:svn24980.1.0-61.fc38.noarch texlive-gitfile-info-9:svn51928-61.fc38.noarch texlive-gitinfo-9:svn34049.1.0-61.fc38.noarch texlive-gitinfo2-9:svn38913-61.fc38.noarch texlive-gitlog-9:svn38932-61.fc38.noarch texlive-gitver-9:svn56810-61.fc38.noarch texlive-globalvals-9:svn49962-61.fc38.noarch texlive-glosmathtools-9:svn55920-61.fc38.noarch texlive-gloss-9:svn15878.1.5.2-61.fc38.noarch texlive-glossaries-9:20210325-52.fc38.noarch texlive-glossaries-danish-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-dutch-9:svn35685.1.1-61.fc38.noarch texlive-glossaries-english-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-estonian-9:svn49928-61.fc38.noarch texlive-glossaries-extra-9:svn54688-61.fc38.noarch texlive-glossaries-finnish-9:svn54080-61.fc38.noarch texlive-glossaries-french-9:svn42873-61.fc38.noarch texlive-glossaries-german-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-irish-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-italian-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-magyar-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-nynorsk-9:svn55189-61.fc38.noarch texlive-glossaries-polish-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-portuges-9:svn36064.1.1-61.fc38.noarch texlive-glossaries-serbian-9:svn35665.1.0-61.fc38.noarch texlive-glossaries-slovene-9:svn51211-61.fc38.noarch texlive-glossaries-spanish-9:svn35665.1.0-61.fc38.noarch texlive-glyphlist-9:20210325-52.fc38.noarch texlive-gmdoc-9:svn21292.0.993-61.fc38.noarch texlive-gmdoc-enhance-9:svn15878.v0.2-61.fc38.noarch texlive-gmiflink-9:svn15878.v0.97-61.fc38.noarch texlive-gmp-9:svn21691.1.0-61.fc38.noarch texlive-gmutils-9:svn24287.v0.996-61.fc38.noarch texlive-gmverb-9:svn24288.v0.98-61.fc38.noarch texlive-gnu-freefont-9:svn29349.0-61.fc38.noarch texlive-gnuplottex-9:svn54758-61.fc38.noarch texlive-gofonts-9:svn54512-61.fc38.noarch texlive-gothic-9:svn49869-61.fc38.noarch texlive-gotoh-9:svn44764-61.fc38.noarch texlive-grabbox-9:svn51052-61.fc38.noarch texlive-gradientframe-9:svn21387.0.2-61.fc38.noarch texlive-gradstudentresume-9:svn38832-61.fc38.noarch texlive-grafcet-9:svn22509.1.3.5-61.fc38.noarch texlive-grant-9:svn56852-61.fc38.noarch texlive-graph35-9:svn47522-61.fc38.noarch texlive-graphbox-9:svn46360-61.fc38.noarch texlive-graphics-9:svn56514-61.fc38.noarch texlive-graphics-cfg-9:svn41448-61.fc38.noarch texlive-graphics-def-9:svn58539-61.fc38.noarch texlive-graphicx-psmin-9:svn56931-61.fc38.noarch texlive-graphicxbox-9:svn32630.1.0-61.fc38.noarch texlive-graphicxpsd-9:svn57341-61.fc38.noarch texlive-graphpaper-9:svn58661-61.fc38.noarch texlive-graphviz-9:svn31517.0.94-61.fc38.noarch texlive-grayhints-9:svn49052-61.fc38.noarch texlive-greek-fontenc-9:svn56851-61.fc38.noarch texlive-greek-inputenc-9:svn51612-61.fc38.noarch texlive-greenpoint-9:svn15878.0-61.fc38.noarch texlive-grfext-9:svn53024-61.fc38.noarch texlive-grffile-9:svn52756-61.fc38.noarch texlive-grfpaste-9:svn17354.0.2-61.fc38.noarch texlive-grid-9:svn15878.1.0-61.fc38.noarch texlive-grid-system-9:svn32981.0.3.0-61.fc38.noarch texlive-gridpapers-9:svn58723-61.fc38.noarch texlive-gridset-9:svn53762-61.fc38.noarch texlive-gridslides-9:svn54512-61.fc38.noarch texlive-grotesq-9:svn35859.0-61.fc38.noarch texlive-grundgesetze-9:svn58997-61.fc38.noarch texlive-gsemthesis-9:svn56291-61.fc38.noarch texlive-gsftopk-9:20210325-52.fc38.x86_64 texlive-gtl-9:svn49527-61.fc38.noarch texlive-gtrlib-largetrees-9:svn49062-61.fc38.noarch texlive-gu-9:svn15878.0-61.fc38.noarch texlive-gudea-9:svn57359-61.fc38.noarch texlive-guitlogo-9:svn55741-61.fc38.noarch texlive-gzt-9:svn57765-61.fc38.noarch texlive-h2020proposal-9:svn38428-61.fc38.noarch texlive-hackthefootline-9:svn46494-61.fc38.noarch texlive-hacm-9:svn27671.0.1-61.fc38.noarch texlive-hagenberg-thesis-9:svn56798-61.fc38.noarch texlive-halloweenmath-9:svn52602-61.fc38.noarch texlive-handin-9:svn48255-61.fc38.noarch texlive-handout-9:svn43962-61.fc38.noarch texlive-hands-9:svn13293.0-61.fc38.noarch texlive-hang-9:svn43280-61.fc38.noarch texlive-hanging-9:svn15878.1.2b-61.fc38.noarch texlive-har2nat-9:svn54080-61.fc38.noarch texlive-hardwrap-9:svn21396.0.2-61.fc38.noarch texlive-harnon-cv-9:svn26543.1.0-61.fc38.noarch texlive-harpoon-9:svn21327.1.0-61.fc38.noarch texlive-harvard-9:svn15878.2.0.5-61.fc38.noarch texlive-harveyballs-9:svn32003.1.1-61.fc38.noarch texlive-harvmac-9:svn15878.0-61.fc38.noarch texlive-hc-9:svn15878.0-61.fc38.noarch texlive-he-she-9:svn41359-61.fc38.noarch texlive-hecthese-9:svn56181-61.fc38.noarch texlive-helmholtz-ellis-ji-notation-9:svn55213-61.fc38.noarch texlive-helvetic-9:svn31835.0-61.fc38.noarch texlive-hep-9:svn15878.1.0-61.fc38.noarch texlive-hep-paper-9:svn57045-61.fc38.noarch texlive-hepnames-9:svn35722.2.0-61.fc38.noarch texlive-hepparticles-9:svn35723.2.0-61.fc38.noarch texlive-hepthesis-9:svn46054-61.fc38.noarch texlive-hepunits-9:svn54758-61.fc38.noarch texlive-here-9:svn16135.0-61.fc38.noarch texlive-heuristica-9:svn51362-61.fc38.noarch texlive-hf-tikz-9:svn34733.0.3a-61.fc38.noarch texlive-hfbright-9:svn29349.0-61.fc38.noarch texlive-hfoldsty-9:svn29349.1.15-61.fc38.noarch texlive-hhtensor-9:svn54080-61.fc38.noarch texlive-highlightlatex-9:svn58392-61.fc38.noarch texlive-hindmadurai-9:svn57360-61.fc38.noarch texlive-histogr-9:svn15878.1.01-61.fc38.noarch texlive-historische-zeitschrift-9:svn42635-61.fc38.noarch texlive-hitec-9:svn15878.0.0_beta_-61.fc38.noarch texlive-hithesis-9:svn53362-61.fc38.noarch texlive-hitreport-9:svn58357-61.fc38.noarch texlive-hitszbeamer-9:svn54381-61.fc38.noarch texlive-hitszthesis-9:svn55643-61.fc38.noarch texlive-hletter-9:svn30002.4.2-61.fc38.noarch texlive-hobby-9:svn44474-61.fc38.noarch texlive-hobete-9:svn27036.0-61.fc38.noarch texlive-hobsub-9:svn52810-61.fc38.noarch texlive-hologo-9:svn53048-61.fc38.noarch texlive-hopatch-9:svn56106-61.fc38.noarch texlive-hpsdiss-9:svn15878.1.0-61.fc38.noarch texlive-hrefhide-9:svn22255.1.0f-61.fc38.noarch texlive-hu-berlin-bundle-9:svn57580-61.fc38.noarch texlive-huawei-9:svn59328-61.fc38.noarch texlive-hustthesis-9:svn42547-61.fc38.noarch texlive-hvfloat-9:svn59104-61.fc38.noarch texlive-hvindex-9:svn46051-61.fc38.noarch texlive-hvqrurl-9:svn52993-61.fc38.noarch texlive-hycolor-9:svn53584-61.fc38.noarch texlive-hypdestopt-9:svn56253-61.fc38.noarch texlive-hypdvips-9:svn53197-61.fc38.noarch texlive-hyper-9:svn17357.4.2d-61.fc38.noarch texlive-hyperbar-9:svn48147-61.fc38.noarch texlive-hypernat-9:svn17358.1.0b-61.fc38.noarch texlive-hyperref-9:svn58024-61.fc38.noarch texlive-hyperxmp-9:20210325-52.fc38.x86_64 texlive-hyph-utf8-9:svn58619-61.fc38.noarch texlive-hyphen-base-9:svn58630-61.fc38.noarch texlive-hyphen-polish-9:svn58609-61.fc38.noarch texlive-hyphenat-9:svn15878.2.3c-61.fc38.noarch texlive-hyphenex-9:svn57387-61.fc38.noarch texlive-ibarra-9:svn55820-61.fc38.noarch texlive-icite-9:svn54512-61.fc38.noarch texlive-icsv-9:svn15878.0.2-61.fc38.noarch texlive-identkey-9:svn49018-61.fc38.noarch texlive-idxcmds-9:svn54554-61.fc38.noarch texlive-idxlayout-9:svn25821.0.4d-61.fc38.noarch texlive-ieeepes-9:svn17359.4.0-61.fc38.noarch texlive-ietfbibs-doc-9:svn41332-61.fc38.noarch texlive-iffont-9:svn38823-61.fc38.noarch texlive-ifmslide-9:svn20727.0.47-61.fc38.noarch texlive-ifmtarg-9:svn47544-61.fc38.noarch texlive-ifnextok-9:svn23379.0.3-61.fc38.noarch texlive-ifoddpage-9:svn56291-61.fc38.noarch texlive-ifplatform-9:svn45533-61.fc38.noarch texlive-ifsym-9:svn24868.0-61.fc38.noarch texlive-iftex-9:svn56594-61.fc38.noarch texlive-ifthenx-9:svn25819.0.1a-61.fc38.noarch texlive-iitem-9:svn29613.1.0-61.fc38.noarch texlive-ijmart-9:svn30958.1.7-61.fc38.noarch texlive-ijqc-9:svn15878.1.2-61.fc38.noarch texlive-ijsra-9:svn44886-61.fc38.noarch texlive-imac-9:svn17347.0-61.fc38.noarch texlive-image-gallery-9:svn15878.v1.0j-61.fc38.noarch texlive-imakeidx-9:svn42287-61.fc38.noarch texlive-imfellenglish-9:svn38547-61.fc38.noarch texlive-import-9:svn54683-61.fc38.noarch texlive-imtekda-9:svn17667.1.7-61.fc38.noarch texlive-incgraph-9:svn36500.1.12-61.fc38.noarch texlive-includernw-9:svn47557-61.fc38.noarch texlive-inconsolata-9:svn54512-61.fc38.noarch texlive-index-9:svn24099.4.1beta-61.fc38.noarch texlive-indextools-9:svn38931-61.fc38.noarch texlive-infwarerr-9:svn53023-61.fc38.noarch texlive-initials-9:svn54080-61.fc38.noarch texlive-inkpaper-9:svn54080-61.fc38.noarch texlive-inline-images-9:svn54080-61.fc38.noarch texlive-inlinebib-9:svn22018.0-61.fc38.noarch texlive-inlinedef-9:svn15878.1.0-61.fc38.noarch texlive-inputenx-9:svn52986-61.fc38.noarch texlive-inputtrc-9:svn28019.0.3-61.fc38.noarch texlive-inriafonts-9:svn54512-61.fc38.noarch texlive-intcalc-9:svn53168-61.fc38.noarch texlive-inter-9:svn58892-61.fc38.noarch texlive-interactiveworkbook-9:svn15878.0-61.fc38.noarch texlive-interfaces-9:svn21474.3.1-61.fc38.noarch texlive-interval-9:svn50265-61.fc38.noarch texlive-intopdf-9:svn58743-61.fc38.noarch texlive-inversepath-9:svn15878.0.2-61.fc38.noarch texlive-invoice-9:svn48359-61.fc38.noarch texlive-invoice-class-9:svn49749-61.fc38.noarch texlive-invoice2-9:svn46364-61.fc38.noarch texlive-iodhbwm-9:svn57773-61.fc38.noarch texlive-ionumbers-9:svn33457.0.3.3-61.fc38.noarch texlive-iopart-num-9:svn15878.2.1-61.fc38.noarch texlive-ipaex-9:svn52032-61.fc38.noarch texlive-ipaex-type1-9:svn47700-61.fc38.noarch texlive-is-bst-9:svn52623-61.fc38.noarch texlive-iscram-9:svn45801-61.fc38.noarch texlive-iso-9:svn15878.2.4-61.fc38.noarch texlive-iso10303-9:svn15878.1.5-61.fc38.noarch texlive-isodate-9:svn16613.2.28-61.fc38.noarch texlive-isodoc-9:svn57811-61.fc38.noarch texlive-isomath-9:svn27654.0.6.1-61.fc38.noarch texlive-isonums-9:svn17362.1.0-61.fc38.noarch texlive-isopt-9:svn45509-61.fc38.noarch texlive-isorot-9:svn15878.0-61.fc38.noarch texlive-isotope-9:svn23711.v0.3-61.fc38.noarch texlive-issuulinks-9:svn25742.1.1-61.fc38.noarch texlive-istgame-9:svn49848-61.fc38.noarch texlive-itnumpar-9:svn15878.1.0-61.fc38.noarch texlive-iwhdp-9:svn37552.0.50-61.fc38.noarch texlive-iwona-9:svn19611.0.995b-61.fc38.noarch texlive-jablantile-9:svn16364.0-61.fc38.noarch texlive-jacow-9:svn50870-61.fc38.noarch texlive-jamtimes-9:svn20408.1.12-61.fc38.noarch texlive-japanese-otf-9:svn57826-61.fc38.noarch texlive-japanese-otf-uptex-9:svn56932-61.fc38.noarch texlive-jbact-9:svn52717-61.fc38.noarch texlive-jkmath-9:svn47109-61.fc38.noarch texlive-jknapltx-9:svn19440.0-61.fc38.noarch texlive-jlabels-9:svn24858.0-61.fc38.noarch texlive-jmb-9:svn52718-61.fc38.noarch texlive-jmlr-9:svn56395-61.fc38.noarch texlive-jneurosci-9:svn17346.1.00-61.fc38.noarch texlive-jnuexam-9:svn56867-61.fc38.noarch texlive-josefin-9:svn57152-61.fc38.noarch texlive-jpsj-9:svn15878.1.2.2-61.fc38.noarch texlive-jslectureplanner-9:svn57095-61.fc38.noarch texlive-jumplines-9:svn37553.0.2-61.fc38.noarch texlive-junicode-9:svn53954-61.fc38.noarch texlive-jupynotex-9:svn56715-61.fc38.noarch texlive-jurabib-9:svn15878.0.6-61.fc38.noarch texlive-jvlisting-9:svn24638.0.7-61.fc38.noarch texlive-kalendarium-9:svn48744-61.fc38.noarch texlive-kantlipsum-9:svn51727-61.fc38.noarch texlive-karnaugh-9:svn21338.0-61.fc38.noarch texlive-karnaugh-map-9:svn44131-61.fc38.noarch texlive-karnaughmap-9:svn36989.2.0-61.fc38.noarch texlive-kastrup-9:svn15878.0-61.fc38.noarch texlive-kblocks-9:svn57617-61.fc38.noarch texlive-kdgdocs-9:svn24498.1.0-61.fc38.noarch texlive-kerntest-9:svn15878.1.32-61.fc38.noarch texlive-keycommand-9:svn18042.3.1415-61.fc38.noarch texlive-keyfloat-9:svn52160-61.fc38.noarch texlive-keyindex-9:svn50828-61.fc38.noarch texlive-keyreader-9:svn28195.0.5b-61.fc38.noarch texlive-keystroke-9:svn17992.v1.6-61.fc38.noarch texlive-keyval2e-9:svn23698.0.0.2-61.fc38.noarch texlive-keyvaltable-9:svn54677-61.fc38.noarch texlive-kix-9:svn21606.0-61.fc38.noarch texlive-kixfont-9:svn18488.0-61.fc38.noarch texlive-kluwer-9:svn54074-61.fc38.noarch texlive-knitting-9:svn50782-61.fc38.noarch texlive-knittingpattern-9:svn17205.0-61.fc38.noarch texlive-knowledge-9:svn58724-61.fc38.noarch texlive-knuth-lib-9:svn57963-61.fc38.noarch texlive-knuth-local-9:svn57963-61.fc38.noarch texlive-koma-moderncvclassic-9:svn25025.v0.5-61.fc38.noarch texlive-koma-script-9:svn58585-61.fc38.noarch texlive-koma-script-sfs-9:svn26137.1.0-61.fc38.noarch texlive-komacv-9:svn57721-61.fc38.noarch texlive-komacv-rg-9:svn49064-61.fc38.noarch texlive-kpathsea-9:20210325-52.fc38.x86_64 texlive-kpfonts-9:svn55643-61.fc38.noarch texlive-kpfonts-otf-9:svn59304-61.fc38.noarch texlive-ksfh_nat-9:svn24825.1.1-61.fc38.noarch texlive-ksp-thesis-9:svn39080-61.fc38.noarch texlive-ktv-texdata-9:svn27369.05.34-61.fc38.noarch texlive-ku-template-9:svn45935-61.fc38.noarch texlive-kurier-9:svn19612.0.995b-61.fc38.noarch texlive-kvdefinekeys-9:svn53193-61.fc38.noarch texlive-kvmap-9:svn56361-61.fc38.noarch texlive-kvoptions-9:svn56609-61.fc38.noarch texlive-kvsetkeys-9:svn53166-61.fc38.noarch texlive-l3backend-9:svn59118-61.fc38.noarch texlive-l3build-9:20210325-52.fc38.noarch texlive-l3experimental-9:svn57789-61.fc38.noarch texlive-l3kernel-9:svn59118-61.fc38.noarch texlive-l3packages-9:svn59118-61.fc38.noarch texlive-labbook-9:svn15878.0-61.fc38.noarch texlive-labels-9:svn15878.13-61.fc38.noarch texlive-labels4easylist-9:svn51124-61.fc38.noarch texlive-labelschanged-9:svn46040-61.fc38.noarch texlive-ladder-9:svn44394-61.fc38.noarch texlive-lambda-lists-9:svn31402.0-61.fc38.noarch texlive-langcode-9:svn27764.0.2-61.fc38.noarch texlive-langsci-9:svn58820-61.fc38.noarch texlive-langsci-avm-9:svn55846-61.fc38.noarch texlive-lapdf-9:svn23806.1.1-61.fc38.noarch texlive-lastpackage-9:svn34481.0.1-61.fc38.noarch texlive-lastpage-9:svn36680.1.2m-61.fc38.noarch texlive-latex-9:20210325-52.fc38.noarch texlive-latex-base-dev-9:svn59080-61.fc38.noarch texlive-latex-firstaid-dev-9:svn57981-61.fc38.noarch texlive-latex-fonts-9:svn28888.0-61.fc38.noarch texlive-latex-make-9:svn57349-61.fc38.noarch texlive-latex-uni8-9:svn49729-61.fc38.noarch texlive-latexbug-9:svn58151-61.fc38.noarch texlive-latexcolors-9:svn49888-61.fc38.noarch texlive-latexconfig-9:svn53525-61.fc38.noarch texlive-latexdemo-9:svn55265-61.fc38.noarch texlive-latexgit-9:svn54811-61.fc38.noarch texlive-lato-9:svn54512-61.fc38.noarch texlive-layouts-9:svn42428-61.fc38.noarch texlive-lazylist-9:svn17691.1.0a-61.fc38.noarch texlive-lccaps-9:svn46432-61.fc38.noarch texlive-lcd-9:svn16549.0.3-61.fc38.noarch texlive-lcg-9:svn31474.1.3-61.fc38.noarch texlive-leading-9:svn15878.0.3-61.fc38.noarch texlive-leaflet-9:svn56878-61.fc38.noarch texlive-lebhart-9:svn59322-61.fc38.noarch texlive-lectures-9:svn53642-61.fc38.noarch texlive-lectureslides-9:svn58393-61.fc38.noarch texlive-leftidx-9:svn15878.0-61.fc38.noarch texlive-leftindex-9:svn56182-61.fc38.noarch texlive-leipzig-9:svn52450-61.fc38.noarch texlive-lengthconvert-9:svn55064-61.fc38.noarch texlive-letltxmacro-9:svn53022-61.fc38.noarch texlive-letterswitharrows-9:svn53709-61.fc38.noarch texlive-lettre-9:svn54722-61.fc38.noarch texlive-lettrine-9:svn54560-61.fc38.noarch texlive-lewis-9:svn15878.0.1-61.fc38.noarch texlive-lexend-9:svn57564-61.fc38.noarch texlive-lfb-9:svn15878.1.0-61.fc38.noarch texlive-lhelp-9:svn23638.2.0-61.fc38.noarch texlive-lib-9:20210325-52.fc38.x86_64 texlive-libertine-9:svn54583-61.fc38.noarch texlive-libertinegc-9:svn44616-61.fc38.noarch texlive-libertinus-9:svn55064-61.fc38.noarch texlive-libertinus-fonts-9:svn57948-61.fc38.noarch texlive-libertinus-otf-9:svn57398-61.fc38.noarch texlive-libertinus-type1-9:svn57754-61.fc38.noarch texlive-libertinust1math-9:svn56861-61.fc38.noarch texlive-libgreek-9:svn27789.1.0-61.fc38.noarch texlive-librebaskerville-9:svn56018-61.fc38.noarch texlive-librebodoni-9:svn39375-61.fc38.noarch texlive-librecaslon-9:svn56003-61.fc38.noarch texlive-librefranklin-9:svn54512-61.fc38.noarch texlive-libris-9:svn19409.1.007-61.fc38.noarch texlive-lie-hasse-9:svn53653-61.fc38.noarch texlive-limap-9:svn44863-61.fc38.noarch texlive-limecv-9:svn54329-61.fc38.noarch texlive-lineara-9:svn15878.0-61.fc38.noarch texlive-linegoal-9:svn21523.2.9-61.fc38.noarch texlive-lineno-9:svn57866-61.fc38.noarch texlive-linguex-9:svn30815.4.3-61.fc38.noarch texlive-linguisticspro-9:svn54512-61.fc38.noarch texlive-linop-9:svn41304-61.fc38.noarch texlive-lion-msc-9:svn55415-61.fc38.noarch texlive-lipsum-9:svn58123-61.fc38.noarch texlive-lisp-on-tex-9:svn38722-61.fc38.noarch texlive-listbib-9:20210325-52.fc38.noarch texlive-listing-9:svn17373.1.2-61.fc38.noarch texlive-listings-9:svn55265-61.fc38.noarch texlive-listingsutf8-9:svn53097-61.fc38.noarch texlive-listlbls-9:svn34893.1.03-61.fc38.noarch texlive-listliketab-9:svn15878.0-61.fc38.noarch texlive-listofitems-9:svn51923-61.fc38.noarch texlive-listofsymbols-9:svn16134.0.2-61.fc38.noarch texlive-lkproof-9:svn20021.3.1-61.fc38.noarch texlive-llncsconf-9:svn55117-61.fc38.noarch texlive-lm-9:svn58637-61.fc38.noarch texlive-lm-math-9:svn36915.1.959-61.fc38.noarch texlive-lmake-9:svn25552.1.0-61.fc38.noarch texlive-lni-9:svn58061-61.fc38.noarch texlive-lobster2-9:svn56019-61.fc38.noarch texlive-locality-9:svn20422.0.2-61.fc38.noarch texlive-logbox-9:svn24499.1.0-61.fc38.noarch texlive-logical-markup-utils-9:svn15878.0-61.fc38.noarch texlive-logicproof-9:svn33254.0-61.fc38.noarch texlive-logix-9:svn57457-61.fc38.noarch texlive-logpap-9:svn15878.0.6-61.fc38.noarch texlive-logreq-9:svn53003-61.fc38.noarch texlive-longdivision-9:svn55117-61.fc38.noarch texlive-longfbox-9:svn39028-61.fc38.noarch texlive-longfigure-9:svn34302.1.0-61.fc38.noarch texlive-longnamefilelist-9:svn27889.0.2-61.fc38.noarch texlive-loops-9:svn30704.1.3-61.fc38.noarch texlive-lpform-9:svn36918.0-61.fc38.noarch texlive-lpic-9:svn20843.0.8-61.fc38.noarch texlive-lplfitch-9:svn31077.0.9-61.fc38.noarch texlive-lps-9:svn21322.0.7-61.fc38.noarch texlive-lroundrect-9:svn39804-61.fc38.noarch texlive-lsc-9:svn15878.0-61.fc38.noarch texlive-lstaddons-9:svn56291-61.fc38.noarch texlive-lstbayes-9:svn48160-61.fc38.noarch texlive-lstfiracode-9:svn49503-61.fc38.noarch texlive-lt3graph-9:svn45913-61.fc38.noarch texlive-ltablex-9:svn34923.1.1-61.fc38.noarch texlive-ltabptch-9:svn17533.1.74d-61.fc38.noarch texlive-ltb2bib-9:svn43746-61.fc38.noarch texlive-ltxcmds-9:svn56421-61.fc38.noarch texlive-ltxdockit-9:svn21869.1.2d-61.fc38.noarch texlive-ltxguidex-9:svn50992-61.fc38.noarch texlive-ltxkeys-9:svn28332.0.0.3c-61.fc38.noarch texlive-ltxmisc-9:svn21927.0-61.fc38.noarch texlive-ltxnew-9:svn21586.1.3-61.fc38.noarch texlive-ltxtools-9:svn24897.0.0.1a-61.fc38.noarch texlive-lua-alt-getopt-9:svn56414-61.fc38.noarch texlive-lua-check-hyphen-9:svn47527-61.fc38.noarch texlive-lua-physical-9:svn59138-61.fc38.noarch texlive-luabibentry-9:svn55777-61.fc38.noarch texlive-luabidi-9:svn54512-61.fc38.noarch texlive-luacode-9:svn25193.1.2a-61.fc38.noarch texlive-luacolor-9:svn57829-61.fc38.noarch texlive-luahbtex-9:20210325-52.fc38.x86_64 texlive-luainputenc-9:svn20491.0.973-61.fc38.noarch texlive-lualatex-math-9:svn56541-61.fc38.noarch texlive-lualibs-9:svn57277-61.fc38.noarch texlive-luamesh-9:svn55475-61.fc38.noarch texlive-luaotfload-9:20210325-52.fc38.noarch texlive-luasseq-9:svn37877.0-61.fc38.noarch texlive-luatex-9:20210325-52.fc38.x86_64 texlive-luatexbase-9:svn52663-61.fc38.noarch texlive-luatexja-9:svn58542-61.fc38.noarch texlive-luatextra-9:svn20747.1.0.1-61.fc38.noarch texlive-luatodonotes-9:svn53825-61.fc38.noarch texlive-lwarp-9:20210325-52.fc38.noarch texlive-lxfonts-9:svn32354.2.0b-61.fc38.noarch texlive-ly1-9:svn47848-61.fc38.noarch texlive-macroswap-9:svn31498.1.1-61.fc38.noarch texlive-magaz-9:svn24694.0.4-61.fc38.noarch texlive-magicnum-9:svn52983-61.fc38.noarch texlive-magra-9:svn57373-61.fc38.noarch texlive-mailing-9:svn15878.0-61.fc38.noarch texlive-mailmerge-9:svn15878.1.0-61.fc38.noarch texlive-make4ht-9:20210325-52.fc38.noarch texlive-makebarcode-9:svn15878.1.0-61.fc38.noarch texlive-makebase-9:svn41012-61.fc38.noarch texlive-makebox-9:svn15878.0.1-61.fc38.noarch texlive-makecell-9:svn15878.0.1e-61.fc38.noarch texlive-makecirc-9:svn15878.0-61.fc38.noarch texlive-makecmds-9:svn15878.0-61.fc38.noarch texlive-makecookbook-9:svn49311-61.fc38.noarch texlive-makedtx-9:20210325-52.fc38.noarch texlive-makeglos-9:svn15878.0-61.fc38.noarch texlive-makeindex-9:20210325-52.fc38.x86_64 texlive-maker-9:svn44823-61.fc38.noarch texlive-makerobust-9:svn52811-61.fc38.noarch texlive-makeshape-9:svn28973.2.1-61.fc38.noarch texlive-mandi-9:svn49720-61.fc38.noarch texlive-manfnt-9:svn54684-61.fc38.noarch texlive-manfnt-font-9:svn54684-61.fc38.noarch texlive-manuscript-9:svn36110.1.7-61.fc38.noarch texlive-manyind-9:svn49874-61.fc38.noarch texlive-marcellus-9:svn56016-61.fc38.noarch texlive-margbib-9:svn15878.1.0c-61.fc38.noarch texlive-marginfit-9:svn48281-61.fc38.noarch texlive-marginfix-9:svn55064-61.fc38.noarch texlive-marginnote-9:svn48383-61.fc38.noarch texlive-markdown-9:svn56414-61.fc38.noarch texlive-marvosym-9:svn29349.2.2a-61.fc38.noarch texlive-matc3-9:svn29845.1.0.1-61.fc38.noarch texlive-matc3mem-9:svn35773.1.1-61.fc38.noarch texlive-mathabx-9:svn15878.0-61.fc38.noarch texlive-mathabx-type1-9:svn21129.0-61.fc38.noarch texlive-mathalpha-9:svn52305-61.fc38.noarch texlive-mathastext-9:svn52840-61.fc38.noarch texlive-mathcommand-9:svn53044-61.fc38.noarch texlive-mathcomp-9:svn15878.0.1f-61.fc38.noarch texlive-mathdesign-9:svn31639.2.31-61.fc38.noarch texlive-mathexam-9:svn15878.1.00-61.fc38.noarch texlive-mathfam256-9:svn53519-61.fc38.noarch texlive-mathfixs-9:svn49547-61.fc38.noarch texlive-mathfont-9:svn53035-61.fc38.noarch texlive-mathlig-9:svn54244-61.fc38.noarch texlive-mathpartir-9:svn39864-61.fc38.noarch texlive-mathpazo-9:svn52663-61.fc38.noarch texlive-mathpunctspace-9:svn46754-61.fc38.noarch texlive-mathspec-9:svn42773-61.fc38.noarch texlive-mathspic-9:20210325-52.fc38.noarch texlive-mathtools-9:svn58856-61.fc38.noarch texlive-matlab-prettifier-9:svn34323.0.3-61.fc38.noarch texlive-matrix-skeleton-9:svn54080-61.fc38.noarch texlive-mattens-9:svn17582.1.3-61.fc38.noarch texlive-maybemath-9:svn15878.0-61.fc38.noarch texlive-mcaption-9:svn15878.3.0-61.fc38.noarch texlive-mceinleger-9:svn15878.0-61.fc38.noarch texlive-mcexam-9:svn46155-61.fc38.noarch texlive-mcite-9:svn18173.1.6-61.fc38.noarch texlive-mciteplus-9:svn31648.1.2-61.fc38.noarch texlive-mcmthesis-9:svn57333-61.fc38.noarch texlive-mdframed-9:svn31075.1.9b-61.fc38.noarch texlive-mdputu-9:svn20298.1.2-61.fc38.noarch texlive-mdsymbol-9:svn28399.0.5-61.fc38.noarch texlive-mdwtools-9:svn15878.1.05.4-61.fc38.noarch texlive-media4svg-9:svn57528-61.fc38.noarch texlive-media9-9:svn58025-61.fc38.noarch texlive-medstarbeamer-9:svn38828-61.fc38.noarch texlive-meetingmins-9:svn31878.1.6-61.fc38.noarch texlive-membranecomputing-9:svn55918-61.fc38.noarch texlive-memexsupp-9:svn15878.0.1-61.fc38.noarch texlive-memoir-9:svn58666-61.fc38.noarch texlive-memory-9:svn30452.1.2-61.fc38.noarch texlive-memorygraphs-9:svn49631-61.fc38.noarch texlive-mensa-tex-9:svn45997-61.fc38.noarch texlive-mentis-9:svn15878.1.5-61.fc38.noarch texlive-menu-9:svn15878.0.994-61.fc38.noarch texlive-menucard-9:svn55643-61.fc38.noarch texlive-menukeys-9:svn57172-61.fc38.noarch texlive-mercatormap-9:svn56060-61.fc38.noarch texlive-merriweather-9:svn56365-61.fc38.noarch texlive-metafont-9:20210325-52.fc38.x86_64 texlive-metalogo-9:svn18611.0.12-61.fc38.noarch texlive-metalogox-9:svn49774-61.fc38.noarch texlive-metanorma-9:svn55010-61.fc38.noarch texlive-metastr-9:svn56246-61.fc38.noarch texlive-method-9:svn17485.2.0b-61.fc38.noarch texlive-metre-9:svn18489.1.0-61.fc38.noarch texlive-mfirstuc-9:svn45803-61.fc38.noarch texlive-mflogo-9:svn42428-61.fc38.noarch texlive-mflogo-font-9:svn54512-61.fc38.noarch texlive-mfnfss-9:svn46036-61.fc38.noarch texlive-mftinc-9:svn15878.1.0a-61.fc38.noarch texlive-mfware-9:20210325-52.fc38.x86_64 texlive-mgltex-9:svn41676-61.fc38.noarch texlive-mhchem-9:svn52662-61.fc38.noarch texlive-mhequ-9:svn38224.1.7-61.fc38.noarch texlive-mi-solns-9:svn49651-61.fc38.noarch texlive-miama-9:svn54512-61.fc38.noarch texlive-microtype-9:svn58394-61.fc38.noarch texlive-midpage-9:svn17484.1.1a-61.fc38.noarch texlive-miller-9:svn18789.1.2-61.fc38.noarch texlive-milsymb-9:svn54361-61.fc38.noarch texlive-mindflow-9:svn59346-61.fc38.noarch texlive-minibox-9:svn30914.0.2a-61.fc38.noarch texlive-minidocument-9:svn43752-61.fc38.noarch texlive-minifp-9:svn32559.0.96-61.fc38.noarch texlive-minimalist-9:svn59317-61.fc38.noarch texlive-minipage-marginpar-9:svn15878.v0.2-61.fc38.noarch texlive-miniplot-9:svn17483.0-61.fc38.noarch texlive-minitoc-9:svn48196-61.fc38.noarch texlive-minorrevision-9:svn32165.1.1-61.fc38.noarch texlive-minted-9:svn44855-61.fc38.noarch texlive-mintspirit-9:svn32069.0-61.fc38.noarch texlive-minutes-9:svn42186-61.fc38.noarch texlive-mismath-9:svn56949-61.fc38.noarch texlive-missaali-9:svn58771-61.fc38.noarch texlive-mkpic-9:20210325-52.fc38.noarch texlive-mla-paper-9:svn54080-61.fc38.noarch texlive-mlacls-9:svn56878-61.fc38.noarch texlive-mleftright-9:svn53021-61.fc38.noarch texlive-mlist-9:svn15878.0.6a-61.fc38.noarch texlive-mlmodern-9:svn57458-61.fc38.noarch texlive-mluexercise-9:svn56927-61.fc38.noarch texlive-mmap-9:svn15878.1.03-61.fc38.noarch texlive-mnotes-9:svn35521.0.8-61.fc38.noarch texlive-mnras-9:svn55729-61.fc38.noarch texlive-mnsymbol-9:svn18651.1.4-61.fc38.noarch texlive-modeles-factures-belges-assocs-9:svn50010-61.fc38.noarch texlive-moderncv-9:svn57496-61.fc38.noarch texlive-modernposter-9:svn47269-61.fc38.noarch texlive-moderntimeline-9:svn55518-61.fc38.noarch texlive-modes-9:svn56303-61.fc38.noarch texlive-modiagram-9:svn56886-61.fc38.noarch texlive-modref-9:svn15878.1.0-61.fc38.noarch texlive-modroman-9:svn29803.1-61.fc38.noarch texlive-modular-9:svn44142-61.fc38.noarch texlive-monofill-9:svn28140.0.2-61.fc38.noarch texlive-montserrat-9:svn54512-61.fc38.noarch texlive-moodle-9:svn57683-61.fc38.noarch texlive-moreenum-9:svn24479.1.03-61.fc38.noarch texlive-morefloats-9:svn37927.1.0h-61.fc38.noarch texlive-morehype-9:svn38815-61.fc38.noarch texlive-moresize-9:svn17513.1.9-61.fc38.noarch texlive-moreverb-9:svn22126.2.3a-61.fc38.noarch texlive-morewrites-9:svn49531-61.fc38.noarch texlive-mparhack-9:svn59066-61.fc38.noarch texlive-mpfonts-9:svn54512-61.fc38.noarch texlive-mpostinl-9:svn49559-61.fc38.noarch texlive-mptopdf-9:20210325-52.fc38.noarch texlive-ms-9:svn57473-61.fc38.noarch texlive-msc-9:svn15878.1.16-61.fc38.noarch texlive-msg-9:svn49578-61.fc38.noarch texlive-mslapa-9:svn54080-61.fc38.noarch texlive-msu-thesis-9:svn59146-61.fc38.noarch texlive-mtgreek-9:svn17967.1.1+-61.fc38.noarch texlive-mucproc-9:svn43445-61.fc38.noarch texlive-mugsthesis-9:svn34878.0-61.fc38.noarch texlive-muling-9:svn56991-61.fc38.noarch texlive-multenum-9:svn21775.0-61.fc38.noarch texlive-multiaudience-9:svn38035.1.03-61.fc38.noarch texlive-multibbl-9:svn15878.v1.1-61.fc38.noarch texlive-multibib-9:svn15878.1.4-61.fc38.noarch texlive-multibibliography-9:20210325-52.fc38.noarch texlive-multicap-9:svn15878.0-61.fc38.noarch texlive-multicolrule-9:svn56366-61.fc38.noarch texlive-multidef-9:svn40637-61.fc38.noarch texlive-multido-9:svn18302.1.42-61.fc38.noarch texlive-multienv-9:svn56291-61.fc38.noarch texlive-multiexpand-9:svn45943-61.fc38.noarch texlive-multilang-9:svn49065-61.fc38.noarch texlive-multiobjective-9:svn15878.1.0-61.fc38.noarch texlive-multirow-9:svn58396-61.fc38.noarch texlive-munich-9:svn15878.0-61.fc38.noarch texlive-musuos-9:svn24857.1.1d-61.fc38.noarch texlive-muthesis-9:svn23861.0-61.fc38.noarch texlive-mversion-9:svn29370.1.0.1-61.fc38.noarch texlive-mwe-9:svn56291-61.fc38.noarch texlive-mweights-9:svn53520-61.fc38.noarch texlive-mycv-9:svn26807.1.5.6-61.fc38.noarch texlive-mylatex-9:svn56751-61.fc38.noarch texlive-mylatexformat-9:svn21392.3.4-61.fc38.noarch texlive-mynsfc-9:svn41996-61.fc38.noarch texlive-nag-9:svn24741.0.7-61.fc38.noarch texlive-nameauth-9:svn58026-61.fc38.noarch texlive-namespc-9:svn15878.0-61.fc38.noarch texlive-nar-9:svn38100.3.19-61.fc38.noarch texlive-natbib-9:svn20668.8.31b-61.fc38.noarch texlive-natded-9:svn32693.0.1-61.fc38.noarch texlive-nath-9:svn15878.0-61.fc38.noarch texlive-nature-9:svn21819.1.0-61.fc38.noarch texlive-navydocs-9:svn41643-61.fc38.noarch texlive-ncclatex-9:svn15878.1.5-61.fc38.noarch texlive-ncctools-9:svn51810-61.fc38.noarch texlive-ncntrsbk-9:svn31835.0-61.fc38.noarch texlive-nddiss-9:svn45107-61.fc38.noarch texlive-ndsu-thesis-9:svn46639-61.fc38.noarch texlive-needspace-9:svn29601.1.3d-61.fc38.noarch texlive-nestquot-9:svn27323.0-61.fc38.noarch texlive-neuralnetwork-9:svn31500.1.0-61.fc38.noarch texlive-newcommand-doc-9:svn18704.2.0-61.fc38.noarch texlive-newcomputermodern-9:svn59041-61.fc38.noarch texlive-newenviron-9:svn29331.1.0-61.fc38.noarch texlive-newfile-9:svn15878.1.0c-61.fc38.noarch texlive-newfloat-9:svn52906-61.fc38.noarch texlive-newlfm-9:svn15878.9.4-61.fc38.noarch texlive-newpx-9:svn58838-61.fc38.noarch texlive-newspaper-9:svn15878.1.0-61.fc38.noarch texlive-newtx-9:svn58748-61.fc38.noarch texlive-newtxsf-9:svn56527-61.fc38.noarch texlive-newtxtt-9:svn54512-61.fc38.noarch texlive-newunicodechar-9:svn47382-61.fc38.noarch texlive-newvbtm-9:svn23996.1.1-61.fc38.noarch texlive-newverbs-9:svn58256-61.fc38.noarch texlive-nextpage-9:svn15878.1.1a-61.fc38.noarch texlive-nfssext-cfr-9:svn43640-61.fc38.noarch texlive-nicefilelist-9:svn28527.0.7a-61.fc38.noarch texlive-niceframe-9:svn36086.1.1c-61.fc38.noarch texlive-niceframe-type1-9:svn44671-61.fc38.noarch texlive-nicematrix-9:svn59105-61.fc38.noarch texlive-nicetext-9:svn38914-61.fc38.noarch texlive-nidanfloat-9:svn48295-61.fc38.noarch texlive-nih-9:svn15878.0-61.fc38.noarch texlive-nihbiosketch-9:svn54191-61.fc38.noarch texlive-nimbus15-9:svn58839-61.fc38.noarch texlive-ninecolors-9:svn59330-61.fc38.noarch texlive-nkarta-9:svn16437.0.2-61.fc38.noarch texlive-nl-interval-9:svn58328-61.fc38.noarch texlive-nlctdoc-9:svn53768-61.fc38.noarch texlive-nmbib-9:svn37984.1.04-61.fc38.noarch texlive-noconflict-9:svn30140.1.0-61.fc38.noarch texlive-noindentafter-9:svn35709.0.2.2-61.fc38.noarch texlive-noitcrul-9:svn15878.0.2-61.fc38.noarch texlive-nolbreaks-9:svn26786.1.2-61.fc38.noarch texlive-nomencl-9:svn57263-61.fc38.noarch texlive-nomentbl-9:svn16549.0.4-61.fc38.noarch texlive-nonfloat-9:svn17598.1.0-61.fc38.noarch texlive-nonumonpart-9:svn22114.1-61.fc38.noarch texlive-nopageno-9:svn18128.0-61.fc38.noarch texlive-norasi-c90-9:svn37675.0-61.fc38.noarch texlive-normalcolor-9:svn40125-61.fc38.noarch texlive-nostarch-9:svn15878.1.3-61.fc38.noarch texlive-notes-9:svn42428-61.fc38.noarch texlive-notes2bib-9:svn52231-61.fc38.noarch texlive-notespages-9:svn41906-61.fc38.noarch texlive-notestex-9:svn45396-61.fc38.noarch texlive-notex-bst-9:svn42361-61.fc38.noarch texlive-noto-9:svn54512-61.fc38.noarch texlive-noto-emoji-9:svn53968-61.fc38.noarch texlive-notoccite-9:svn18129.0-61.fc38.noarch texlive-notomath-9:svn58726-61.fc38.noarch texlive-novel-9:svn54512-61.fc38.noarch texlive-nowidow-9:svn24066.1.0-61.fc38.noarch texlive-nox-9:svn30991.1.0-61.fc38.noarch texlive-nrc-9:svn29027.2.01a-61.fc38.noarch texlive-ntgclass-9:svn56959-61.fc38.noarch texlive-nth-9:svn54252-61.fc38.noarch texlive-ntheorem-9:svn27609.1.33-61.fc38.noarch texlive-nuc-9:svn22256.0.1-61.fc38.noarch texlive-nucleardata-9:svn47307-61.fc38.noarch texlive-numberedblock-9:svn33109.1.10-61.fc38.noarch texlive-numerica-9:svn57759-61.fc38.noarch texlive-numericplots-9:svn31729.2.0.2-61.fc38.noarch texlive-numname-9:svn18130.0-61.fc38.noarch texlive-numprint-9:svn27498.1.39-61.fc38.noarch texlive-numspell-9:svn56912-61.fc38.noarch texlive-nwejm-9:svn54392-61.fc38.noarch texlive-oberdiek-9:20210325-52.fc38.noarch texlive-objectz-9:svn19389.0-61.fc38.noarch texlive-obnov-9:svn33355.0.11-61.fc38.noarch texlive-ocg-p-9:svn28803.0.4-61.fc38.noarch texlive-ocgx-9:svn54512-61.fc38.noarch texlive-ocgx2-9:svn57531-61.fc38.noarch texlive-ocherokee-9:svn25689.0-61.fc38.noarch texlive-ocr-b-9:svn20852.0-61.fc38.noarch texlive-ocr-b-outline-9:svn20969.0-61.fc38.noarch texlive-ocr-latex-9:svn15878.0-61.fc38.noarch texlive-octavo-9:svn15878.1.2-61.fc38.noarch texlive-ogham-9:svn24876.0-61.fc38.noarch texlive-oinuit-9:svn28668.0-61.fc38.noarch texlive-old-arrows-9:svn42872-61.fc38.noarch texlive-oldlatin-9:svn17932.1.00-61.fc38.noarch texlive-oldstandard-9:svn57213-61.fc38.noarch texlive-oldstyle-9:svn15878.0.2-61.fc38.noarch texlive-onlyamsmath-9:svn42927-61.fc38.noarch texlive-onrannual-9:svn17474.1.1-61.fc38.noarch texlive-opcit-9:svn15878.1.1-61.fc38.noarch texlive-opensans-9:svn54512-61.fc38.noarch texlive-oplotsymbl-9:svn44951-61.fc38.noarch texlive-opteng-9:svn27331.1.0-61.fc38.noarch texlive-optidef-9:svn50941-61.fc38.noarch texlive-optional-9:svn18131.2.2b-61.fc38.noarch texlive-options-9:svn39030-61.fc38.noarch texlive-orcidlink-9:svn58432-61.fc38.noarch texlive-orientation-9:svn57390-61.fc38.noarch texlive-orkhun-9:svn15878.0-61.fc38.noarch texlive-oscola-9:svn54328-61.fc38.noarch texlive-oswald-9:svn57253-61.fc38.noarch texlive-ot-tableau-9:svn44889-61.fc38.noarch texlive-oubraces-9:svn21833.0-61.fc38.noarch texlive-outline-9:svn18360.0-61.fc38.noarch texlive-outliner-9:svn21095.0.94-61.fc38.noarch texlive-outlines-9:svn25192.1.1-61.fc38.noarch texlive-outlining-9:svn45601-61.fc38.noarch texlive-overlays-9:svn57866-61.fc38.noarch texlive-overlock-9:svn56079-61.fc38.noarch texlive-overpic-9:svn53889-61.fc38.noarch texlive-pacioli-9:svn24947.0-61.fc38.noarch texlive-padcount-9:svn47621-61.fc38.noarch texlive-pagecolor-9:svn44487-61.fc38.noarch texlive-pagecont-9:svn15878.1.0-61.fc38.noarch texlive-pagenote-9:svn15878.1.1a-61.fc38.noarch texlive-pagerange-9:svn16915.0.5-61.fc38.noarch texlive-pagesel-9:svn56105-61.fc38.noarch texlive-pageslts-9:svn39164-61.fc38.noarch texlive-palatino-9:svn31835.0-61.fc38.noarch texlive-paper-9:svn34521.1.0l-61.fc38.noarch texlive-papercdcase-9:svn15878.0-61.fc38.noarch texlive-papermas-9:svn23667.1.0h-61.fc38.noarch texlive-papertex-9:svn19230.1.2b-61.fc38.noarch texlive-paracol-9:svn49560-61.fc38.noarch texlive-parades-9:svn40042-61.fc38.noarch texlive-paralist-9:svn43021-61.fc38.noarch texlive-parallel-9:svn15878.0-61.fc38.noarch texlive-paratype-9:svn32859.0-61.fc38.noarch texlive-paresse-9:svn56621-61.fc38.noarch texlive-parnotes-9:svn51720-61.fc38.noarch texlive-parsa-9:svn54840-61.fc38.noarch texlive-parselines-9:svn21475.1.4-61.fc38.noarch texlive-parskip-9:svn58358-61.fc38.noarch texlive-pas-cours-9:svn55859-61.fc38.noarch texlive-pas-cv-9:svn32263.2.01-61.fc38.noarch texlive-pas-tableur-9:svn39542-61.fc38.noarch texlive-patchcmd-9:svn41379-61.fc38.noarch texlive-path-9:svn22045.3.05-61.fc38.noarch texlive-pauldoc-9:svn16005.0.5-61.fc38.noarch texlive-pawpict-9:svn21629.1.0-61.fc38.noarch texlive-pax-9:20210325-52.fc38.noarch texlive-pb-diagram-9:svn15878.5.0-61.fc38.noarch texlive-pbalance-9:svn59331-61.fc38.noarch texlive-pbox-9:svn24807.1.2-61.fc38.noarch texlive-pbsheet-9:svn24830.0.1-61.fc38.noarch texlive-pdf14-9:svn17583.0.1-61.fc38.noarch texlive-pdfcolmk-9:svn52912-61.fc38.noarch texlive-pdfcomment-9:svn49047-61.fc38.noarch texlive-pdfcprot-9:svn18735.1.7a-61.fc38.noarch texlive-pdfescape-9:svn53082-61.fc38.noarch texlive-pdflscape-9:svn53047-61.fc38.noarch texlive-pdfmanagement-testphase-9:svn59194-61.fc38.noarch texlive-pdfmarginpar-9:svn23492.0.92-61.fc38.noarch texlive-pdfoverlay-9:svn57923-61.fc38.noarch texlive-pdfpagediff-9:svn37946.1.4-61.fc38.noarch texlive-pdfpages-9:svn58212-61.fc38.noarch texlive-pdfpc-9:svn57735-61.fc38.noarch texlive-pdfpc-movie-9:svn48245-61.fc38.noarch texlive-pdfprivacy-9:svn45985-61.fc38.noarch texlive-pdfreview-9:svn50100-61.fc38.noarch texlive-pdfscreen-9:svn42428-61.fc38.noarch texlive-pdfslide-9:svn15878.0-61.fc38.noarch texlive-pdfsync-9:svn20373.0-61.fc38.noarch texlive-pdftex-9:20210325-52.fc38.x86_64 texlive-pdftexcmds-9:svn55777-61.fc38.noarch texlive-pdfwin-9:svn54074-61.fc38.noarch texlive-pdfx-9:svn50338-61.fc38.noarch texlive-pecha-9:svn15878.0.1-61.fc38.noarch texlive-penrose-9:svn57508-61.fc38.noarch texlive-perception-9:svn48861-61.fc38.noarch texlive-perfectcut-9:svn54080-61.fc38.noarch texlive-perltex-9:20210325-52.fc38.noarch texlive-permute-9:svn15878.0-61.fc38.noarch texlive-petiteannonce-9:svn25915.1.0001-61.fc38.noarch texlive-petri-nets-9:20210325-52.fc38.noarch texlive-pgf-9:svn57240-61.fc38.noarch texlive-pgf-blur-9:svn54512-61.fc38.noarch texlive-pgf-cmykshadings-9:svn52635-61.fc38.noarch texlive-pgf-pie-9:svn59075-61.fc38.noarch texlive-pgf-soroban-9:svn32269.1.1-61.fc38.noarch texlive-pgf-spectra-9:svn58467-61.fc38.noarch texlive-pgf-umlcd-9:svn55342-61.fc38.noarch texlive-pgf-umlsd-9:svn55342-61.fc38.noarch texlive-pgfgantt-9:svn52662-61.fc38.noarch texlive-pgfkeyx-9:svn26093.0.0.1-61.fc38.noarch texlive-pgfmolbio-9:svn35152.0.21-61.fc38.noarch texlive-pgfmorepages-9:svn54770-61.fc38.noarch texlive-pgfopts-9:svn56615-61.fc38.noarch texlive-pgfornament-9:svn55326-61.fc38.noarch texlive-pgfplots-9:svn59132-61.fc38.noarch texlive-phaistos-9:svn18651.1.0-61.fc38.noarch texlive-phffullpagefigure-9:svn41857-61.fc38.noarch texlive-phfnote-9:svn41858-61.fc38.noarch texlive-phfparen-9:svn41859-61.fc38.noarch texlive-phfqit-9:svn45084-61.fc38.noarch texlive-phfquotetext-9:svn41869-61.fc38.noarch texlive-phfsvnwatermark-9:svn41870-61.fc38.noarch texlive-phfthm-9:svn41871-61.fc38.noarch texlive-philex-9:svn36396.1.3-61.fc38.noarch texlive-philosophersimprint-9:svn56954-61.fc38.noarch texlive-phonenumbers-9:svn51933-61.fc38.noarch texlive-phonetic-9:svn56468-61.fc38.noarch texlive-photo-9:svn18739.0-61.fc38.noarch texlive-physconst-9:svn58727-61.fc38.noarch texlive-physics-9:svn28590.1.3-61.fc38.noarch texlive-physunits-9:svn58728-61.fc38.noarch texlive-picinpar-9:svn57349-61.fc38.noarch texlive-pict2e-9:svn56504-61.fc38.noarch texlive-pictex-9:svn21943.1.1-61.fc38.noarch texlive-pictex2-9:svn15878.0-61.fc38.noarch texlive-picture-9:svn54867-61.fc38.noarch texlive-piff-9:svn21894.0-61.fc38.noarch texlive-pigpen-9:svn15878.0.2-61.fc38.noarch texlive-pinlabel-9:svn24769.1.2-61.fc38.noarch texlive-pinoutikz-9:svn55966-61.fc38.noarch texlive-pittetd-9:svn15878.1.618-61.fc38.noarch texlive-pixelart-9:svn57508-61.fc38.noarch texlive-pkgloader-9:svn47486-61.fc38.noarch texlive-pkuthss-9:svn58729-61.fc38.noarch texlive-pl-9:svn58661-61.fc38.noarch texlive-placeins-9:svn19848.2.2-61.fc38.noarch texlive-plain-9:svn57963-61.fc38.noarch texlive-plainpkg-9:svn27765.0.4a-61.fc38.noarch texlive-plainyr-9:svn52783-61.fc38.noarch texlive-plantslabels-9:svn29803.1.0-61.fc38.noarch texlive-plates-9:svn15878.0.1-61.fc38.noarch texlive-platex-9:svn58842-61.fc38.noarch texlive-platex-tools-9:svn57729-61.fc38.noarch texlive-playfair-9:svn56005-61.fc38.noarch texlive-plex-9:svn54512-61.fc38.noarch texlive-plex-otf-9:svn47562-61.fc38.noarch texlive-plimsoll-9:svn56605-61.fc38.noarch texlive-plweb-9:svn15878.3.0-61.fc38.noarch texlive-pm-isomath-9:svn59077-61.fc38.noarch texlive-pmboxdraw-9:svn53046-61.fc38.noarch texlive-pmgraph-9:svn15878.1.0-61.fc38.noarch texlive-pnas2009-9:svn16287.1.0-61.fc38.noarch texlive-poiretone-9:svn59125-61.fc38.noarch texlive-polexpr-9:svn59088-61.fc38.noarch texlive-polski-9:svn44213-61.fc38.noarch texlive-poltawski-9:svn20075.1.101-61.fc38.noarch texlive-polyglossia-9:svn58869-61.fc38.noarch texlive-polynom-9:svn44832-61.fc38.noarch texlive-polynomial-9:svn15878.1.0-61.fc38.noarch texlive-polytable-9:svn55837-61.fc38.noarch texlive-postage-9:svn55920-61.fc38.noarch texlive-postcards-9:svn21641.0-61.fc38.noarch texlive-poster-mac-9:svn18305.1.1-61.fc38.noarch texlive-powerdot-9:svn58730-61.fc38.noarch texlive-powerdot-fuberlin-9:svn52922-61.fc38.noarch texlive-powerdot-tuliplab-9:svn47963-61.fc38.noarch texlive-ppr-prv-9:svn15878.0.13c-61.fc38.noarch texlive-pracjourn-9:svn15878.0.4n-61.fc38.noarch texlive-practicalreports-9:svn52312-61.fc38.noarch texlive-prelim2e-9:svn57000-61.fc38.noarch texlive-preprint-9:svn30447.2011-61.fc38.noarch texlive-prerex-9:svn54512-61.fc38.noarch texlive-pressrelease-9:svn35147.1.0-61.fc38.noarch texlive-prettyref-9:svn15878.3.0-61.fc38.noarch texlive-prftree-9:svn54080-61.fc38.noarch texlive-principia-9:svn58927-61.fc38.noarch texlive-printlen-9:svn19847.1.1a-61.fc38.noarch texlive-proba-9:svn15878.0-61.fc38.noarch texlive-probsoln-9:svn44783-61.fc38.noarch texlive-procIAGssymp-9:svn51771-61.fc38.noarch texlive-prodint-9:svn21893.0-61.fc38.noarch texlive-productbox-9:svn20886.1.1-61.fc38.noarch texlive-program-9:svn44214-61.fc38.noarch texlive-progress-9:svn19519.1.10-61.fc38.noarch texlive-progressbar-9:svn33822.v1.0b_4-61.fc38.noarch texlive-projlib-9:svn59347-61.fc38.noarch texlive-proof-at-the-end-9:svn51194-61.fc38.noarch texlive-proofread-9:svn50938-61.fc38.noarch texlive-prooftrees-9:svn52221-61.fc38.noarch texlive-properties-9:svn15878.0.2-61.fc38.noarch texlive-proposal-9:svn40538-61.fc38.noarch texlive-prosper-9:svn33033.1.0h-61.fc38.noarch texlive-protex-9:svn41633-61.fc38.noarch texlive-protocol-9:svn25562.1.13-61.fc38.noarch texlive-prtec-9:svn51919-61.fc38.noarch texlive-pseudo-9:svn52582-61.fc38.noarch texlive-pseudocode-9:svn54080-61.fc38.noarch texlive-psfrag-9:svn15878.3.04-61.fc38.noarch texlive-psfragx-9:svn26243.1.1-61.fc38.noarch texlive-pslatex-9:svn57434-61.fc38.noarch texlive-psnfss-9:svn54694-61.fc38.noarch texlive-pspicture-9:svn15878.0-61.fc38.noarch texlive-pst-3d-9:svn17257.1.10-61.fc38.noarch texlive-pst-blur-9:svn15878.2.0-61.fc38.noarch texlive-pst-coil-9:svn37377.1.07-61.fc38.noarch texlive-pst-eps-9:svn15878.1.0-61.fc38.noarch texlive-pst-fill-9:svn15878.1.01-61.fc38.noarch texlive-pst-grad-9:svn15878.1.06-61.fc38.noarch texlive-pst-math-9:svn49425-61.fc38.noarch texlive-pst-node-9:svn54687-61.fc38.noarch texlive-pst-ovl-9:svn54963-61.fc38.noarch texlive-pst-plot-9:svn54080-61.fc38.noarch texlive-pst-slpe-9:svn24391.1.31-61.fc38.noarch texlive-pst-text-9:svn49542-61.fc38.noarch texlive-pst-tools-9:svn54518-61.fc38.noarch texlive-pst-tree-9:svn43272-61.fc38.noarch texlive-pstool-9:svn46393-61.fc38.noarch texlive-pstricks-9:svn58371-61.fc38.noarch texlive-pstricks-add-9:svn53763-61.fc38.noarch texlive-pstring-9:svn42857-61.fc38.noarch texlive-ptex-9:20210325-52.fc38.x86_64 texlive-ptex-base-9:svn56487-61.fc38.noarch texlive-ptex-fonts-9:svn46940-61.fc38.noarch texlive-ptolemaicastronomy-9:svn50810-61.fc38.noarch texlive-ptptex-9:svn19440.0.91-61.fc38.noarch texlive-punk-9:svn27388.0-61.fc38.noarch texlive-punk-latex-9:svn27389.1.1-61.fc38.noarch texlive-punknova-9:svn24649.1.003-61.fc38.noarch texlive-puyotikz-9:svn57254-61.fc38.noarch texlive-pxfonts-9:svn15878.0-61.fc38.noarch texlive-pxgreeks-9:svn21838.1.0-61.fc38.noarch texlive-pxpgfmark-9:svn30212.0.2-61.fc38.noarch texlive-pxpic-9:svn57445-61.fc38.noarch texlive-pxtxalfa-9:svn54080-61.fc38.noarch texlive-pygmentex-9:20210325-52.fc38.noarch texlive-python-9:svn27064.0.21-61.fc38.noarch texlive-pythonhighlight-9:svn43191-61.fc38.noarch texlive-pythontex-9:20210325-52.fc38.noarch texlive-qcircuit-9:svn48400-61.fc38.noarch texlive-qcm-9:svn15878.2.1-61.fc38.noarch texlive-qrcode-9:svn36065.1.51-61.fc38.noarch texlive-qsharp-9:svn49722-61.fc38.noarch texlive-qstest-9:svn15878.0-61.fc38.noarch texlive-qsymbols-9:svn15878.0-61.fc38.noarch texlive-qtree-9:svn15878.3.1b-61.fc38.noarch texlive-qualitype-9:svn54512-61.fc38.noarch texlive-quantikz-9:svn54911-61.fc38.noarch texlive-quantumarticle-9:svn56862-61.fc38.noarch texlive-quattrocento-9:svn56020-61.fc38.noarch texlive-quicktype-9:svn42183-61.fc38.noarch texlive-quiz2socrative-9:svn52276-61.fc38.noarch texlive-quotchap-9:svn56926-61.fc38.noarch texlive-quoting-9:svn32818.v0.1c-61.fc38.noarch texlive-quotmark-9:svn15878.1.0-61.fc38.noarch texlive-ragged2e-9:svn57638-61.fc38.noarch texlive-raleway-9:svn42629-61.fc38.noarch texlive-ran_toks-9:svn57520-61.fc38.noarch texlive-randbild-9:svn15878.0.2-61.fc38.noarch texlive-random-9:svn54723-61.fc38.noarch texlive-randomwalk-9:svn49513-61.fc38.noarch texlive-randtext-9:svn15878.0-61.fc38.noarch texlive-rank-2-roots-9:svn48515-61.fc38.noarch texlive-rccol-9:svn15878.1.2c-61.fc38.noarch texlive-rcs-9:svn15878.0-61.fc38.noarch texlive-rcs-multi-9:svn56291-61.fc38.noarch texlive-rcsinfo-9:svn15878.1.11-61.fc38.noarch texlive-readablecv-9:svn57433-61.fc38.noarch texlive-readarray-9:svn42467-61.fc38.noarch texlive-realboxes-9:svn56291-61.fc38.noarch texlive-realhats-9:svn52865-61.fc38.noarch texlive-realscripts-9:svn56594-61.fc38.noarch texlive-rec-thy-9:svn58732-61.fc38.noarch texlive-recipe-9:svn54080-61.fc38.noarch texlive-recipebook-9:svn37026.0-61.fc38.noarch texlive-recipecard-9:svn15878.2.0-61.fc38.noarch texlive-rectopma-9:svn19980.0-61.fc38.noarch texlive-recycle-9:svn15878.0-61.fc38.noarch texlive-refcheck-9:svn29128.1.9.1-61.fc38.noarch texlive-refcount-9:svn53164-61.fc38.noarch texlive-refenums-9:svn44131-61.fc38.noarch texlive-reflectgraphics-9:svn40612-61.fc38.noarch texlive-refman-9:svn15878.2.0e-61.fc38.noarch texlive-refstyle-9:svn20318.0.5-61.fc38.noarch texlive-regcount-9:svn19979.1.0-61.fc38.noarch texlive-regexpatch-9:svn58668-61.fc38.noarch texlive-register-9:svn54485-61.fc38.noarch texlive-regstats-9:svn25050.1.0h-61.fc38.noarch texlive-relenc-9:svn22050.0-61.fc38.noarch texlive-relsize-9:svn30707.4.1-61.fc38.noarch texlive-reotex-9:svn34924.1.1-61.fc38.noarch texlive-repeatindex-9:svn24305.0.01-61.fc38.noarch texlive-repltext-9:svn56433-61.fc38.noarch texlive-rerunfilecheck-9:svn54841-61.fc38.noarch texlive-resphilosophica-9:svn50935-61.fc38.noarch texlive-rest-api-9:svn57068-61.fc38.noarch texlive-resumecls-9:svn54815-61.fc38.noarch texlive-returntogrid-9:svn48485-61.fc38.noarch texlive-revquantum-9:svn43505-61.fc38.noarch texlive-revtex-9:svn56591-61.fc38.noarch texlive-revtex4-9:svn56589-61.fc38.noarch texlive-revtex4-1-9:svn56590-61.fc38.noarch texlive-rgltxdoc-9:svn53858-61.fc38.noarch texlive-ribbonproofs-9:svn31137.1.0-61.fc38.noarch texlive-rjlparshap-9:svn15878.1.0-61.fc38.noarch texlive-rlepsf-9:svn19082.0-61.fc38.noarch texlive-rmathbr-9:svn57173-61.fc38.noarch texlive-rmpage-9:svn54080-61.fc38.noarch texlive-roboto-9:svn54512-61.fc38.noarch texlive-robustcommand-9:svn15878.0.1-61.fc38.noarch texlive-robustindex-9:svn49877-61.fc38.noarch texlive-romanbar-9:svn25005.1.0f-61.fc38.noarch texlive-romanbarpagenumber-9:svn36236.1.0-61.fc38.noarch texlive-romande-9:svn19537.1.008_v7_sc-61.fc38.noarch texlive-romanneg-9:svn20087.0-61.fc38.noarch texlive-romannum-9:svn15878.1.0b-61.fc38.noarch texlive-rosario-9:svn51688-61.fc38.noarch texlive-rotfloat-9:svn18292.1.2-61.fc38.noarch texlive-rotpages-9:svn18740.3.0-61.fc38.noarch texlive-roundbox-9:svn29675.0.2-61.fc38.noarch texlive-rsc-9:svn41923-61.fc38.noarch texlive-rsfs-9:svn15878.0-61.fc38.noarch texlive-rsfso-9:svn37965.1.02-61.fc38.noarch texlive-rterface-9:svn30084.0-61.fc38.noarch texlive-rtkinenc-9:svn20003.1.0-61.fc38.noarch texlive-rulerbox-9:svn50984-61.fc38.noarch texlive-rulercompass-9:svn32392.1-61.fc38.noarch texlive-runcode-9:svn58908-61.fc38.noarch texlive-rutitlepage-9:svn51073-61.fc38.noarch texlive-rviewport-9:svn23739.v1.0-61.fc38.noarch texlive-rvwrite-9:svn19614.1.2-61.fc38.noarch texlive-ryersonsgsthesis-9:svn50119-61.fc38.noarch texlive-ryethesis-9:svn33945.1.36-61.fc38.noarch texlive-sa-tikz-9:svn32815.0.7a-61.fc38.noarch texlive-sageep-9:svn15878.1.0-61.fc38.noarch texlive-sanitize-umlaut-9:svn53292-61.fc38.noarch texlive-sankey-9:svn58661-61.fc38.noarch texlive-sansmath-9:svn17997.1.1-61.fc38.noarch texlive-sansmathaccent-9:svn53628-61.fc38.noarch texlive-sansmathfonts-9:svn51356-61.fc38.noarch texlive-sapthesis-9:svn48365-61.fc38.noarch texlive-sasnrdisplay-9:svn45963-61.fc38.noarch texlive-sauerj-9:svn15878.0-61.fc38.noarch texlive-sauter-9:svn13293.2.4-61.fc38.noarch texlive-sauterfonts-9:svn15878.0-61.fc38.noarch texlive-savefnmark-9:svn15878.1.0-61.fc38.noarch texlive-savesym-9:svn31565.1.2-61.fc38.noarch texlive-savetrees-9:svn40525-61.fc38.noarch texlive-scale-9:svn15878.1.1.2-61.fc38.noarch texlive-scalebar-9:svn15878.1.0-61.fc38.noarch texlive-scalerel-9:svn42809-61.fc38.noarch texlive-scanpages-9:svn42633-61.fc38.noarch texlive-schedule-9:svn51805-61.fc38.noarch texlive-schemabloc-9:svn58212-61.fc38.noarch texlive-scholax-9:svn58733-61.fc38.noarch texlive-schooldocs-9:svn55838-61.fc38.noarch texlive-schule-9:svn56683-61.fc38.noarch texlive-schulschriften-9:svn35730.4-61.fc38.noarch texlive-scientific-thesis-cover-9:svn47923-61.fc38.noarch texlive-sciposter-9:svn15878.1.18-61.fc38.noarch texlive-sclang-prettifier-9:svn35087.0.1-61.fc38.noarch texlive-scontents-9:svn53504-61.fc38.noarch texlive-scratch-9:svn50073-61.fc38.noarch texlive-scratch3-9:svn56258-61.fc38.noarch texlive-scratchx-9:svn44906-61.fc38.noarch texlive-scrjrnl-9:svn27810.0.1-61.fc38.noarch texlive-scrlayer-fancyhdr-9:svn58746-61.fc38.noarch texlive-scrlttr2copy-9:svn56733-61.fc38.noarch texlive-scsnowman-9:svn54080-61.fc38.noarch texlive-sdaps-9:svn54678-61.fc38.noarch texlive-sdrt-9:svn15878.1.0-61.fc38.noarch texlive-sduthesis-9:svn41401-61.fc38.noarch texlive-secdot-9:svn20208.1.0-61.fc38.noarch texlive-secnum-9:svn53657-61.fc38.noarch texlive-section-9:svn20180.0-61.fc38.noarch texlive-sectionbox-9:svn37749.1.01-61.fc38.noarch texlive-sectionbreak-9:svn50339-61.fc38.noarch texlive-sectsty-9:svn15878.2.0.2-61.fc38.noarch texlive-seealso-9:svn43595-61.fc38.noarch texlive-selectp-9:svn20185.1.0-61.fc38.noarch texlive-selinput-9:svn53098-61.fc38.noarch texlive-semantex-9:svn56863-61.fc38.noarch texlive-semantic-9:svn15878.2.0-61.fc38.noarch texlive-semantic-markup-9:svn53607-61.fc38.noarch texlive-semaphor-9:svn18651.0-61.fc38.noarch texlive-semesterplanner-9:svn56841-61.fc38.noarch texlive-seminar-9:svn34011.1.62-61.fc38.noarch texlive-semioneside-9:svn15878.v0.41-61.fc38.noarch texlive-semproc-9:svn37568.0.1-61.fc38.noarch texlive-semtex-9:svn56530-61.fc38.noarch texlive-sepfootnotes-9:svn41732-61.fc38.noarch texlive-sepnum-9:svn20186.2.0-61.fc38.noarch texlive-seqsplit-9:svn15878.0.1-61.fc38.noarch texlive-sesamanuel-9:svn36613.0.6-61.fc38.noarch texlive-sesstime-9:svn49750-61.fc38.noarch texlive-setdeck-9:svn40613-61.fc38.noarch texlive-setspace-9:svn24881.6.7a-61.fc38.noarch texlive-seuthesis-9:svn33042.2.1.2-61.fc38.noarch texlive-seuthesix-9:svn40088-61.fc38.noarch texlive-sf298-9:svn41653-61.fc38.noarch texlive-sffms-9:svn15878.2.0-61.fc38.noarch texlive-sfg-9:svn20209.0.91-61.fc38.noarch texlive-sfmath-9:svn15878.0.8-61.fc38.noarch texlive-shadethm-9:svn53350-61.fc38.noarch texlive-shadow-9:svn20312.0-61.fc38.noarch texlive-shadowtext-9:svn26522.0.3-61.fc38.noarch texlive-shapepar-9:svn30708.2.2-61.fc38.noarch texlive-shdoc-9:svn41991-61.fc38.noarch texlive-shipunov-9:svn52334-61.fc38.noarch texlive-shobhika-9:svn50555-61.fc38.noarch texlive-shortmathj-9:svn54407-61.fc38.noarch texlive-shorttoc-9:svn15878.1.3-61.fc38.noarch texlive-show2e-9:svn15878.1.0-61.fc38.noarch texlive-showcharinbox-9:svn29803.0.1-61.fc38.noarch texlive-showdim-9:svn28918.1.2-61.fc38.noarch texlive-showexpl-9:svn57414-61.fc38.noarch texlive-showhyphens-9:svn39787-61.fc38.noarch texlive-showlabels-9:svn41322-61.fc38.noarch texlive-showtags-9:svn20336.1.05-61.fc38.noarch texlive-shtthesis-9:svn57740-61.fc38.noarch texlive-shuffle-9:svn15878.1.0-61.fc38.noarch texlive-sidecap-9:svn15878.1.6f-61.fc38.noarch texlive-sidenotes-9:svn54524-61.fc38.noarch texlive-signchart-9:svn39707-61.fc38.noarch texlive-silence-9:svn27028.1.5b-61.fc38.noarch texlive-simplebnf-9:svn56761-61.fc38.noarch texlive-simplecd-9:svn29260.1.4-61.fc38.noarch texlive-simplecv-9:svn35537.1.6a-61.fc38.noarch texlive-simpleinvoice-9:svn45673-61.fc38.noarch texlive-simplekv-9:svn54915-61.fc38.noarch texlive-simpleoptics-9:svn54080-61.fc38.noarch texlive-simpler-wick-9:svn39074-61.fc38.noarch texlive-simplewick-9:svn15878.1.2a-61.fc38.noarch texlive-simplivre-9:svn59323-61.fc38.noarch texlive-sitem-9:svn22136.1.0-61.fc38.noarch texlive-siunitx-9:svn58909-61.fc38.noarch texlive-skak-9:svn46259-61.fc38.noarch texlive-skb-9:svn22781.0.52-61.fc38.noarch texlive-skdoc-9:svn56950-61.fc38.noarch texlive-skeldoc-9:svn57922-61.fc38.noarch texlive-skeycommand-9:svn24652.0.4-61.fc38.noarch texlive-skeyval-9:svn30560.1.3-61.fc38.noarch texlive-skills-9:svn56734-61.fc38.noarch texlive-skmath-9:svn52411-61.fc38.noarch texlive-skrapport-9:svn52412-61.fc38.noarch texlive-skull-9:svn51907-61.fc38.noarch texlive-slantsc-9:svn25007.2.11-61.fc38.noarch texlive-smalltableof-9:svn20333.0-61.fc38.noarch texlive-smartdiagram-9:svn42781-61.fc38.noarch texlive-smartref-9:svn20311.1.9-61.fc38.noarch texlive-smartunits-9:svn39592-61.fc38.noarch texlive-smflatex-9:svn58910-61.fc38.noarch texlive-snapshot-9:svn56735-61.fc38.noarch texlive-snotez-9:svn57147-61.fc38.noarch texlive-songbook-9:svn18136.4.5-61.fc38.noarch texlive-sort-by-letters-9:svn27128.0-61.fc38.noarch texlive-soton-9:svn16215.0.1-61.fc38.noarch texlive-soul-9:svn56495-61.fc38.noarch texlive-soulpos-9:svn52663-61.fc38.noarch texlive-soulutf8-9:svn53163-61.fc38.noarch texlive-sourcecodepro-9:svn54512-61.fc38.noarch texlive-sourcesanspro-9:svn54892-61.fc38.noarch texlive-sourceserifpro-9:svn54512-61.fc38.noarch texlive-spacingtricks-9:svn56840-61.fc38.noarch texlive-spalign-9:svn42225-61.fc38.noarch texlive-spark-otf-9:svn51005-61.fc38.noarch texlive-sparklines-9:svn42821-61.fc38.noarch texlive-spath3-9:svn57842-61.fc38.noarch texlive-spectral-9:svn57296-61.fc38.noarch texlive-spectralsequences-9:svn50072-61.fc38.noarch texlive-sphack-9:svn20842.0-61.fc38.noarch texlive-sphdthesis-9:svn34374.1.0-61.fc38.noarch texlive-spie-9:svn15878.3.25-61.fc38.noarch texlive-splitbib-9:svn15878.1.17-61.fc38.noarch texlive-splitindex-9:20210325-52.fc38.noarch texlive-spot-9:svn22408.1.1-61.fc38.noarch texlive-spotcolor-9:svn15878.1.2-61.fc38.noarch texlive-spreadtab-9:svn50147-61.fc38.noarch texlive-spverbatim-9:svn15878.v1.0-61.fc38.noarch texlive-sr-vorl-9:svn39529-61.fc38.noarch texlive-srbook-mem-9:svn45818-61.fc38.noarch texlive-srcltx-9:svn15878.1.6-61.fc38.noarch texlive-srdp-mathematik-9:svn58734-61.fc38.noarch texlive-sseq-9:svn31585.2.01-61.fc38.noarch texlive-sslides-9:svn32293.0-61.fc38.noarch texlive-stack-9:svn15878.1.00-61.fc38.noarch texlive-stackengine-9:svn53843-61.fc38.noarch texlive-standalone-9:svn56291-61.fc38.noarch texlive-stanli-9:svn54512-61.fc38.noarch texlive-starfont-9:svn19982.1.2-61.fc38.noarch texlive-statex-9:svn20306.1.6-61.fc38.noarch texlive-statex2-9:svn23961.2.1-61.fc38.noarch texlive-statistics-9:svn52212-61.fc38.noarch texlive-statistik-9:svn20334.0.03-61.fc38.noarch texlive-statmath-9:svn46925-61.fc38.noarch texlive-staves-9:svn15878.0-61.fc38.noarch texlive-stdclsdv-9:svn15878.1.1a-61.fc38.noarch texlive-stdpage-9:svn15878.0.6-61.fc38.noarch texlive-stealcaps-9:svn46434-61.fc38.noarch texlive-steinmetz-9:svn15878.1.0-61.fc38.noarch texlive-stellenbosch-9:svn36696.11a-61.fc38.noarch texlive-step-9:svn57307-61.fc38.noarch texlive-stepgreek-9:svn57074-61.fc38.noarch texlive-stex-9:svn50489-61.fc38.noarch texlive-stickstoo-9:svn57193-61.fc38.noarch texlive-stix-9:svn54512-61.fc38.noarch texlive-stix2-otf-9:svn58735-61.fc38.noarch texlive-stix2-type1-9:svn57448-61.fc38.noarch texlive-stmaryrd-9:svn22027.0-61.fc38.noarch texlive-storebox-9:svn56291-61.fc38.noarch texlive-storecmd-9:svn24431.0.0.2-61.fc38.noarch texlive-stringenc-9:svn52982-61.fc38.noarch texlive-stringstrings-9:svn57097-61.fc38.noarch texlive-structmech-9:svn58985-61.fc38.noarch texlive-struktex-9:svn47931-61.fc38.noarch texlive-sttools-9:svn56774-61.fc38.noarch texlive-stubs-9:svn19440.0.1.1-61.fc38.noarch texlive-studenthandouts-9:svn43516-61.fc38.noarch texlive-subdepth-9:svn15878.0.1-61.fc38.noarch texlive-subdocs-9:svn51480-61.fc38.noarch texlive-subeqn-9:svn15878.2.0b-61.fc38.noarch texlive-subeqnarray-9:svn15878.2.1c-61.fc38.noarch texlive-subfig-9:svn15878.1.3-61.fc38.noarch texlive-subfigmat-9:svn20308.1.0-61.fc38.noarch texlive-subfigure-9:svn15878.2.1.5-61.fc38.noarch texlive-subfiles-9:svn56977-61.fc38.noarch texlive-subfloat-9:svn29349.2.14-61.fc38.noarch texlive-substances-9:svn40989-61.fc38.noarch texlive-substitutefont-9:svn32066.0.1.4-61.fc38.noarch texlive-substr-9:svn16117.1.2-61.fc38.noarch texlive-subsupscripts-9:svn16080.1.0-61.fc38.noarch texlive-subtext-9:svn51273-61.fc38.noarch texlive-suftesi-9:svn57650-61.fc38.noarch texlive-sugconf-9:svn58752-61.fc38.noarch texlive-superiors-9:svn51909-61.fc38.noarch texlive-supertabular-9:svn53658-61.fc38.noarch texlive-suppose-9:svn59281-61.fc38.noarch texlive-susy-9:svn19440.0-61.fc38.noarch texlive-svg-9:svn57010-61.fc38.noarch texlive-svgcolor-9:svn15878.1.0-61.fc38.noarch texlive-svn-9:svn15878.43-61.fc38.noarch texlive-svn-multi-9:20210325-52.fc38.noarch texlive-svn-prov-9:svn56291-61.fc38.noarch texlive-svninfo-9:svn17554.0.7.4-61.fc38.noarch texlive-svrsymbols-9:svn50019-61.fc38.noarch texlive-swfigure-9:svn57213-61.fc38.noarch texlive-swimgraf-9:svn25446.0-61.fc38.noarch texlive-syllogism-9:svn15878.1.2-61.fc38.noarch texlive-symbol-9:svn31835.0-61.fc38.noarch texlive-sympytexpackage-9:svn57090-61.fc38.noarch texlive-synproof-9:svn15878.1.0-61.fc38.noarch texlive-syntax-9:svn15878.0-61.fc38.noarch texlive-syntaxdi-9:svn56685-61.fc38.noarch texlive-syntrace-9:svn15878.1.1-61.fc38.noarch texlive-synttree-9:svn16252.1.4.2-61.fc38.noarch texlive-t-angles-9:svn15878.0-61.fc38.noarch texlive-tabfigures-9:svn25202.1.1-61.fc38.noarch texlive-table-fct-9:svn41849-61.fc38.noarch texlive-tableaux-9:svn42413-61.fc38.noarch texlive-tablefootnote-9:svn32804.1.1c-61.fc38.noarch texlive-tableof-9:svn48815-61.fc38.noarch texlive-tablestyles-9:svn34495.0-61.fc38.noarch texlive-tablists-9:svn15878.0.0e-61.fc38.noarch texlive-tablor-9:svn31855.4.07_g-61.fc38.noarch texlive-tabls-9:svn17255.3.5-61.fc38.noarch texlive-tablvar-9:svn51543-61.fc38.noarch texlive-tabriz-thesis-9:svn51729-61.fc38.noarch texlive-tabstackengine-9:svn46848-61.fc38.noarch texlive-tabto-ltx-9:svn54080-61.fc38.noarch texlive-tabu-9:svn56615-61.fc38.noarch texlive-tabularborder-9:svn17885.1.0a-61.fc38.noarch texlive-tabularcalc-9:svn15878.0.2-61.fc38.noarch texlive-tabularew-9:svn15878.0.1-61.fc38.noarch texlive-tabulary-9:svn34368.0.10-61.fc38.noarch texlive-tagging-9:svn52064-61.fc38.noarch texlive-tagpair-9:svn42138-61.fc38.noarch texlive-tagpdf-9:svn57954-61.fc38.noarch texlive-talk-9:svn42428-61.fc38.noarch texlive-tamefloats-9:svn27345.v0.42-61.fc38.noarch texlive-tapir-9:svn20484.0.2-61.fc38.noarch texlive-tasks-9:svn57835-61.fc38.noarch texlive-tcldoc-9:svn22018.2.40-61.fc38.noarch texlive-tcolorbox-9:svn56610-61.fc38.noarch texlive-tdclock-9:svn33043.v2.5-61.fc38.noarch texlive-technics-9:svn29349.1.0-61.fc38.noarch texlive-technion-thesis-template-9:svn49889-61.fc38.noarch texlive-ted-9:svn15878.1.06-61.fc38.noarch texlive-templatetools-9:svn34495.0-61.fc38.noarch texlive-tempora-9:svn39596-61.fc38.noarch texlive-tengwarscript-9:svn34594.1.3.1-61.fc38.noarch texlive-tensind-9:svn51481-61.fc38.noarch texlive-tensor-9:svn15878.2.1-61.fc38.noarch texlive-termcal-9:svn22514.1.8-61.fc38.noarch texlive-termlist-9:svn18923.1.1-61.fc38.noarch texlive-testhyphens-9:svn38928-61.fc38.noarch texlive-testidx-9:svn52213-61.fc38.noarch texlive-tex-9:20210325-52.fc38.x86_64 texlive-tex-ewd-9:svn15878.0-61.fc38.noarch texlive-tex-gyre-9:svn48058-61.fc38.noarch texlive-tex-gyre-math-9:svn41264-61.fc38.noarch texlive-tex-ini-files-9:svn40533-61.fc38.noarch texlive-tex-label-9:svn16372.0-61.fc38.noarch texlive-tex-locale-9:svn48500-61.fc38.noarch texlive-tex4ht-9:20210325-52.fc38.x86_64 texlive-texdraw-9:svn51030-61.fc38.noarch texlive-texilikechaps-9:svn28553.1.0a-61.fc38.noarch texlive-texilikecover-9:svn15878.0.1-61.fc38.noarch texlive-texlive-common-doc-9:svn54176-61.fc38.noarch texlive-texlive-en-9:20210325-52.fc38.noarch texlive-texlive-msg-translations-9:svn59096-61.fc38.noarch texlive-texlive-scripts-9:20210325-52.fc38.noarch texlive-texlive.infra-9:20210325-52.fc38.noarch texlive-texlogos-9:svn19083.1.3.1-61.fc38.noarch texlive-texmate-9:svn15878.2-61.fc38.noarch texlive-texments-9:svn15878.0.2.0-61.fc38.noarch texlive-texpower-9:svn29349.0.2-61.fc38.noarch texlive-texshade-9:svn58789-61.fc38.noarch texlive-textcase-9:svn52092-61.fc38.noarch texlive-textfit-9:svn20591.5-61.fc38.noarch texlive-textgreek-9:svn44192-61.fc38.noarch texlive-textmerg-9:svn20677.2.01-61.fc38.noarch texlive-textopo-9:svn23796.1.5-61.fc38.noarch texlive-textpos-9:svn56441-61.fc38.noarch texlive-textualicomma-9:svn48474-61.fc38.noarch texlive-texvc-9:svn46844-61.fc38.noarch texlive-tfrupee-9:svn20770.1.02-61.fc38.noarch texlive-theanodidot-9:svn54512-61.fc38.noarch texlive-theanomodern-9:svn54512-61.fc38.noarch texlive-theanooldstyle-9:svn54512-61.fc38.noarch texlive-theoremref-9:svn54512-61.fc38.noarch texlive-thesis-ekf-9:svn57207-61.fc38.noarch texlive-thesis-gwu-9:svn54287-61.fc38.noarch texlive-thesis-qom-9:svn49124-61.fc38.noarch texlive-thesis-titlepage-fhac-9:svn15878.0.1-61.fc38.noarch texlive-thinsp-9:svn39669-61.fc38.noarch texlive-thmbox-9:svn15878.0-61.fc38.noarch texlive-thmtools-9:svn56070-61.fc38.noarch texlive-threadcol-9:svn28754.1.0-61.fc38.noarch texlive-threeparttable-9:svn17383.0-61.fc38.noarch texlive-threeparttablex-9:svn34206.0.3-61.fc38.noarch texlive-thuaslogos-9:svn51347-61.fc38.noarch texlive-thucoursework-9:svn56435-61.fc38.noarch texlive-thumb-9:svn16549.1.0-61.fc38.noarch texlive-thumbpdf-9:20210325-52.fc38.noarch texlive-thumbs-9:svn33134.1.0q-61.fc38.noarch texlive-thumby-9:svn16736.0.1-61.fc38.noarch texlive-thuthesis-9:svn58750-61.fc38.noarch texlive-ticket-9:svn42280-61.fc38.noarch texlive-ticollege-9:svn36306.1.0-61.fc38.noarch texlive-tikz-3dplot-9:svn25087.0-61.fc38.noarch texlive-tikz-among-us-9:svn56820-61.fc38.noarch texlive-tikz-bayesnet-9:svn38295.0.1-61.fc38.noarch texlive-tikz-bbox-9:svn57444-61.fc38.noarch texlive-tikz-cd-9:svn59133-61.fc38.noarch texlive-tikz-dependency-9:svn54512-61.fc38.noarch texlive-tikz-dimline-9:svn35805.1.0-61.fc38.noarch texlive-tikz-feynhand-9:svn51915-61.fc38.noarch texlive-tikz-feynman-9:svn56615-61.fc38.noarch texlive-tikz-imagelabels-9:svn51490-61.fc38.noarch texlive-tikz-inet-9:svn15878.0.1-61.fc38.noarch texlive-tikz-kalender-9:svn52890-61.fc38.noarch texlive-tikz-karnaugh-9:svn47026-61.fc38.noarch texlive-tikz-ladder-9:svn46555-61.fc38.noarch texlive-tikz-lake-fig-9:svn55288-61.fc38.noarch texlive-tikz-layers-9:svn46660-61.fc38.noarch texlive-tikz-nef-9:svn55920-61.fc38.noarch texlive-tikz-network-9:svn51884-61.fc38.noarch texlive-tikz-opm-9:svn32769.0.1.1-61.fc38.noarch texlive-tikz-optics-9:svn43466-61.fc38.noarch texlive-tikz-page-9:svn42039-61.fc38.noarch texlive-tikz-palattice-9:svn43442-61.fc38.noarch texlive-tikz-planets-9:svn55002-61.fc38.noarch texlive-tikz-qtree-9:svn26108.1.2-61.fc38.noarch texlive-tikz-relay-9:svn51355-61.fc38.noarch texlive-tikz-sfc-9:svn49424-61.fc38.noarch texlive-tikz-timing-9:svn56291-61.fc38.noarch texlive-tikz-trackschematic-9:svn57300-61.fc38.noarch texlive-tikz-truchet-9:svn50020-61.fc38.noarch texlive-tikzcodeblocks-9:svn54758-61.fc38.noarch texlive-tikzducks-9:svn55713-61.fc38.noarch texlive-tikzinclude-9:svn28715.1.0-61.fc38.noarch texlive-tikzlings-9:svn58885-61.fc38.noarch texlive-tikzmark-9:svn57843-61.fc38.noarch texlive-tikzmarmots-9:svn54080-61.fc38.noarch texlive-tikzorbital-9:svn36439.0-61.fc38.noarch texlive-tikzpackets-9:svn55827-61.fc38.noarch texlive-tikzpagenodes-9:svn56291-61.fc38.noarch texlive-tikzpeople-9:svn43978-61.fc38.noarch texlive-tikzpfeile-9:svn25777.1.0-61.fc38.noarch texlive-tikzposter-9:svn32732.2.0-61.fc38.noarch texlive-tikzscale-9:svn30637.0.2.6-61.fc38.noarch texlive-tikzsymbols-9:svn49975-61.fc38.noarch texlive-tikztosvg-9:20210325-52.fc38.x86_64 texlive-timbreicmc-9:svn49740-61.fc38.noarch texlive-times-9:svn35058.0-61.fc38.noarch texlive-timing-diagrams-9:svn31491.0-61.fc38.noarch texlive-tinos-9:svn42882-61.fc38.noarch texlive-tipa-9:svn29349.1.3-61.fc38.noarch texlive-tipauni-9:svn59009-61.fc38.noarch texlive-tipfr-doc-9:svn38646-61.fc38.noarch texlive-titlecaps-9:svn36170.1.2-61.fc38.noarch texlive-titlefoot-9:svn15878.0-61.fc38.noarch texlive-titlepic-9:svn43497-61.fc38.noarch texlive-titleref-9:svn18729.3.1-61.fc38.noarch texlive-titlesec-9:svn52413-61.fc38.noarch texlive-titling-9:svn15878.2.1d-61.fc38.noarch texlive-tkz-base-9:svn54758-61.fc38.noarch texlive-tkz-berge-9:svn57485-61.fc38.noarch texlive-tkz-doc-9:svn55265-61.fc38.noarch texlive-tkz-euclide-9:svn54758-61.fc38.noarch texlive-tkz-fct-9:svn55031-61.fc38.noarch texlive-tkz-graph-9:svn57484-61.fc38.noarch texlive-tkz-orm-9:svn54512-61.fc38.noarch texlive-tkz-tab-9:svn54940-61.fc38.noarch texlive-tlc-article-9:svn51431-61.fc38.noarch texlive-tocbibind-9:svn20085.1.5k-61.fc38.noarch texlive-tocdata-9:svn55852-61.fc38.noarch texlive-tocloft-9:svn53364-61.fc38.noarch texlive-tocvsec2-9:svn33146.1.3a-61.fc38.noarch texlive-todo-9:svn17746.2.142-61.fc38.noarch texlive-todonotes-9:svn58998-61.fc38.noarch texlive-tokcycle-9:svn58254-61.fc38.noarch texlive-tokenizer-9:svn15878.1.1.0-61.fc38.noarch texlive-toolbox-9:svn32260.5.1-61.fc38.noarch texlive-tools-9:svn56514-61.fc38.noarch texlive-topfloat-9:svn19084.0-61.fc38.noarch texlive-topiclongtable-9:svn54758-61.fc38.noarch texlive-topletter-9:svn48182-61.fc38.noarch texlive-toptesi-9:svn56276-61.fc38.noarch texlive-totalcount-9:svn56214-61.fc38.noarch texlive-totcount-9:svn21178.1.2-61.fc38.noarch texlive-totpages-9:svn15878.2.00-61.fc38.noarch texlive-tpslifonts-9:svn42428-61.fc38.noarch texlive-tqft-9:svn44455-61.fc38.noarch texlive-tracklang-9:svn55707-61.fc38.noarch texlive-trajan-9:svn15878.1.1-61.fc38.noarch texlive-translations-9:svn57461-61.fc38.noarch texlive-translator-9:svn56052-61.fc38.noarch texlive-transparent-9:svn52981-61.fc38.noarch texlive-tree-dvips-9:svn21751.91-61.fc38.noarch texlive-trfsigns-9:svn15878.1.01-61.fc38.noarch texlive-trimspaces-9:svn15878.1.1-61.fc38.noarch texlive-trivfloat-9:svn15878.1.3b-61.fc38.noarch texlive-trsym-9:svn18732.1.0-61.fc38.noarch texlive-truncate-9:svn18921.3.6-61.fc38.noarch texlive-tsemlines-9:svn23440.1.0-61.fc38.noarch texlive-ttfutils-9:20210325-52.fc38.x86_64 texlive-tucv-9:svn20680.1.0-61.fc38.noarch texlive-tuda-ci-9:svn58661-61.fc38.noarch texlive-tudscr-9:svn58713-61.fc38.noarch texlive-tufte-latex-9:svn37649.3.5.2-61.fc38.noarch texlive-tugboat-9:svn56942-61.fc38.noarch texlive-tugboat-plain-9:svn51373-61.fc38.noarch texlive-tui-9:svn27253.1.9-61.fc38.noarch texlive-turabian-9:svn36298.0.1.0-61.fc38.noarch texlive-turabian-formatting-9:svn58561-61.fc38.noarch texlive-turnstile-9:svn15878.1.0-61.fc38.noarch texlive-turnthepage-9:svn29803.1.3a-61.fc38.noarch texlive-twemoji-colr-9:svn55675-61.fc38.noarch texlive-twoinone-9:svn17024.0-61.fc38.noarch texlive-twoup-9:svn15878.1.3-61.fc38.noarch texlive-txfonts-9:svn15878.0-61.fc38.noarch texlive-txfontsb-9:svn54512-61.fc38.noarch texlive-txgreeks-9:svn21839.1.0-61.fc38.noarch texlive-txuprcal-9:svn43327-61.fc38.noarch texlive-type1cm-9:svn21820.0-61.fc38.noarch texlive-typed-checklist-9:svn49731-61.fc38.noarch texlive-typeface-9:svn27046.0.1-61.fc38.noarch texlive-typehtml-9:svn17134.0-61.fc38.noarch texlive-typicons-9:svn37623.2.0.7-61.fc38.noarch texlive-typoaid-9:svn44238-61.fc38.noarch texlive-typogrid-9:svn24994.0.21-61.fc38.noarch texlive-tzplot-9:svn58558-61.fc38.noarch texlive-uaclasses-9:svn15878.0-61.fc38.noarch texlive-uafthesis-9:svn57349-61.fc38.noarch texlive-uantwerpendocs-9:svn58669-61.fc38.noarch texlive-uassign-9:svn38459-61.fc38.noarch texlive-ucalgmthesis-9:svn52527-61.fc38.noarch texlive-ucbthesis-9:svn51690-61.fc38.noarch texlive-ucdavisthesis-9:svn40772-61.fc38.noarch texlive-ucharcat-9:svn38907-61.fc38.noarch texlive-ucs-9:svn35853.2.2-61.fc38.noarch texlive-ucsmonograph-9:svn52698-61.fc38.noarch texlive-ucthesis-9:svn15878.3.2-61.fc38.noarch texlive-uebungsblatt-9:svn15878.1.5.0-61.fc38.noarch texlive-uestcthesis-9:svn36371.1.1.0-61.fc38.noarch texlive-uhc-9:svn16791.0-61.fc38.noarch texlive-uhhassignment-9:svn44026-61.fc38.noarch texlive-uiucredborder-9:svn29974.1.00-61.fc38.noarch texlive-uiucthesis-9:svn15878.2.25-61.fc38.noarch texlive-ulem-9:svn53365-61.fc38.noarch texlive-ulqda-9:20210325-52.fc38.noarch texlive-ulthese-9:svn52972-61.fc38.noarch texlive-umbclegislation-9:svn41348-61.fc38.noarch texlive-umich-thesis-9:svn15878.1.20-61.fc38.noarch texlive-umoline-9:svn19085.0-61.fc38.noarch texlive-umthesis-9:svn15878.0.2-61.fc38.noarch texlive-umtypewriter-9:svn18651.001.002-61.fc38.noarch texlive-unam-thesis-9:svn51207-61.fc38.noarch texlive-unamth-template-doc-9:svn33625.2.0-61.fc38.noarch texlive-unamthesis-9:svn43639-61.fc38.noarch texlive-underlin-9:svn15878.1.01-61.fc38.noarch texlive-underoverlap-9:svn29019.0.0.1_r1-61.fc38.noarch texlive-underscore-9:svn18261.0-61.fc38.noarch texlive-undolabl-9:svn36681.1.0l-61.fc38.noarch texlive-uni-wtal-ger-9:svn31541.0.2-61.fc38.noarch texlive-uni-wtal-lin-9:svn31409.0.2-61.fc38.noarch texlive-unicode-data-9:svn56768-61.fc38.noarch texlive-unicode-math-9:svn56594-61.fc38.noarch texlive-unifith-9:svn51968-61.fc38.noarch texlive-uniquecounter-9:svn53162-61.fc38.noarch texlive-unitconv-9:svn55060-61.fc38.noarch texlive-unitipa-9:svn58749-61.fc38.noarch texlive-unitn-bimrep-9:svn45581-61.fc38.noarch texlive-units-9:svn42428-61.fc38.noarch texlive-unitsdef-9:svn15878.0.2-61.fc38.noarch texlive-universa-9:svn51984-61.fc38.noarch texlive-universalis-9:svn33860.0-61.fc38.noarch texlive-univie-ling-9:svn56913-61.fc38.noarch texlive-unizgklasa-9:svn51647-61.fc38.noarch texlive-unravel-9:svn52822-61.fc38.noarch texlive-unswcover-9:svn29476.1.0-61.fc38.noarch texlive-uothesis-9:svn25355.2.5.6-61.fc38.noarch texlive-uowthesis-9:svn19700.1.0a-61.fc38.noarch texlive-uowthesistitlepage-9:svn54512-61.fc38.noarch texlive-uplatex-9:svn58842-61.fc38.noarch texlive-upmethodology-9:svn54758-61.fc38.noarch texlive-uppunctlm-9:svn42334-61.fc38.noarch texlive-upquote-9:svn26059.v1.3-61.fc38.noarch texlive-uptex-9:20210325-52.fc38.x86_64 texlive-uptex-base-9:svn56832-61.fc38.noarch texlive-uptex-fonts-9:svn54045-61.fc38.noarch texlive-urcls-9:svn49903-61.fc38.noarch texlive-uri-9:svn48602-61.fc38.noarch texlive-url-9:svn32528.3.4-61.fc38.noarch texlive-urlbst-9:20210325-52.fc38.noarch texlive-urwchancal-9:svn21701.1-61.fc38.noarch texlive-usebib-9:svn25969.1.0a-61.fc38.noarch texlive-ushort-9:svn32261.2.2-61.fc38.noarch texlive-uspace-9:svn42456-61.fc38.noarch texlive-uspatent-9:svn27744.1.0-61.fc38.noarch texlive-ut-thesis-9:svn59078-61.fc38.noarch texlive-utexasthesis-9:svn48648-61.fc38.noarch texlive-utf8add-9:svn55291-61.fc38.noarch texlive-utfsym-9:svn56729-61.fc38.noarch texlive-utopia-9:svn15878.0-61.fc38.noarch texlive-uwthesis-9:svn15878.6.13-61.fc38.noarch texlive-vak-9:svn23431.0-61.fc38.noarch texlive-vancouver-9:svn55423-61.fc38.noarch texlive-variablelm-9:svn46611-61.fc38.noarch texlive-varindex-9:svn32262.2.3-61.fc38.noarch texlive-varsfromjobname-9:svn44154-61.fc38.noarch texlive-varwidth-9:svn24104.0.92-61.fc38.noarch texlive-vdmlisting-9:svn56905-61.fc38.noarch texlive-venn-9:svn15878.0-61.fc38.noarch texlive-venndiagram-9:svn47952-61.fc38.noarch texlive-venturisadf-9:svn19444.1.005-61.fc38.noarch texlive-verbasef-9:svn21922.1.1-61.fc38.noarch texlive-verbatimbox-9:svn33197.3.13-61.fc38.noarch texlive-verbatimcopy-9:svn15878.0.06-61.fc38.noarch texlive-verbdef-9:svn17177.0.2-61.fc38.noarch texlive-verbments-9:svn23670.1.2-61.fc38.noarch texlive-verifiche-9:svn57766-61.fc38.noarch texlive-verse-9:svn34017.2.4b-61.fc38.noarch texlive-version-9:svn21920.2.0-61.fc38.noarch texlive-versions-9:svn21921.0.55-61.fc38.noarch texlive-versonotes-9:svn55777-61.fc38.noarch texlive-vertbars-9:svn49429-61.fc38.noarch texlive-vgrid-9:svn32457.0.1-61.fc38.noarch texlive-vhistory-9:svn30080.1.6.1-61.fc38.noarch texlive-visualpstricks-doc-9:svn39799-61.fc38.noarch texlive-vmargin-9:svn15878.2.5-61.fc38.noarch texlive-volumes-9:svn15878.1.0-61.fc38.noarch texlive-vpe-9:20210325-52.fc38.noarch texlive-vruler-9:svn21598.2.3-61.fc38.noarch texlive-vtable-9:svn51126-61.fc38.noarch texlive-vwcol-9:svn36254.0.2-61.fc38.noarch texlive-wadalab-9:svn42428-61.fc38.noarch texlive-wallcalendar-9:svn45568-61.fc38.noarch texlive-wallpaper-9:svn15878.1.10-61.fc38.noarch texlive-warning-9:svn22028.0.01-61.fc38.noarch texlive-warpcol-9:svn15878.1.0c-61.fc38.noarch texlive-was-9:svn21439.0-61.fc38.noarch texlive-wasy-9:svn53533-61.fc38.noarch texlive-wasy-type1-9:svn53534-61.fc38.noarch texlive-wasysym-9:svn54080-61.fc38.noarch texlive-webquiz-9:20210325-52.fc38.noarch texlive-widetable-9:svn53409-61.fc38.noarch texlive-widows-and-orphans-9:svn58172-61.fc38.noarch texlive-williams-9:svn15878.0-61.fc38.noarch texlive-willowtreebook-9:svn54866-61.fc38.noarch texlive-windycity-9:svn59067-61.fc38.noarch texlive-withargs-9:svn52641-61.fc38.noarch texlive-witharrows-9:svn58120-61.fc38.noarch texlive-wordcount-9:20210325-52.fc38.noarch texlive-wordlike-9:svn15878.1.2b-61.fc38.noarch texlive-worksheet-9:svn48423-61.fc38.noarch texlive-worldflags-9:svn59171-61.fc38.noarch texlive-wrapfig-9:svn22048.3.6-61.fc38.noarch texlive-wsemclassic-9:svn31532.1.0.1-61.fc38.noarch texlive-wsuipa-9:svn25469.0-61.fc38.noarch texlive-wtref-9:svn55558-61.fc38.noarch texlive-xargs-9:svn15878.1.1-61.fc38.noarch texlive-xassoccnt-9:svn55876-61.fc38.noarch texlive-xbmks-9:svn53448-61.fc38.noarch texlive-xcharter-9:svn58755-61.fc38.noarch texlive-xcite-9:svn53486-61.fc38.noarch texlive-xcjk2uni-9:svn54958-61.fc38.noarch texlive-xcntperchap-9:svn54080-61.fc38.noarch texlive-xcolor-9:svn41044-61.fc38.noarch texlive-xcolor-material-9:svn42289-61.fc38.noarch texlive-xcolor-solarized-9:svn41809-61.fc38.noarch texlive-xcomment-9:svn20031.1.3-61.fc38.noarch texlive-xcookybooky-9:svn36435.1.5-61.fc38.noarch texlive-xcpdftips-9:svn50449-61.fc38.noarch texlive-xdoc-9:svn15878.prot2.5-61.fc38.noarch texlive-xduthesis-9:svn39694-61.fc38.noarch texlive-xdvi-9:20210325-52.fc38.x86_64 texlive-xecjk-9:svn56711-61.fc38.noarch texlive-xellipsis-9:svn47546-61.fc38.noarch texlive-xetex-9:20210325-52.fc38.x86_64 texlive-xetexconfig-9:svn45845-61.fc38.noarch texlive-xfakebold-9:svn55654-61.fc38.noarch texlive-xfor-9:svn15878.1.05-61.fc38.noarch texlive-xhfill-9:svn22575.1.01-61.fc38.noarch texlive-xifthen-9:svn38929-61.fc38.noarch texlive-xint-9:svn59161-61.fc38.noarch texlive-xits-9:svn55730-61.fc38.noarch texlive-xkcdcolors-9:svn54512-61.fc38.noarch texlive-xkeyval-9:svn57006-61.fc38.noarch texlive-xltabular-9:svn56855-61.fc38.noarch texlive-xltxtra-9:svn56594-61.fc38.noarch texlive-xmpincl-9:svn15878.2.2-61.fc38.noarch texlive-xmuthesis-9:svn56614-61.fc38.noarch texlive-xnewcommand-9:svn15878.1.2-61.fc38.noarch texlive-xoptarg-9:svn15878.1.0-61.fc38.noarch texlive-xpatch-9:svn54563-61.fc38.noarch texlive-xpeek-9:svn27442.0.2-61.fc38.noarch texlive-xpicture-9:svn28770.1.2a-61.fc38.noarch texlive-xpinyin-9:svn56709-61.fc38.noarch texlive-xprintlen-9:svn35928.1.0-61.fc38.noarch texlive-xpunctuate-9:svn26641.1.0-61.fc38.noarch texlive-xsavebox-9:svn54097-61.fc38.noarch texlive-xsim-9:svn57619-61.fc38.noarch texlive-xstring-9:svn49946-61.fc38.noarch texlive-xtab-9:svn23347.2.3f-61.fc38.noarch texlive-xunicode-9:svn30466.0.981-61.fc38.noarch texlive-xurl-9:svn57265-61.fc38.noarch texlive-xwatermark-9:svn28090.1.5.2d-61.fc38.noarch texlive-xyling-9:svn15878.1.1-61.fc38.noarch texlive-xymtex-9:svn32182.5.06-61.fc38.noarch texlive-xypic-9:svn31859.3.8.9-61.fc38.noarch texlive-xytree-9:svn15878.1.5-61.fc38.noarch texlive-yafoot-9:svn48568-61.fc38.noarch texlive-yagusylo-9:svn29803.1.2-61.fc38.noarch texlive-yaletter-9:svn42830-61.fc38.noarch texlive-yathesis-9:svn58683-61.fc38.noarch texlive-yazd-thesis-9:svn51725-61.fc38.noarch texlive-ycbook-9:svn46201-61.fc38.noarch texlive-ydoc-9:svn56291-61.fc38.noarch texlive-yfonts-9:svn50755-61.fc38.noarch texlive-yfonts-t1-9:svn36013-61.fc38.noarch texlive-yhmath-9:svn54377-61.fc38.noarch texlive-yinit-otf-9:svn40207-61.fc38.noarch texlive-york-thesis-9:svn23348.3.6-61.fc38.noarch texlive-youngtab-9:svn56500-61.fc38.noarch texlive-yplan-9:20210325-52.fc38.noarch texlive-yquant-9:svn58712-61.fc38.noarch texlive-ytableau-9:svn27430.1.3-61.fc38.noarch texlive-zapfchan-9:svn31835.0-61.fc38.noarch texlive-zapfding-9:svn31835.0-61.fc38.noarch texlive-zebra-goodies-9:svn51554-61.fc38.noarch texlive-zed-csp-9:svn17258.0-61.fc38.noarch texlive-zhmetrics-9:svn22207.r206-61.fc38.noarch texlive-zhmetrics-uptex-9:svn40728-61.fc38.noarch texlive-zhnumber-9:svn54960-61.fc38.noarch texlive-ziffer-9:svn32279.2.1-61.fc38.noarch texlive-zlmtt-9:svn51368-61.fc38.noarch texlive-zootaxa-bst-9:svn50619-61.fc38.noarch texlive-zref-9:svn56611-61.fc38.noarch texlive-zwgetfdate-9:svn15878.0-61.fc38.noarch texlive-zwpagelayout-9:svn53965-61.fc38.noarch tk-1:8.6.12-3.fc37.x86_64 tre-0.8.0-37.20140228gitc2f5d13.fc37.x86_64 tre-common-0.8.0-37.20140228gitc2f5d13.fc37.noarch txt2man-1.7.1-3.fc37.noarch urw-base35-bookman-fonts-20200910-15.fc37.noarch urw-base35-c059-fonts-20200910-15.fc37.noarch urw-base35-d050000l-fonts-20200910-15.fc37.noarch urw-base35-fonts-20200910-15.fc37.noarch urw-base35-fonts-common-20200910-15.fc37.noarch urw-base35-gothic-fonts-20200910-15.fc37.noarch urw-base35-nimbus-mono-ps-fonts-20200910-15.fc37.noarch urw-base35-nimbus-roman-fonts-20200910-15.fc37.noarch urw-base35-nimbus-sans-fonts-20200910-15.fc37.noarch urw-base35-p052-fonts-20200910-15.fc37.noarch urw-base35-standard-symbols-ps-fonts-20200910-15.fc37.noarch urw-base35-z003-fonts-20200910-15.fc37.noarch vim-data-2:9.0.1054-1.fc38.noarch vim-minimal-2:9.0.1054-1.fc38.x86_64 vulkan-loader-1.3.231.1-2.fc38.x86_64 webkit2gtk4.0-2.39.3-3.fc38.x86_64 webrtc-audio-processing-0.3.1-9.fc37.x86_64 woff2-1.0.2-15.fc37.x86_64 wpebackend-fdo-1.14.0-1.fc38.x86_64 xdg-dbus-proxy-0.1.3-2.fc37.x86_64 xdg-utils-1.1.3-12.fc37.noarch xkeyboard-config-2.36-3.fc38.noarch xml-common-0.6.3-59.fc37.noarch xorg-x11-fonts-ISO8859-1-100dpi-7.5-34.fc37.noarch xprop-1.2.5-2.fc37.x86_64 zlib-devel-1.2.13-1.fc38.x86_64 zziplib-0.13.72-2.fc38.x86_64 Complete! Finish: build setup for yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm Start: rpmbuild yosys-0.24-1.20221209git7ad7b55.fc38.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1670544000 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.VU0iXo + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys-7ad7b55.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . Patch #1 (yosys-cfginc.patch): + echo 'Patch #1 (yosys-cfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile patching file tests/various/plugin.sh Patch #2 (yosys-mancfginc.patch): + echo 'Patch #2 (yosys-mancfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/CHAPTER_Prog/Makefile patching file manual/PRESENTATION_Prog/Makefile + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD + cd yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys_0.9-1.debian.tar.xz + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/rpc/frontend.py + touch -r ./tests/rpc/frontend.py ./tests/rpc/frontend.py.new + mv ./tests/rpc/frontend.py.new ./tests/rpc/frontend.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/opt_share/generate.py + touch -r ./tests/opt_share/generate.py ./tests/opt_share/generate.py.new + mv ./tests/opt_share/generate.py.new ./tests/opt_share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/memlib/generate.py + touch -r ./tests/memlib/generate.py ./tests/memlib/generate.py.new + mv ./tests/memlib/generate.py.new ./tests/memlib/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/gatemate/gen_luttrees.py + touch -r ./tests/arch/gatemate/gen_luttrees.py ./tests/arch/gatemate/gen_luttrees.py.new + mv ./tests/arch/gatemate/gen_luttrees.py.new ./tests/arch/gatemate/gen_luttrees.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/cells_xtra.py + touch -r ./techlibs/xilinx/cells_xtra.py ./techlibs/xilinx/cells_xtra.py.new + mv ./techlibs/xilinx/cells_xtra.py.new ./techlibs/xilinx/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/nexus/cells_xtra.py + touch -r ./techlibs/nexus/cells_xtra.py ./techlibs/nexus/cells_xtra.py.new + mv ./techlibs/nexus/cells_xtra.py.new ./techlibs/nexus/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gatemate/make_lut_tree_lib.py + touch -r ./techlibs/gatemate/make_lut_tree_lib.py ./techlibs/gatemate/make_lut_tree_lib.py.new + mv ./techlibs/gatemate/make_lut_tree_lib.py.new ./techlibs/gatemate/make_lut_tree_lib.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/tests/test_diamond_ffs.py + touch -r ./techlibs/ecp5/tests/test_diamond_ffs.py ./techlibs/ecp5/tests/test_diamond_ffs.py.new + mv ./techlibs/ecp5/tests/test_diamond_ffs.py.new ./techlibs/ecp5/tests/test_diamond_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/gen_fine_ffs.py + touch -r ./techlibs/common/gen_fine_ffs.py ./techlibs/common/gen_fine_ffs.py.new + mv ./techlibs/common/gen_fine_ffs.py.new ./techlibs/common/gen_fine_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/YoscryptLexer.py + touch -r ./docs/util/YoscryptLexer.py ./docs/util/YoscryptLexer.py.new + mv ./docs/util/YoscryptLexer.py.new ./docs/util/YoscryptLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/RtlilLexer.py + touch -r ./docs/util/RtlilLexer.py ./docs/util/RtlilLexer.py.new + mv ./docs/util/RtlilLexer.py.new ./docs/util/RtlilLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/source/conf.py + touch -r ./docs/source/conf.py ./docs/source/conf.py.new + mv ./docs/source/conf.py.new ./docs/source/conf.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/ywio.py + touch -r ./backends/smt2/ywio.py ./backends/smt2/ywio.py.new + mv ./backends/smt2/ywio.py.new ./backends/smt2/ywio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/witness.py + touch -r ./backends/smt2/witness.py ./backends/smt2/witness.py.new + mv ./backends/smt2/witness.py.new ./backends/smt2/witness.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + make config-gcc /bin/sh: line 1: clang: command not found rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f rm -f kernel/version_7ad7b550cb1.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/binding.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/kernel/qcsat.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/fstdata.h share/include/kernel/mem.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/intel_alm/common/quartus_rename.v share/machxo2/cells_map.v share/machxo2/cells_sim.v share/machxo2/lutrams.txt share/machxo2/lutrams_map.v share/machxo2/brams.txt share/machxo2/brams_map.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_lut_map.v share/quicklogic/pp3_latches_map.v share/quicklogic/pp3_cells_map.v share/quicklogic/cells_sim.v share/quicklogic/lut_sim.v share/quicklogic/pp3_cells_sim.v share/quicklogic/abc9_model.v share/quicklogic/abc9_map.v share/quicklogic/abc9_unmap.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/docs' rm -rf build/* make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/docs' make -C docs/images clean make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/docs/images' rm -f *.log rm -f *.aux rm -f 011/*.log 011/*.aux rm -f *.pdf rm -f *.svg rm -f 011/*.pdf 011/*.svg make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/docs/images' rm -rf docs/source/cmd docs/util/__pycache__ echo 'CONFIG := gcc' > Makefile.conf ++ find manual -name '*.tex' -exec grep -l '{luximono}' '{}' ';' + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/presentation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/manual.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_012_Verilog_to_BTOR.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_011_Design_Investigation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_010_Verilog_to_BLIF.tex + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.TLpCeR + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j2 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.24+1 (git sha1 7ad7b550cb1, gcc 13.0.0 -O2 -fexceptions -fstack-protector-strong -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os)\"; }" > kernel/version_7ad7b550cb1.cc gcc -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mkdir -p kernel/ gcc -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mkdir -p kernel/ gcc -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' kernel/yosys.cc mkdir -p kernel/ gcc -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ gcc -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ gcc -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ gcc -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ gcc -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc kernel/satgen.cc: In member function 'bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)': kernel/satgen.cc:1213:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1213 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1204:37: note: 'undef_srst' was declared here 1204 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1227:67: warning: 'undef_ce' may be used uninitialized [-Wmaybe-uninitialized] 1227 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1218:37: note: 'undef_ce' was declared here 1218 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1241:67: warning: 'undef_srst' may be used uninitialized [-Wmaybe-uninitialized] 1241 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1232:37: note: 'undef_srst' was declared here 1232 | int undef_srst; | ^~~~~~~~~~ mkdir -p kernel/ gcc -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ gcc -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ gcc -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:26: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:19: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/fst/ gcc -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ gcc -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ gcc -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ gcc -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ gcc -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ gcc -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ gcc -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ gcc -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ gcc -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ gcc -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ gcc -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ gcc -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ gcc -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ gcc -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/ecp5_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_gsr.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ gcc -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ gcc -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ gcc -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ gcc -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/machxo2/ gcc -o techlibs/machxo2/synth_machxo2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/machxo2/synth_machxo2.cc mkdir -p techlibs/nexus/ gcc -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ gcc -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.cc share/include/backends/cxxrtl/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_capi.h share/include/backends/cxxrtl/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.cc share/include/backends/cxxrtl/cxxrtl_vcd_capi.cc mkdir -p share/include/backends/cxxrtl/ cp "./"/backends/cxxrtl/cxxrtl_vcd_capi.h share/include/backends/cxxrtl/cxxrtl_vcd_capi.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k.txt share/intel_alm/common/bram_m20k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m20k_map.v share/intel_alm/common/bram_m20k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/quartus_rename.v share/intel_alm/common/quartus_rename.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/cells_map.v share/machxo2/cells_map.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/cells_sim.v share/machxo2/cells_sim.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/lutrams.txt share/machxo2/lutrams.txt mkdir -p share/machxo2 cp "./"/techlibs/machxo2/lutrams_map.v share/machxo2/lutrams_map.v mkdir -p share/machxo2 cp "./"/techlibs/machxo2/brams.txt share/machxo2/brams.txt mkdir -p share/machxo2 cp "./"/techlibs/machxo2/brams_map.v share/machxo2/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_ffs_map.v share/quicklogic/pp3_ffs_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_lut_map.v share/quicklogic/pp3_lut_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_latches_map.v share/quicklogic/pp3_latches_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_map.v share/quicklogic/pp3_cells_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/cells_sim.v share/quicklogic/cells_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/lut_sim.v share/quicklogic/lut_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/pp3_cells_sim.v share/quicklogic/pp3_cells_sim.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_model.v share/quicklogic/abc9_model.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_map.v share/quicklogic/abc9_map.v mkdir -p share/quicklogic cp "./"/techlibs/quicklogic/abc9_unmap.v share/quicklogic/abc9_unmap.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ gcc -o kernel/version_7ad7b550cb1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_7ad7b550cb1.cc mkdir -p kernel/ gcc -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ gcc -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ gcc -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic kernel/version_7ad7b550cb1.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/qbfsat.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_gsr.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/machxo2/synth_machxo2.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + touch manual/empty.pdf ++ stat -c %y debian/man/yosys-smtbmc.txt ++ awk '{ print $1 }' + txt2man -d 2019-10-18 -t YOSYS-SMTBMC debian/man/yosys-smtbmc.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.8EnxpF + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64 ++ dirname /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/include + mv /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/include/yosys + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/man/man1 + install -m 0644 yosys-smtbmc.1 debian/yosys.1 debian/yosys-config.1 debian/yosys-filterlib.1 /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/man/man1 + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/doc/yosys + install -m 0644 manual/empty.pdf /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/share/doc/yosys + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 0.24-1.20221209git7ad7b55.fc38 --unique-debug-suffix -0.24-1.20221209git7ad7b55.fc38.x86_64 --unique-debug-src-base yosys-0.24-1.20221209git7ad7b55.fc38.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 extracting debug info from /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/bin/yosys-filterlib extracting debug info from /builddir/build/BUILDROOT/yosys-0.24-1.20221209git7ad7b55.fc38.x86_64/usr/bin/yosys dwz: Too few files for multifile optimization original debug info size: 240660kB, size after compression: 202524kB /usr/bin/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 13161 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-config from /usr/bin/env bash to #!/usr/bin/bash + /usr/lib/rpm/brp-remove-la-files + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/redhat/brp-python-hardlink Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.ypAuAD + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722 + make test ABCEXTERNAL=/usr/bin/abc SEED=314159265359 [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/simple' + gcc -Wall -o /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/tools/cmp_tbdata /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/tools/cmp_tbdata.c Test: arrays02 -> ok Test: asgn_binop -> ok Test: case_expr_extend -> ok Test: case_expr_query -> ok Test: defvalue -> ok Test: implicit_ports -> ok Test: lesser_size_cast -> ok Test: local_loop_var -> ok Test: macro_arg_spaces -> ok Test: matching_end_labels -> ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: case_expr_const -> ok Test: case_expr_non_const -> ok Test: case_large -> ok Test: const_branch_finish -> ok Test: const_fold_func -> ok Test: const_func_shadow -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: dynslice -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: func_block -> ok Test: func_recurse -> ok Test: func_width_scope -> ok Test: genblk_collide -> ok Test: genblk_dive -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: ifdef_1 -> ok Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: loops -> ok Test: macro_arg_surrounding_spaces -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem2reg_bounds_tern -> ok Test: mem_arst -> ok Test: memory -> ok Test: module_scope -> ok Test: module_scope_case -> ok Test: module_scope_func -> ok Test: multiplier -> ok Test: muxtree -> ok Test: named_genblk -> ok Test: nested_genblk_resolve -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signed_full_slice -> ok Test: signedexpr -> ok Test: sincos -> ok Test: specify -> ok Test: string_format -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: verilog_primitives -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/simple' cd tests/simple_abc9 && bash run-test.sh "-S 314159265359" ls: cannot access '*.sv': No such file or directory make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/simple_abc9' Test: abc9 -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: case_expr_const -> ok Test: case_expr_non_const -> ok Test: case_large -> ok Test: const_branch_finish -> ok Test: const_fold_func -> ok Test: const_func_shadow -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: dynslice -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: func_block -> ok Test: func_recurse -> ok Test: func_width_scope -> ok Test: genblk_collide -> ok Test: genblk_dive -> ok Test: genblk_order -> ok Test: genblk_port_shadow -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: ifdef_1 -> ok Test: ifdef_2 -> ok Test: localparam_attr -> ok Test: loop_prefix_case -> ok Test: loop_var_shadow -> ok Test: loops -> ok Test: macro_arg_surrounding_spaces -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem2reg_bounds_tern -> ok Test: mem_arst -> ok Test: memory -> ok Test: module_scope -> ok Test: module_scope_case -> ok Test: module_scope_func -> ok Test: multiplier -> ok Test: muxtree -> ok Test: named_genblk -> ok Test: nested_genblk_resolve -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signed_full_slice -> ok Test: signedexpr -> ok Test: sincos -> ok Test: string_format -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: verilog_primitives -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok Test: arrays02 -> ok Test: asgn_binop -> ok Test: case_expr_extend -> ok Test: case_expr_query -> ok Test: defvalue -> ok Test: implicit_ports -> ok Test: lesser_size_cast -> ok Test: local_loop_var -> ok Test: macro_arg_spaces -> ok Test: matching_end_labels -> ok Test: memwr_port_connection -> ok Test: unnamed_block_decl -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/simple_abc9' cd tests/hana && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/hana' Test: test_intermout -> ok Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_always -> ok Test: test_simulation_and -> ok Test: test_simulation_buffer -> ok Test: test_simulation_decoder -> ok Test: test_simulation_inc -> ok Test: test_simulation_mux -> ok Test: test_simulation_nand -> ok Test: test_simulation_nor -> ok Test: test_simulation_or -> ok Test: test_simulation_seq -> ok Test: test_simulation_shifter -> ok Test: test_simulation_sop -> ok Test: test_simulation_techmap -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/hana' cd tests/asicworld && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/asicworld' Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_cam -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_uart -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_which_clock -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/asicworld' # +cd tests/realmath && bash run-test.sh "-S 314159265359" cd tests/share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/opt_share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/opt_share' [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99]make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/opt_share' cd tests/fsm && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/fsm' [0]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[1]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[2]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[3]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[4]K[5]K[6]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! T[7]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[8]K[9]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[10]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[11]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[12]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[13]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[14]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[15]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[16]K[17]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[18]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[19]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[20]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[21]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[22]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[23]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[24]K[25]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[26]K[27]K[28]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[29]K[30]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[31]K[32]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[33]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[34]K[35]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[36]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[37]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[38]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[39]K[40]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[41]K[42]K[43]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[44]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[45]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[46]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[47]K[48]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[49]K make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/fsm' cd tests/techmap && bash run-test.sh make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/techmap' Passed abc9.ys Warning: wire '\Q' is assigned in a block at < ok Test: firrtl_938 -> ok Test: implicit_en -> ok Test: issue00335 -> ok Test: issue00710 -> ok Test: no_implicit_en -> ok Test: read_arst -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Test: trans_addr_enable -> ok Test: trans_sdp -> ok Test: trans_sp -> ok Test: wide_all -> ok Test: wide_read_async -> ok Test: wide_read_mixed -> ok Test: wide_read_sync -> ok Test: wide_read_trans -> ok Test: wide_thru_priority -> ok Test: wide_write -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/memories' egrep: warning: egrep is obsolescent; using grep -E Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_arst.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. Testing expectations for trans_addr_enable.v .. ok. Testing expectations for trans_sdp.v .. ok. Testing expectations for trans_sp.v .. ok. Testing expectations for wide_all.v .. ok. Testing expectations for wide_read_async.v .. ok. Testing expectations for wide_read_mixed.v .. ok. Testing expectations for wide_read_sync.v .. ok. Testing expectations for wide_read_trans.v .. ok. Testing expectations for wide_thru_priority.v .. ok. Testing expectations for wide_write.v .. ok. cd tests/memlib && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/memlib' Test: t_async_big -> ok Test: t_async_big_block -> ok Test: t_async_small -> ok Test: t_async_small_block -> ok Test: t_sync_big -> ok Test: t_sync_big_sdp -> ok Test: t_sync_big_lut -> ok Test: t_sync_small -> ok Test: t_sync_small_block -> ok Test: t_sync_small_block_attr -> ok Test: t_tdp -> ok Test: t_sync_2clk -> ok Test: t_sync_shared -> ok Test: t_sync_2clk_shared -> ok Test: t_sync_trans_old_old -> ok Test: t_sync_trans_old_new -> ok Test: t_sync_trans_old_none -> ok Test: t_sync_trans_new_old -> ok Test: t_sync_trans_new_new -> ok Test: t_sync_trans_new_none -> ok Test: t_sp_nc_none -> ok Test: t_sp_new_none -> ok Test: t_sp_old_none -> ok Test: t_sp_nc_nc -> ok Test: t_sp_new_nc -> ok Test: t_sp_old_nc -> ok Test: t_sp_nc_new -> ok Test: t_sp_new_new -> ok Test: t_sp_old_new -> ok Test: t_sp_nc_old -> ok Test: t_sp_new_old -> ok Test: t_sp_old_old -> ok Test: t_sp_nc_new_only -> ok Test: t_sp_new_new_only -> ok Test: t_sp_old_new_only -> ok Test: t_sp_nc_new_only_be -> ok Test: t_sp_new_new_only_be -> ok Test: t_sp_old_new_only_be -> ok Test: t_sp_nc_new_be -> ok Test: t_sp_new_new_be -> ok Test: t_sp_old_new_be -> ok Test: t_sp_nc_old_be -> ok Test: t_sp_new_old_be -> ok Test: t_sp_old_old_be -> ok Test: t_sp_nc_nc_be -> ok Test: t_sp_new_nc_be -> ok Test: t_sp_old_nc_be -> ok Test: t_sp_nc_auto -> ok Test: t_sp_new_auto -> ok Test: t_sp_old_auto -> ok Test: t_sp_nc_auto_be -> ok Test: t_sp_new_auto_be -> ok Test: t_sp_old_auto_be -> ok Test: t_sp_init_x_x -> ok Test: t_sp_init_x_x_re -> ok Test: t_sp_init_x_x_ce -> ok Test: t_sp_init_0_x -> ok Test: t_sp_init_0_x_re -> ok Test: t_sp_init_0_0 -> ok Test: t_sp_init_0_0_re -> ok Test: t_sp_init_0_any -> ok Test: t_sp_init_0_any_re -> ok Test: t_sp_init_v_x -> ok Test: t_sp_init_v_x_re -> ok Test: t_sp_init_v_0 -> ok Test: t_sp_init_v_0_re -> ok Test: t_sp_init_v_any -> ok Test: t_sp_init_v_any_re -> ok Test: t_sp_arst_x_x -> ok Test: t_sp_arst_x_x_re -> ok Test: t_sp_arst_0_x -> ok Test: t_sp_arst_0_x_re -> ok Test: t_sp_arst_0_0 -> ok Test: t_sp_arst_0_0_re -> ok Test: t_sp_arst_0_any -> ok Test: t_sp_arst_0_any_re -> ok Test: t_sp_arst_0_init -> ok Test: t_sp_arst_0_init_re -> ok Test: t_sp_arst_v_x -> ok Test: t_sp_arst_v_x_re -> ok Test: t_sp_arst_v_0 -> ok Test: t_sp_arst_v_0_re -> ok Test: t_sp_arst_v_any -> ok Test: t_sp_arst_v_any_re -> ok Test: t_sp_arst_v_init -> ok Test: t_sp_arst_v_init_re -> ok Test: t_sp_arst_e_x -> ok Test: t_sp_arst_e_x_re -> ok Test: t_sp_arst_e_0 -> ok Test: t_sp_arst_e_0_re -> ok Test: t_sp_arst_e_any -> ok Test: t_sp_arst_e_any_re -> ok Test: t_sp_arst_e_init -> ok Test: t_sp_arst_e_init_re -> ok Test: t_sp_arst_n_x -> ok Test: t_sp_arst_n_x_re -> ok Test: t_sp_arst_n_0 -> ok Test: t_sp_arst_n_0_re -> ok Test: t_sp_arst_n_any -> ok Test: t_sp_arst_n_any_re -> ok Test: t_sp_arst_n_init -> ok Test: t_sp_arst_n_init_re -> ok Test: t_sp_srst_x_x -> ok Test: t_sp_srst_x_x_re -> ok Test: t_sp_srst_0_x -> ok Test: t_sp_srst_0_x_re -> ok Test: t_sp_srst_0_0 -> ok Test: t_sp_srst_0_0_re -> ok Test: t_sp_srst_0_any -> ok Test: t_sp_srst_0_any_re -> ok Test: t_sp_srst_0_init -> ok Test: t_sp_srst_0_init_re -> ok Test: t_sp_srst_v_x -> ok Test: t_sp_srst_v_x_re -> ok Test: t_sp_srst_v_0 -> ok Test: t_sp_srst_v_0_re -> ok Test: t_sp_srst_v_any -> ok Test: t_sp_srst_v_any_re -> ok Test: t_sp_srst_v_any_re_gated -> ok Test: t_sp_srst_v_any_ce -> ok Test: t_sp_srst_v_any_ce_gated -> ok Test: t_sp_srst_v_init -> ok Test: t_sp_srst_v_init_re -> ok Test: t_sp_srst_e_x -> ok Test: t_sp_srst_e_x_re -> ok Test: t_sp_srst_e_0 -> ok Test: t_sp_srst_e_0_re -> ok Test: t_sp_srst_e_any -> ok Test: t_sp_srst_e_any_re -> ok Test: t_sp_srst_e_init -> ok Test: t_sp_srst_e_init_re -> ok Test: t_sp_srst_n_x -> ok Test: t_sp_srst_n_x_re -> ok Test: t_sp_srst_n_0 -> ok Test: t_sp_srst_n_0_re -> ok Test: t_sp_srst_n_any -> ok Test: t_sp_srst_n_any_re -> ok Test: t_sp_srst_n_init -> ok Test: t_sp_srst_n_init_re -> ok Test: t_sp_srst_gv_x -> ok Test: t_sp_srst_gv_x_re -> ok Test: t_sp_srst_gv_0 -> ok Test: t_sp_srst_gv_0_re -> ok Test: t_sp_srst_gv_any -> ok Test: t_sp_srst_gv_any_re -> ok Test: t_sp_srst_gv_any_re_gated -> ok Test: t_sp_srst_gv_any_ce -> ok Test: t_sp_srst_gv_any_ce_gated -> ok Test: t_sp_srst_gv_init -> ok Test: t_sp_srst_gv_init_re -> ok Test: t_wide_sdp_a6r1w1b1x1 -> ok Test: t_wide_sdp_a7r1w1b1x1 -> ok Test: t_wide_sdp_a8r1w1b1x1 -> ok Test: t_wide_sdp_a6r0w0b0x0 -> ok Test: t_wide_sdp_a6r1w0b0x0 -> ok Test: t_wide_sdp_a6r2w0b0x0 -> ok Test: t_wide_sdp_a6r3w0b0x0 -> ok Test: t_wide_sdp_a6r4w0b0x0 -> ok Test: t_wide_sdp_a6r5w0b0x0 -> ok Test: t_wide_sdp_a6r0w1b0x0 -> ok Test: t_wide_sdp_a6r0w1b1x0 -> ok Test: t_wide_sdp_a6r0w2b0x0 -> ok Test: t_wide_sdp_a6r0w2b2x0 -> ok Test: t_wide_sdp_a6r0w3b2x0 -> ok Test: t_wide_sdp_a6r0w4b2x0 -> ok Test: t_wide_sdp_a6r0w5b2x0 -> ok Test: t_wide_sdp_a7r0w0b0x0 -> ok Test: t_wide_sdp_a7r1w0b0x0 -> ok Test: t_wide_sdp_a7r2w0b0x0 -> ok Test: t_wide_sdp_a7r3w0b0x0 -> ok Test: t_wide_sdp_a7r4w0b0x0 -> ok Test: t_wide_sdp_a7r5w0b0x0 -> ok Test: t_wide_sdp_a7r0w1b0x0 -> ok Test: t_wide_sdp_a7r0w1b1x0 -> ok Test: t_wide_sdp_a7r0w2b0x0 -> ok Test: t_wide_sdp_a7r0w2b2x0 -> ok Test: t_wide_sdp_a7r0w3b2x0 -> ok Test: t_wide_sdp_a7r0w4b2x0 -> ok Test: t_wide_sdp_a7r0w5b2x0 -> ok Test: t_wide_sp_mix_a6r1w1b1 -> ok Test: t_wide_sp_mix_a7r1w1b1 -> ok Test: t_wide_sp_mix_a8r1w1b1 -> ok Test: t_wide_sp_mix_a6r0w0b0 -> ok Test: t_wide_sp_mix_a6r1w0b0 -> ok Test: t_wide_sp_mix_a6r2w0b0 -> ok Test: t_wide_sp_mix_a6r3w0b0 -> ok Test: t_wide_sp_mix_a6r4w0b0 -> ok Test: t_wide_sp_mix_a6r5w0b0 -> ok Test: t_wide_sp_mix_a6r0w1b0 -> ok Test: t_wide_sp_mix_a6r0w1b1 -> ok Test: t_wide_sp_mix_a6r0w2b0 -> ok Test: t_wide_sp_mix_a6r0w2b2 -> ok Test: t_wide_sp_mix_a6r0w3b2 -> ok Test: t_wide_sp_mix_a6r0w4b2 -> ok Test: t_wide_sp_mix_a6r0w5b2 -> ok Test: t_wide_sp_mix_a7r0w0b0 -> ok Test: t_wide_sp_mix_a7r1w0b0 -> ok Test: t_wide_sp_mix_a7r2w0b0 -> ok Test: t_wide_sp_mix_a7r3w0b0 -> ok Test: t_wide_sp_mix_a7r4w0b0 -> ok Test: t_wide_sp_mix_a7r5w0b0 -> ok Test: t_wide_sp_mix_a7r0w1b0 -> ok Test: t_wide_sp_mix_a7r0w1b1 -> ok Test: t_wide_sp_mix_a7r0w2b0 -> ok Test: t_wide_sp_mix_a7r0w2b2 -> ok Test: t_wide_sp_mix_a7r0w3b2 -> ok Test: t_wide_sp_mix_a7r0w4b2 -> ok Test: t_wide_sp_mix_a7r0w5b2 -> ok Test: t_wide_sp_tied_a6r1w1b1 -> ok Test: t_wide_sp_tied_a7r1w1b1 -> ok Test: t_wide_sp_tied_a8r1w1b1 -> ok Test: t_wide_sp_tied_a6r0w0b0 -> ok Test: t_wide_sp_tied_a6r1w0b0 -> ok Test: t_wide_sp_tied_a6r2w0b0 -> ok Test: t_wide_sp_tied_a6r3w0b0 -> ok Test: t_wide_sp_tied_a6r4w0b0 -> ok Test: t_wide_sp_tied_a6r5w0b0 -> ok Test: t_wide_sp_tied_a6r0w1b0 -> ok Test: t_wide_sp_tied_a6r0w1b1 -> ok Test: t_wide_sp_tied_a6r0w2b0 -> ok Test: t_wide_sp_tied_a6r0w2b2 -> ok Test: t_wide_sp_tied_a6r0w3b2 -> ok Test: t_wide_sp_tied_a6r0w4b2 -> ok Test: t_wide_sp_tied_a6r0w5b2 -> ok Test: t_wide_sp_tied_a7r0w0b0 -> ok Test: t_wide_sp_tied_a7r1w0b0 -> ok Test: t_wide_sp_tied_a7r2w0b0 -> ok Test: t_wide_sp_tied_a7r3w0b0 -> ok Test: t_wide_sp_tied_a7r4w0b0 -> ok Test: t_wide_sp_tied_a7r5w0b0 -> ok Test: t_wide_sp_tied_a7r0w1b0 -> ok Test: t_wide_sp_tied_a7r0w1b1 -> ok Test: t_wide_sp_tied_a7r0w2b0 -> ok Test: t_wide_sp_tied_a7r0w2b2 -> ok Test: t_wide_sp_tied_a7r0w3b2 -> ok Test: t_wide_sp_tied_a7r0w4b2 -> ok Test: t_wide_sp_tied_a7r0w5b2 -> ok Test: t_wide_read_a6r1w1b1 -> ok Test: t_wide_write_a6r1w1b1 -> ok Test: t_wide_read_a7r1w1b1 -> ok Test: t_wide_write_a7r1w1b1 -> ok Test: t_wide_read_a8r1w1b1 -> ok Test: t_wide_write_a8r1w1b1 -> ok Test: t_wide_read_a6r0w0b0 -> ok Test: t_wide_write_a6r0w0b0 -> ok Test: t_wide_read_a6r1w0b0 -> ok Test: t_wide_write_a6r1w0b0 -> ok Test: t_wide_read_a6r2w0b0 -> ok Test: t_wide_write_a6r2w0b0 -> ok Test: t_wide_read_a6r3w0b0 -> ok Test: t_wide_write_a6r3w0b0 -> ok Test: t_wide_read_a6r4w0b0 -> ok Test: t_wide_write_a6r4w0b0 -> ok Test: t_wide_read_a6r5w0b0 -> ok Test: t_wide_write_a6r5w0b0 -> ok Test: t_wide_read_a6r0w1b0 -> ok Test: t_wide_write_a6r0w1b0 -> ok Test: t_wide_read_a6r0w1b1 -> ok Test: t_wide_write_a6r0w1b1 -> ok Test: t_wide_read_a6r0w2b0 -> ok Test: t_wide_write_a6r0w2b0 -> ok Test: t_wide_read_a6r0w2b2 -> ok Test: t_wide_write_a6r0w2b2 -> ok Test: t_wide_read_a6r0w3b2 -> ok Test: t_wide_write_a6r0w3b2 -> ok Test: t_wide_read_a6r0w4b2 -> ok Test: t_wide_write_a6r0w4b2 -> ok Test: t_wide_read_a6r0w5b2 -> ok Test: t_wide_write_a6r0w5b2 -> ok Test: t_wide_read_a7r0w0b0 -> ok Test: t_wide_write_a7r0w0b0 -> ok Test: t_wide_read_a7r1w0b0 -> ok Test: t_wide_write_a7r1w0b0 -> ok Test: t_wide_read_a7r2w0b0 -> ok Test: t_wide_write_a7r2w0b0 -> ok Test: t_wide_read_a7r3w0b0 -> ok Test: t_wide_write_a7r3w0b0 -> ok Test: t_wide_read_a7r4w0b0 -> ok Test: t_wide_write_a7r4w0b0 -> ok Test: t_wide_read_a7r5w0b0 -> ok Test: t_wide_write_a7r5w0b0 -> ok Test: t_wide_read_a7r0w1b0 -> ok Test: t_wide_write_a7r0w1b0 -> ok Test: t_wide_read_a7r0w1b1 -> ok Test: t_wide_write_a7r0w1b1 -> ok Test: t_wide_read_a7r0w2b0 -> ok Test: t_wide_write_a7r0w2b0 -> ok Test: t_wide_read_a7r0w2b2 -> ok Test: t_wide_write_a7r0w2b2 -> ok Test: t_wide_read_a7r0w3b2 -> ok Test: t_wide_write_a7r0w3b2 -> ok Test: t_wide_read_a7r0w4b2 -> ok Test: t_wide_write_a7r0w4b2 -> ok Test: t_wide_read_a7r0w5b2 -> ok Test: t_wide_write_a7r0w5b2 -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/memlib' cd tests/bram && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/bram' ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_03. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 00_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_03. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 01_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 02_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 02_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 02_03. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 02_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 03_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 03_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 03_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 03_04. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 04_00. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 04_01. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 04_02. ../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. Passed memory_bram test 04_03. make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/bram' cd tests/various && bash run-test.sh make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/various' Warning: Wire abc9_test027.$abc$91$o is used but has no driver. Passed abc9.ys Passed aiger_dff.ys Passed attrib05_port_conn.ys Passed attrib07_func_call.ys Passed autoname.ys Passed blackbox_wb.ys Passed bug1496.ys Passed bug1531.ys Passed bug1614.ys Passed bug1710.ys Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Test: svinterface_at_top -> ok Test: load_and_derive ->ok cd tests/svtypes && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/svtypes' Passed enum_simple.ys Passed logic_rom.ys < ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/efinix/cells_sim.v -> ok Test ../../techlibs/gatemate/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -DICE40_HX ->../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2231: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2233: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2235: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2237: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2239: warning: choosing typ expression. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_LP ->../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2295: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2297: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2299: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2301: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2303: warning: choosing typ expression. ok Test ../../techlibs/ice40/cells_sim.v -DICE40_U ->../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2359: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2361: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2363: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2365: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression. ../../techlibs/ice40/cells_sim.v:2367: warning: choosing typ expression. ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cyclone10lp/cells_sim.v -> ok Test ../../techlibs/intel_alm/cyclonev/cells_sim.v -> ok Test ../../techlibs/machxo2/cells_sim.v -> ok Test ../../techlibs/nexus/cells_sim.v -> ok Test ../../techlibs/quicklogic/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v ->../../techlibs/common/simlib.v:1373: warning: Port 1 (A) of $bmux expects 1 bits, got 32. ../../techlibs/common/simlib.v:1373: : Pruning (signed) 31 high bits of the expression. ok cd tests/arch/ice40 && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/ice40' Passed add_sub.ys Passed adffs.ys Warning: Resizing cell port SSCounter6o.l0.I3 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.c0.CI from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I0 from 32 bits to 1 bits. Warning: Resizing cell port SSCounter6o.lien.I1 from 32 bits to 1 bits. Passed bug1597.ys Passed bug1598.ys Passed bug1626.ys Passed bug1644.ys Passed bug2061.ys Passed counter.ys Passed dffs.ys Passed dpram.ys Passed fsm.ys Passed ice40_dsp.ys Passed ice40_opt.ys Passed ice40_wrapcarry.ys Passed latches.ys Passed logic.ys Passed macc.ys Passed memories.ys Passed mul.ys Passed mux.ys Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. Warning: wire '\data' is assigned in a block at rom.v:12.5-12.15. Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. Warning: wire '\data' is assigned in a block at rom.v:15.6-15.16. Warning: wire '\data' is assigned in a block at rom.v:16.11-16.21. Passed rom.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/ice40' cd tests/arch/xilinx && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/xilinx' Warning: Whitebox '$paramod\FDRE\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox 'FDSE' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDRE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Warning: Whitebox '$paramod\FDSE_1\INIT=s32'00000000000000000000000000000001' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Passed abc9_dff.ys Warning: Shift register inference not yet supported for family xc3s. Passed add_sub.ys Passed adffs.ys Warning: Resizing cell port block_ram.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port block_ram.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port block_ram.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port block_ram.memory.0.0.WEA from 4 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port distributed_ram_manual.memory.0.0.WEA from 4 bits to 2 bits. Passed attributes_test.ys Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 16 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.WEA from 4 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 2 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIADI from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DIPADIP from 8 bits to 4 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOADO from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOBDO from 64 bits to 32 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPADOP from 8 bits to 4 bits. Warning: Resizing cell port sync_ram_sdp.memory.0.0.DOPBDOP from 8 bits to 4 bits. Passed blockram.ys Passed bug1460.ys Passed bug1462.ys Passed bug1480.ys Passed bug1598.ys Warning: Wire top.\t is used but has no driver. Warning: Wire top.\in is used but has no driver. Passed bug1605.ys Passed counter.ys Passed dffs.ys Passed dsp_abc9.ys /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed dsp_cascade.ys Passed dsp_fastfir.ys Passed dsp_simd.ys Warning: Shift register inference not yet supported for family xc3se. Passed fsm.ys Passed latches.ys Passed logic.ys Warning: Shift register inference not yet supported for family xc3s. Passed lutram.ys Passed macc.ys /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed mul.ys Warning: Replacing memory \M with list of registers. See mul_unsigned.v:25 /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/share/xilinx/brams_xc3sda_map.v:220: Warning: Range [1:0] select out of bounds on signal `\PORT_W_WR_EN': Setting 1 MSB bits to undef. /builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/share/xilinx/brams_xc3sda_map.v:221: Warning: Range select [3:2] out of bounds on signal `\PORT_W_WR_EN': Setting all 2 result bits to undef. Passed mul_unsigned.ys Passed mux.ys Warning: Shift register inference not yet supported for family xc3se. Passed mux_lut4.ys Passed nosrl.ys Passed opt_lut_ins.ys Passed pmgen_xilinx_srl.ys Passed shifter.ys Passed tribuf.ys Passed xilinx_dffopt.ys Passed xilinx_dsp.ys Passed xilinx_srl.ys Passed macc.sh Passed tribuf.sh make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/xilinx' cd tests/arch/ecp5 && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/ecp5' Passed add_sub.ys Passed adffs.ys Passed bug1459.ys Passed bug1598.ys Passed bug1630.ys Passed bug2409.ys Warning: Whitebox '$paramod\TRELLIS_FF\REGSET=t24'010100110100010101010100' with (* abc9_flop *) contains a $dff cell with non-zero initial state -- this is not supported for ABC9 sequential synthesis. Treating as a blackbox. Passed bug2731.ys Passed counter.ys Passed dffs.ys Passed dpram.ys Passed fsm.ys Passed latches.ys Passed latches_abc9.ys Passed logic.ys Passed lutram.ys Passed macc.ys Passed memories.ys Passed mul.ys Passed mux.ys Passed opt_lut_ins.ys Warning: wire '\data' is assigned in a block at rom.v:9.5-9.15. Warning: wire '\data' is assigned in a block at rom.v:10.5-10.15. Warning: wire '\data' is assigned in a block at rom.v:11.5-11.15. Warning: wire '\data' is assigned in a block at rom.v:12.6-12.16. Warning: wire '\data' is assigned in a block at rom.v:13.6-13.16. Warning: wire '\data' is assigned in a block at rom.v:14.6-14.16. Warning: wire '\data' is assigned in a block at rom.v:15.11-15.21. Passed rom.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/ecp5' cd tests/arch/machxo2 && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/machxo2' Passed add_sub.ys Passed dffs.ys Passed fsm.ys Passed logic.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/machxo2' cd tests/arch/efinix && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/efinix' Passed add_sub.ys Passed adffs.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed latches.ys Passed logic.ys Passed lutram.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/efinix' cd tests/arch/anlogic && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/anlogic' Passed add_sub.ys Passed blockram.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed latches.ys Passed logic.ys Passed lutram.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/anlogic' cd tests/arch/gowin && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/gowin' Passed add_sub.ys Passed adffs.ys Passed counter.ys Passed dffs.ys Passed fsm.ys ERROR: FF myDFFP.$auto$ff.cc:266:slice$662 (type $_DFF_PP1_) cannot be legalized: unsupported initial value and async reset value combination Expected error pattern 'unsupported initial value and async reset value combination' found !!! Passed init-error.ys Passed init.ys Passed logic.ys Passed lutram.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/gowin' cd tests/arch/intel_alm && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/intel_alm' Passed add_sub.ys Passed adffs.ys Passed blockram.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed logic.ys Passed lutram.ys Passed mul.ys Passed mux.ys Passed quartus_ice.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/intel_alm' cd tests/arch/nexus && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/nexus' Passed add_sub.ys Passed adffs.ys Passed blockram.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed logic.ys Passed lutram.ys Passed mul.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/nexus' cd tests/arch/quicklogic && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/quicklogic' Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed add_sub.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed adffs.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed counter.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed dffs.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed fsm.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed latches.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed logic.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed mux.ys Warning: delay target has not been set via SDC or scratchpad; assuming 12 MHz clock. Warning: Complex async reset for dff `\Q'. Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/quicklogic' cd tests/arch/gatemate && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/gatemate' Passed add_sub.ys Passed adffs.ys Passed counter.ys Passed dffs.ys Passed fsm.ys Passed latches.ys Passed logic.ys Passed luttrees.ys Passed memory.ys Passed mul.ys Passed mux.ys Passed shifter.ys Passed tribuf.ys make[1]: Leaving directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/arch/gatemate' cd tests/rpc && bash run-test.sh Running exec.ys.. cd tests/memfile && bash run-test.sh Running from the parent directory with content1.dat Running from the parent directory with temp/content2.dat Running from the parent directory with memfile/temp/content2.dat Running from the same directory with content1.dat Running from the same directory with temp/content2.dat Running from a child directory with content1.dat Running from a child directory with temp/content2.dat Running from a child directory with content2.dat Checking a failure when zero length filename is provided memory.v:0: ERROR: Can not open file `` for \$readmemb. Execution failed, which is OK. Checking a failure when not existing filename is provided memory.v:0: ERROR: Can not open file `content3.dat` for \$readmemb. Execution failed, which is OK. cd tests/verilog && bash run-test.sh make[1]: Entering directory '/builddir/build/BUILD/yosys-7ad7b550cb1157fb4c5c901006a700cb10d0e722/tests/verilog' <