Warning: Permanently added '18.209.65.101' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/8139602-fedora-rawhide-aarch64 --chroot fedora-rawhide-aarch64 Version: 1.0 PID: 11745 Logging PID: 11746 Task: {'allow_user_ssh': False, 'appstream': False, 'background': False, 'build_id': 8139602, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-aarch64', 'enable_net': False, 'fedora_review': False, 'git_hash': 'df97d0b46e3fe2a9e54213735a33f93849867ac0', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/jskarvad/TclTK9.0.0/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.46-2.20241011gita00137c', 'project_dirname': 'TclTK9.0.0', 'project_name': 'TclTK9.0.0', 'project_owner': 'jskarvad', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/jskarvad/TclTK9.0.0/fedora-rawhide-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}], 'sandbox': 'jskarvad/TclTK9.0.0--jskarvad', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'storage': 0, 'submitter': 'jskarvad', 'tags': [], 'task_id': '8139602-fedora-rawhide-aarch64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/jskarvad/TclTK9.0.0/yosys /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/jskarvad/TclTK9.0.0/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys'... Running: git checkout df97d0b46e3fe2a9e54213735a33f93849867ac0 -- cmd: ['git', 'checkout', 'df97d0b46e3fe2a9e54213735a33f93849867ac0', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys rc: 0 stdout: stderr: Note: switching to 'df97d0b46e3fe2a9e54213735a33f93849867ac0'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at df97d0b automatic import of yosys Running: dist-git-client sources cmd: ['dist-git-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading yosys-a00137c.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o yosys-a00137c.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/jskarvad/TclTK9.0.0/yosys/yosys-a00137c.tar.gz/md5/d948283727dc68dac9bc613810ffce10/yosys-a00137c.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 2972k 100 2972k 0 0 82.4M 0 --:--:-- --:--:-- --:--:-- 82.9M INFO: Reading stdout from command: md5sum yosys-a00137c.tar.gz INFO: Downloading yosys_0.33-5.debian.tar.xz INFO: Calling: curl -H Pragma: -o yosys_0.33-5.debian.tar.xz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/jskarvad/TclTK9.0.0/yosys/yosys_0.33-5.debian.tar.xz/md5/ea0e202dc8df4d0df04a98b15aac442d/yosys_0.33-5.debian.tar.xz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated100 30100 100 30100 0 0 1529k 0 --:--:-- --:--:-- --:--:-- 1547k INFO: Reading stdout from command: md5sum yosys_0.33-5.debian.tar.xz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1729004157.144771 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.9 starting (python version = 3.12.1, NVR = mock-5.9-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1729004157.144771 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys/yosys.spec) Config(fedora-rawhide-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.9 INFO: Mock Version: 5.9 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1729004157.144771/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:rawhide INFO: Pulling image: registry.fedoraproject.org/fedora:rawhide INFO: Copy content of container registry.fedoraproject.org/fedora:rawhide to /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1729004157.144771/root INFO: Checking that registry.fedoraproject.org/fedora:rawhide image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:rawhide with podman image mount INFO: image registry.fedoraproject.org/fedora:rawhide as /var/lib/containers/storage/overlay/f48f23c17191810dbc9ed477f5d0c2eb082da39116c33d6603d072958de61d91/merged INFO: umounting image registry.fedoraproject.org/fedora:rawhide (/var/lib/containers/storage/overlay/f48f23c17191810dbc9ed477f5d0c2eb082da39116c33d6603d072958de61d91/merged) with podman image umount INFO: Package manager dnf5 detected and used (fallback) INFO: Not updating bootstrap chroot, bootstrap_image_ready=True Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-1729004157.144771/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.20.0-1.fc42.aarch64 rpm-sequoia-1.7.0-2.fc41.aarch64 dnf5-5.2.6.2-1.fc42.aarch64 dnf5-plugins-5.2.6.2-1.fc42.aarch64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: fedora 100% | 137.0 KiB/s | 14.0 KiB | 00m00s Copr repository 100% | 72.4 KiB/s | 1.5 KiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash aarch64 5.2.32-2.fc42 fedora 8.3 MiB bzip2 aarch64 1.0.8-19.fc41 fedora 427.6 KiB coreutils aarch64 9.5-10.fc42 fedora 20.8 MiB cpio aarch64 2.15-2.fc41 fedora 1.2 MiB diffutils aarch64 3.10-8.fc41 fedora 2.1 MiB fedora-release-common noarch 42-0.4 fedora 19.5 KiB findutils aarch64 1:4.10.0-4.fc41 fedora 2.1 MiB gawk aarch64 5.3.0-4.fc41 fedora 4.2 MiB glibc-minimal-langpack aarch64 2.40.9000-6.fc42 fedora 0.0 B grep aarch64 3.11-9.fc41 fedora 1.1 MiB gzip aarch64 1.13-2.fc41 fedora 488.9 KiB info aarch64 7.1.1-1.fc42 fedora 613.6 KiB patch aarch64 2.7.6-25.fc41 fedora 390.6 KiB redhat-rpm-config noarch 296-1.fc42 fedora 186.6 KiB rpm-build aarch64 4.20.0-1.fc42 fedora 1.4 MiB sed aarch64 4.9-3.fc41 fedora 1.0 MiB shadow-utils aarch64 2:4.16.0-5.fc42 fedora 7.3 MiB tar aarch64 2:1.35-4.fc41 fedora 3.1 MiB unzip aarch64 6.0-64.fc41 fedora 726.7 KiB util-linux aarch64 2.40.2-8.fc42 fedora 16.9 MiB which aarch64 2.21-42.fc41 fedora 248.2 KiB xz aarch64 1:5.6.3-2.fc42 fedora 1.5 MiB Installing dependencies: add-determinism aarch64 0.4.0-1.fc42 fedora 2.1 MiB alternatives aarch64 1.30-1.fc41 fedora 218.3 KiB ansible-srpm-macros noarch 1-16.fc41 fedora 35.7 KiB audit-libs aarch64 4.0.2-1.fc41 fedora 547.4 KiB authselect aarch64 1.5.0-8.fc42 fedora 309.5 KiB authselect-libs aarch64 1.5.0-8.fc42 fedora 931.9 KiB basesystem noarch 11-21.fc41 fedora 0.0 B binutils aarch64 2.43.1-1.fc42 fedora 33.0 MiB build-reproducibility-srpm-macros noarch 0.4.0-1.fc42 fedora 735.0 B bzip2-libs aarch64 1.0.8-19.fc41 fedora 200.7 KiB ca-certificates noarch 2024.2.69_v8.0.401-2.fc42 fedora 2.6 MiB coreutils-common aarch64 9.5-10.fc42 fedora 11.2 MiB cracklib aarch64 2.9.11-6.fc41 fedora 935.0 KiB crypto-policies noarch 20241010-1.git8baf557.fc42 fedora 136.9 KiB curl aarch64 8.10.1-2.fc42 fedora 581.3 KiB cyrus-sasl-lib aarch64 2.1.28-27.fc41 fedora 3.1 MiB debugedit aarch64 5.0-18.fc42 fedora 499.3 KiB dwz aarch64 0.15-8.fc42 fedora 386.8 KiB ed aarch64 1.20.2-2.fc41 fedora 282.8 KiB efi-srpm-macros noarch 5-12.fc41 fedora 40.1 KiB elfutils aarch64 0.191-8.fc41 fedora 4.9 MiB elfutils-debuginfod-client aarch64 0.191-8.fc41 fedora 396.9 KiB elfutils-default-yama-scope noarch 0.191-8.fc41 fedora 1.8 KiB elfutils-libelf aarch64 0.191-8.fc41 fedora 1.3 MiB elfutils-libs aarch64 0.191-8.fc41 fedora 938.3 KiB fedora-gpg-keys noarch 42-0.2 fedora 126.4 KiB fedora-release noarch 42-0.4 fedora 0.0 B fedora-release-identity-basic noarch 42-0.4 fedora 694.0 B fedora-repos noarch 42-0.2 fedora 4.9 KiB fedora-repos-rawhide noarch 42-0.2 fedora 2.2 KiB file aarch64 5.45-7.fc41 fedora 267.5 KiB file-libs aarch64 5.45-7.fc41 fedora 10.0 MiB filesystem aarch64 3.18-29.fc42 fedora 106.0 B fonts-srpm-macros noarch 1:2.0.5-17.fc41 fedora 55.8 KiB forge-srpm-macros noarch 0.4.0-1.fc42 fedora 38.9 KiB fpc-srpm-macros noarch 1.3-13.fc41 fedora 144.0 B gdb-minimal aarch64 15.1-2.fc42 fedora 13.0 MiB gdbm aarch64 1:1.23-7.fc41 fedora 928.5 KiB gdbm-libs aarch64 1:1.23-7.fc41 fedora 426.0 KiB ghc-srpm-macros noarch 1.9.2-1.fc42 fedora 779.0 B glibc aarch64 2.40.9000-6.fc42 fedora 9.2 MiB glibc-common aarch64 2.40.9000-6.fc42 fedora 2.6 MiB glibc-gconv-extra aarch64 2.40.9000-6.fc42 fedora 49.2 MiB gmp aarch64 1:6.3.0-2.fc41 fedora 722.0 KiB gnat-srpm-macros noarch 6-6.fc41 fedora 1.0 KiB go-srpm-macros noarch 3.6.0-3.fc41 fedora 60.8 KiB jansson aarch64 2.13.1-10.fc41 fedora 220.5 KiB kernel-srpm-macros noarch 1.0-24.fc41 fedora 1.9 KiB keyutils-libs aarch64 1.6.3-4.fc41 fedora 226.4 KiB krb5-libs aarch64 1.21.3-2.fc41 fedora 3.3 MiB libacl aarch64 2.3.2-2.fc41 fedora 196.1 KiB libarchive aarch64 3.7.6-1.fc42 fedora 974.8 KiB libattr aarch64 2.5.2-4.fc41 fedora 196.6 KiB libblkid aarch64 2.40.2-8.fc42 fedora 418.6 KiB libbrotli aarch64 1.1.0-5.fc41 fedora 1.1 MiB libcap aarch64 2.70-4.fc41 fedora 1.4 MiB libcap-ng aarch64 0.8.5-3.fc41 fedora 417.2 KiB libcom_err aarch64 1.47.1-3.fc41 fedora 239.3 KiB libcurl aarch64 8.10.1-2.fc42 fedora 858.4 KiB libeconf aarch64 0.6.2-3.fc41 fedora 206.1 KiB libevent aarch64 2.1.12-14.fc41 fedora 1.5 MiB libfdisk aarch64 2.40.2-8.fc42 fedora 482.9 KiB libffi aarch64 3.4.6-3.fc42 fedora 282.4 KiB libgcc aarch64 14.2.1-4.fc42 fedora 350.2 KiB libgomp aarch64 14.2.1-4.fc42 fedora 567.3 KiB libidn2 aarch64 2.3.7-2.fc41 fedora 457.2 KiB libmount aarch64 2.40.2-8.fc42 fedora 483.9 KiB libnghttp2 aarch64 1.63.0-1.fc42 fedora 262.2 KiB libnsl2 aarch64 2.0.1-2.fc41 fedora 222.0 KiB libpkgconf aarch64 2.3.0-1.fc42 fedora 198.1 KiB libpsl aarch64 0.21.5-4.fc41 fedora 196.6 KiB libpwquality aarch64 1.4.5-11.fc41 fedora 1.1 MiB libselinux aarch64 3.7-6.fc42 fedora 265.1 KiB libsemanage aarch64 3.7-3.fc42 fedora 361.6 KiB libsepol aarch64 3.7-3.fc42 fedora 873.9 KiB libsmartcols aarch64 2.40.2-8.fc42 fedora 288.5 KiB libssh aarch64 0.11.1-1.fc42 fedora 649.6 KiB libssh-config noarch 0.11.1-1.fc42 fedora 277.0 B libstdc++ aarch64 14.2.1-4.fc42 fedora 2.8 MiB libtasn1 aarch64 4.19.0-9.fc41 fedora 283.8 KiB libtirpc aarch64 1.3.5-0.fc41 fedora 274.8 KiB libtool-ltdl aarch64 2.4.7-12.fc41 fedora 222.2 KiB libunistring aarch64 1.1-8.fc41 fedora 1.8 MiB libuuid aarch64 2.40.2-8.fc42 fedora 197.5 KiB libverto aarch64 0.3.2-9.fc41 fedora 197.5 KiB libxcrypt aarch64 4.4.36-7.fc41 fedora 399.0 KiB libxml2 aarch64 2.12.8-2.fc41 fedora 2.1 MiB libzstd aarch64 1.5.6-2.fc41 fedora 796.0 KiB lua-libs aarch64 5.4.6-6.fc41 fedora 393.1 KiB lua-srpm-macros noarch 1-14.fc41 fedora 1.3 KiB lz4-libs aarch64 1.10.0-1.fc41 fedora 261.6 KiB mpfr aarch64 4.2.1-5.fc41 fedora 818.9 KiB ncurses-base noarch 6.5-2.20240629.fc41 fedora 326.3 KiB ncurses-libs aarch64 6.5-2.20240629.fc41 fedora 2.2 MiB ocaml-srpm-macros noarch 10-3.fc41 fedora 1.9 KiB openblas-srpm-macros noarch 2-18.fc41 fedora 112.0 B openldap aarch64 2.6.8-5.fc41 fedora 952.3 KiB openssl-libs aarch64 1:3.2.2-8.fc42 fedora 7.2 MiB p11-kit aarch64 0.25.5-4.fc42 fedora 2.6 MiB p11-kit-trust aarch64 0.25.5-4.fc42 fedora 655.7 KiB package-notes-srpm-macros noarch 0.5-12.fc41 fedora 1.6 KiB pam aarch64 1.6.1-6.fc42 fedora 11.0 MiB pam-libs aarch64 1.6.1-6.fc42 fedora 607.3 KiB pcre2 aarch64 10.44-1.fc41.1 fedora 905.5 KiB pcre2-syntax noarch 10.44-1.fc41.1 fedora 251.6 KiB perl-srpm-macros noarch 1-56.fc41 fedora 861.0 B pkgconf aarch64 2.3.0-1.fc42 fedora 240.6 KiB pkgconf-m4 noarch 2.3.0-1.fc42 fedora 14.4 KiB pkgconf-pkg-config aarch64 2.3.0-1.fc42 fedora 990.0 B popt aarch64 1.19-7.fc41 fedora 272.9 KiB publicsuffix-list-dafsa noarch 20240107-4.fc41 fedora 67.5 KiB pyproject-srpm-macros noarch 1.15.1-1.fc42 fedora 1.9 KiB python-srpm-macros noarch 3.13-3.fc41 fedora 51.0 KiB qt5-srpm-macros noarch 5.15.15-1.fc42 fedora 500.0 B qt6-srpm-macros noarch 6.7.2-3.fc41 fedora 456.0 B readline aarch64 8.2-11.fc42 fedora 753.3 KiB rpm aarch64 4.20.0-1.fc42 fedora 3.9 MiB rpm-build-libs aarch64 4.20.0-1.fc42 fedora 262.7 KiB rpm-libs aarch64 4.20.0-1.fc42 fedora 797.9 KiB rpm-sequoia aarch64 1.7.0-2.fc41 fedora 2.3 MiB rust-srpm-macros noarch 26.3-3.fc42 fedora 4.8 KiB setup noarch 2.15.0-5.fc41 fedora 720.7 KiB sqlite-libs aarch64 3.46.0-4.fc41 fedora 1.6 MiB systemd-libs aarch64 256.7-1.fc42 fedora 2.5 MiB util-linux-core aarch64 2.40.2-8.fc42 fedora 6.2 MiB xxhash-libs aarch64 0.8.2-4.fc42 fedora 212.3 KiB xz-libs aarch64 1:5.6.3-2.fc42 fedora 266.4 KiB zig-srpm-macros noarch 1-3.fc41 fedora 1.1 KiB zip aarch64 3.0-41.fc41 fedora 1.1 MiB zlib-ng-compat aarch64 2.1.7-3.fc42 fedora 261.8 KiB zstd aarch64 1.5.6-2.fc41 fedora 1.7 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 153 packages Total size of inbound packages is 53 MiB. Need to download 0 B. After this operation, 303 MiB extra will be used (install 303 MiB, remove 0 B). [1/1] tar-2:1.35-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [1/1] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/2] bzip2-0:1.0.8-19.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [2/2] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/3] redhat-rpm-config-0:296-1.fc42.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [3/3] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/4] rpm-build-0:4.20.0-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [4/4] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/5] unzip-0:6.0-64.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [5/5] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/6] cpio-0:2.15-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [6/6] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/7] which-0:2.21-42.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [7/7] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/8] bash-0:5.2.32-2.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [8/8] Total 100% | 0.0 B/s | 0.0 B | 00m00s [1/9] coreutils-0:9.5-10.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [9/9] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/10] grep-0:3.11-9.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [10/10] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/11] patch-0:2.7.6-25.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [11/11] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/12] sed-0:4.9-3.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [12/12] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/13] shadow-utils-2:4.16.0-5.fc42.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [13/13] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/14] util-linux-0:2.40.2-8.fc42.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [14/14] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/15] diffutils-0:3.10-8.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [15/15] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/16] fedora-release-common-0:42-0.4. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [16/16] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/17] findutils-1:4.10.0-4.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [17/17] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/18] gawk-0:5.3.0-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [18/18] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/19] glibc-minimal-langpack-0:2.40.9 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [19/19] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/20] gzip-0:1.13-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [20/20] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/21] info-0:7.1.1-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [21/21] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/22] xz-1:5.6.3-2.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [22/22] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/23] glibc-0:2.40.9000-6.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [23/23] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/24] libacl-0:2.3.2-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [24/24] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/25] libselinux-0:3.7-6.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [25/25] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/26] bzip2-libs-0:1.0.8-19.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [26/26] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/27] ansible-srpm-macros-0:1-16.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [27/27] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/28] build-reproducibility-srpm-macr 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [28/28] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/29] dwz-0:0.15-8.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [29/29] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/30] efi-srpm-macros-0:5-12.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [30/30] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/31] file-0:5.45-7.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [31/31] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/32] fonts-srpm-macros-1:2.0.5-17.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [32/32] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/33] forge-srpm-macros-0:0.4.0-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [33/33] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/34] fpc-srpm-macros-0:1.3-13.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [34/34] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/35] ghc-srpm-macros-0:1.9.2-1.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [35/35] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/36] gnat-srpm-macros-0:6-6.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [36/36] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/37] go-srpm-macros-0:3.6.0-3.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [37/37] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/38] kernel-srpm-macros-0:1.0-24.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [38/38] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/39] lua-srpm-macros-0:1-14.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [39/39] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/40] ocaml-srpm-macros-0:10-3.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [40/40] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/41] openblas-srpm-macros-0:2-18.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [41/41] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/42] package-notes-srpm-macros-0:0.5 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [42/42] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/43] perl-srpm-macros-0:1-56.fc41.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [43/43] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/44] pyproject-srpm-macros-0:1.15.1- 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [44/44] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/45] python-srpm-macros-0:3.13-3.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [45/45] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/46] qt5-srpm-macros-0:5.15.15-1.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [46/46] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/47] qt6-srpm-macros-0:6.7.2-3.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [47/47] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/48] rpm-0:4.20.0-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [48/48] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/49] rust-srpm-macros-0:26.3-3.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [49/49] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/50] zig-srpm-macros-0:1-3.fc41.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [50/50] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/51] zip-0:3.0-41.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [51/51] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/52] binutils-0:2.43.1-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [52/52] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/53] debugedit-0:5.0-18.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [53/53] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/54] elfutils-0:0.191-8.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [54/54] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/55] elfutils-libelf-0:0.191-8.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [55/55] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/56] libarchive-0:3.7.6-1.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [56/56] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/57] pkgconf-pkg-config-0:2.3.0-1.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [57/57] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/58] popt-0:1.19-7.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [58/58] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/59] readline-0:8.2-11.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [59/59] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/60] rpm-build-libs-0:4.20.0-1.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [60/60] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/61] rpm-libs-0:4.20.0-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [61/61] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/62] zstd-0:1.5.6-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [62/62] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/63] filesystem-0:3.18-29.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [63/63] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/64] ncurses-libs-0:6.5-2.20240629.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [64/64] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/65] coreutils-common-0:9.5-10.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [65/65] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/66] gmp-1:6.3.0-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [66/66] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/67] libattr-0:2.5.2-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [67/67] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/68] libcap-0:2.70-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [68/68] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/69] openssl-libs-1:3.2.2-8.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [69/69] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/70] systemd-libs-0:256.7-1.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [70/70] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/71] pcre2-0:10.44-1.fc41.1.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [71/71] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/72] ed-0:1.20.2-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [72/72] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/73] audit-libs-0:4.0.2-1.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [73/73] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/74] libeconf-0:0.6.2-3.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [74/74] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/75] libsemanage-0:3.7-3.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [75/75] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/76] libxcrypt-0:4.4.36-7.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [76/76] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/77] pam-libs-0:1.6.1-6.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [77/77] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/78] setup-0:2.15.0-5.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [78/78] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/79] authselect-libs-0:1.5.0-8.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [79/79] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/80] libblkid-0:2.40.2-8.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [80/80] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/81] libcap-ng-0:0.8.5-3.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [81/81] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/82] libfdisk-0:2.40.2-8.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [82/82] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/83] libmount-0:2.40.2-8.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [83/83] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/84] libsmartcols-0:2.40.2-8.fc42.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [84/84] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/85] libuuid-0:2.40.2-8.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [85/85] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/86] pam-0:1.6.1-6.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [86/86] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/87] util-linux-core-0:2.40.2-8.fc42 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [87/87] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/88] zlib-ng-compat-0:2.1.7-3.fc42.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [88/88] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/89] fedora-repos-0:42-0.2.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [89/89] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/90] mpfr-0:4.2.1-5.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [90/90] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/91] glibc-common-0:2.40.9000-6.fc42 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [91/91] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/92] xz-libs-1:5.6.3-2.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [92/92] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/93] glibc-gconv-extra-0:2.40.9000-6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [93/93] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/94] basesystem-0:11-21.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [94/94] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/95] libgcc-0:14.2.1-4.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [95/95] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/96] libsepol-0:3.7-3.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [96/96] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/97] add-determinism-0:0.4.0-1.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [97/97] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/98] file-libs-0:5.45-7.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [98/98] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/99] curl-0:8.10.1-2.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [99/99] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/100] alternatives-0:1.30-1.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [100/100] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/101] elfutils-debuginfod-client-0: 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [101/101] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/102] jansson-0:2.13.1-10.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [102/102] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/103] libstdc++-0:14.2.1-4.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [103/103] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/104] elfutils-libs-0:0.191-8.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [104/104] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/105] libzstd-0:1.5.6-2.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [105/105] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/106] libxml2-0:2.12.8-2.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [106/106] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/107] lz4-libs-0:1.10.0-1.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [107/107] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/108] pkgconf-0:2.3.0-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [108/108] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/109] pkgconf-m4-0:2.3.0-1.fc42.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [109/109] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/110] libgomp-0:14.2.1-4.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [110/110] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/111] lua-libs-0:5.4.6-6.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [111/111] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/112] rpm-sequoia-0:1.7.0-2.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [112/112] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/113] sqlite-libs-0:3.46.0-4.fc41.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [113/113] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/114] ncurses-base-0:6.5-2.20240629 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [114/114] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/115] ca-certificates-0:2024.2.69_v 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [115/115] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/116] crypto-policies-0:20241010-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [116/116] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/117] pcre2-syntax-0:10.44-1.fc41.1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [117/117] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/118] authselect-0:1.5.0-8.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [118/118] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/119] gdbm-1:1.23-7.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [119/119] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/120] gdbm-libs-1:1.23-7.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [120/120] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/121] libnsl2-0:2.0.1-2.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [121/121] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/122] libpwquality-0:1.4.5-11.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [122/122] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/123] libtirpc-0:1.3.5-0.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [123/123] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/124] fedora-gpg-keys-0:42-0.2.noar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [124/124] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/125] fedora-repos-rawhide-0:42-0.2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [125/125] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/126] elfutils-default-yama-scope-0 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [126/126] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/127] libpkgconf-0:2.3.0-1.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [127/127] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/128] libffi-0:3.4.6-3.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [128/128] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/129] p11-kit-0:0.25.5-4.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [129/129] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/130] p11-kit-trust-0:0.25.5-4.fc42 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [130/130] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/131] cracklib-0:2.9.11-6.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [131/131] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/132] krb5-libs-0:1.21.3-2.fc41.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [132/132] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/133] libcom_err-0:1.47.1-3.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [133/133] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/134] libtasn1-0:4.19.0-9.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [134/134] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/135] keyutils-libs-0:1.6.3-4.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [135/135] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/136] libverto-0:0.3.2-9.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [136/136] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/137] fedora-release-0:42-0.4.noarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [137/137] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/138] gdb-minimal-0:15.1-2.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [138/138] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/139] xxhash-libs-0:0.8.2-4.fc42.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [139/139] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/140] fedora-release-identity-basic 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [140/140] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/141] libcurl-0:8.10.1-2.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [141/141] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/142] libbrotli-0:1.1.0-5.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [142/142] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/143] libidn2-0:2.3.7-2.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [143/143] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/144] libnghttp2-0:1.63.0-1.fc42.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [144/144] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/145] libpsl-0:0.21.5-4.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [145/145] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/146] libssh-0:0.11.1-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [146/146] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/147] openldap-0:2.6.8-5.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [147/147] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/148] libunistring-0:1.1-8.fc41.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [148/148] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/149] publicsuffix-list-dafsa-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [149/149] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/150] libssh-config-0:0.11.1-1.fc42 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [150/150] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/151] cyrus-sasl-lib-0:2.1.28-27.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [151/151] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/152] libevent-0:2.1.12-14.fc41.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [152/152] Total 100% | 0.0 B/s | 0.0 B | 00m00s [ 1/153] libtool-ltdl-0:2.4.7-12.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded -------------------------------------------------------------------------------- [153/153] Total 100% | 0.0 B/s | 0.0 B | 00m00s Running transaction Importing PGP key 0x105EF944: UserID : "Fedora (42) " Fingerprint: B0F4950458F69E1150C6C5EDC8AC4916105EF944 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-42-primary The key was successfully imported. Importing PGP key 0x105EF944: UserID : "Fedora (42) " Fingerprint: B0F4950458F69E1150C6C5EDC8AC4916105EF944 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-42-primary The key was successfully imported. Importing PGP key 0xE99D6AD1: UserID : "Fedora (41) " Fingerprint: 466CF2D8B60BC3057AA9453ED0622462E99D6AD1 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-41-primary The key was successfully imported. Importing PGP key 0x31645531: UserID : "Fedora (43) " Fingerprint: C6E7F081CF80E13146676E88829B606631645531 From : file:///usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-43-primary The key was successfully imported. [ 1/155] Verify package files 100% | 708.0 B/s | 153.0 B | 00m00s [ 2/155] Prepare transaction 100% | 2.4 KiB/s | 153.0 B | 00m00s [ 3/155] Installing libgcc-0:14.2.1-4. 100% | 171.8 MiB/s | 351.9 KiB | 00m00s [ 4/155] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 5/155] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 6/155] Installing fedora-release-ide 100% | 929.7 KiB/s | 952.0 B | 00m00s [ 7/155] Installing fedora-gpg-keys-0: 100% | 33.6 MiB/s | 172.2 KiB | 00m00s [ 8/155] Installing fedora-repos-rawhi 100% | 0.0 B/s | 2.4 KiB | 00m00s [ 9/155] Installing fedora-repos-0:42- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 10/155] Installing fedora-release-com 100% | 23.2 MiB/s | 23.8 KiB | 00m00s [ 11/155] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 12/155] Installing setup-0:2.15.0-5.f 100% | 41.7 MiB/s | 726.1 KiB | 00m00s [ 13/155] Installing filesystem-0:3.18- 100% | 2.2 MiB/s | 212.6 KiB | 00m00s [ 14/155] Installing basesystem-0:11-21 100% | 0.0 B/s | 124.0 B | 00m00s [ 15/155] Installing pcre2-syntax-0:10. 100% | 124.1 MiB/s | 254.1 KiB | 00m00s [ 16/155] Installing ncurses-base-0:6.5 100% | 49.1 MiB/s | 351.7 KiB | 00m00s [ 17/155] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 18/155] Installing ncurses-libs-0:6.5 100% | 281.1 MiB/s | 2.2 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 19/155] Installing glibc-0:2.40.9000- 100% | 250.4 MiB/s | 9.3 MiB | 00m00s [ 20/155] Installing bash-0:5.2.32-2.fc 100% | 296.9 MiB/s | 8.3 MiB | 00m00s [ 21/155] Installing glibc-common-0:2.4 100% | 286.3 MiB/s | 2.6 MiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [ 22/155] Installing glibc-gconv-extra- 100% | 547.4 MiB/s | 49.3 MiB | 00m00s [ 23/155] Installing zlib-ng-compat-0:2 100% | 256.4 MiB/s | 262.6 KiB | 00m00s [ 24/155] Installing bzip2-libs-0:1.0.8 100% | 197.1 MiB/s | 201.9 KiB | 00m00s [ 25/155] Installing xz-libs-1:5.6.3-2. 100% | 261.2 MiB/s | 267.5 KiB | 00m00s [ 26/155] Installing popt-0:1.19-7.fc41 100% | 68.2 MiB/s | 279.5 KiB | 00m00s [ 27/155] Installing readline-0:8.2-11. 100% | 245.9 MiB/s | 755.5 KiB | 00m00s [ 28/155] Installing libuuid-0:2.40.2-8 100% | 194.0 MiB/s | 198.6 KiB | 00m00s [ 29/155] Installing libblkid-0:2.40.2- 100% | 409.9 MiB/s | 419.7 KiB | 00m00s [ 30/155] Installing gmp-1:6.3.0-2.fc41 100% | 235.8 MiB/s | 724.2 KiB | 00m00s [ 31/155] Installing libattr-0:2.5.2-4. 100% | 192.9 MiB/s | 197.5 KiB | 00m00s [ 32/155] Installing libacl-0:2.3.2-2.f 100% | 192.3 MiB/s | 196.9 KiB | 00m00s [ 33/155] Installing libxcrypt-0:4.4.36 100% | 196.1 MiB/s | 401.7 KiB | 00m00s [ 34/155] Installing libstdc++-0:14.2.1 100% | 308.2 MiB/s | 2.8 MiB | 00m00s [ 35/155] Installing libzstd-0:1.5.6-2. 100% | 259.5 MiB/s | 797.3 KiB | 00m00s [ 36/155] Installing elfutils-libelf-0: 100% | 328.5 MiB/s | 1.3 MiB | 00m00s [ 37/155] Installing libeconf-0:0.6.2-3 100% | 202.9 MiB/s | 207.8 KiB | 00m00s [ 38/155] Installing gdbm-libs-1:1.23-7 100% | 417.7 MiB/s | 427.7 KiB | 00m00s [ 39/155] Installing dwz-0:0.15-8.fc42. 100% | 189.5 MiB/s | 388.2 KiB | 00m00s [ 40/155] Installing mpfr-0:4.2.1-5.fc4 100% | 267.1 MiB/s | 820.5 KiB | 00m00s [ 41/155] Installing gawk-0:5.3.0-4.fc4 100% | 387.6 MiB/s | 4.3 MiB | 00m00s [ 42/155] Installing unzip-0:6.0-64.fc4 100% | 237.7 MiB/s | 730.2 KiB | 00m00s [ 43/155] Installing file-libs-0:5.45-7 100% | 556.9 MiB/s | 10.0 MiB | 00m00s [ 44/155] Installing file-0:5.45-7.fc41 100% | 37.5 MiB/s | 269.0 KiB | 00m00s [ 45/155] Installing crypto-policies-0: 100% | 19.9 MiB/s | 163.3 KiB | 00m00s [ 46/155] Installing pcre2-0:10.44-1.fc 100% | 295.2 MiB/s | 906.9 KiB | 00m00s [ 47/155] Installing grep-0:3.11-9.fc41 100% | 137.1 MiB/s | 1.1 MiB | 00m00s [ 48/155] Installing xz-1:5.6.3-2.fc42. 100% | 188.8 MiB/s | 1.5 MiB | 00m00s [ 49/155] Installing libcap-ng-0:0.8.5- 100% | 204.6 MiB/s | 419.1 KiB | 00m00s [ 50/155] Installing audit-libs-0:4.0.2 100% | 268.3 MiB/s | 549.6 KiB | 00m00s [ 51/155] Installing pam-libs-0:1.6.1-6 100% | 297.6 MiB/s | 609.5 KiB | 00m00s [ 52/155] Installing libcap-0:2.70-4.fc 100% | 344.0 MiB/s | 1.4 MiB | 00m00s [ 53/155] Installing systemd-libs-0:256 100% | 315.1 MiB/s | 2.5 MiB | 00m00s [ 54/155] Installing libsmartcols-0:2.4 100% | 282.9 MiB/s | 289.7 KiB | 00m00s [ 55/155] Installing libsepol-0:3.7-3.f 100% | 284.8 MiB/s | 874.9 KiB | 00m00s [ 56/155] Installing libselinux-0:3.7-6 100% | 260.1 MiB/s | 266.3 KiB | 00m00s [ 57/155] Installing sed-0:4.9-3.fc41.a 100% | 164.3 MiB/s | 1.0 MiB | 00m00s [ 58/155] Installing findutils-1:4.10.0 100% | 234.2 MiB/s | 2.1 MiB | 00m00s [ 59/155] Installing libmount-0:2.40.2- 100% | 236.8 MiB/s | 485.1 KiB | 00m00s [ 60/155] Installing alternatives-0:1.3 100% | 214.7 MiB/s | 219.9 KiB | 00m00s [ 61/155] Installing lz4-libs-0:1.10.0- 100% | 256.5 MiB/s | 262.7 KiB | 00m00s [ 62/155] Installing lua-libs-0:5.4.6-6 100% | 192.5 MiB/s | 394.3 KiB | 00m00s [ 63/155] Installing libffi-0:3.4.6-3.f 100% | 277.2 MiB/s | 283.8 KiB | 00m00s [ 64/155] Installing libcom_err-0:1.47. 100% | 234.8 MiB/s | 240.4 KiB | 00m00s [ 65/155] Installing libtasn1-0:4.19.0- 100% | 139.4 MiB/s | 285.6 KiB | 00m00s [ 66/155] Installing p11-kit-0:0.25.5-4 100% | 220.5 MiB/s | 2.6 MiB | 00m00s [ 67/155] Installing libunistring-0:1.1 100% | 301.5 MiB/s | 1.8 MiB | 00m00s [ 68/155] Installing libidn2-0:2.3.7-2. 100% | 113.1 MiB/s | 463.1 KiB | 00m00s [ 69/155] Installing libpsl-0:0.21.5-4. 100% | 193.1 MiB/s | 197.7 KiB | 00m00s [ 70/155] Installing p11-kit-trust-0:0. 100% | 71.3 MiB/s | 657.4 KiB | 00m00s [ 71/155] Installing zstd-0:1.5.6-2.fc4 100% | 241.6 MiB/s | 1.7 MiB | 00m00s [ 72/155] Installing util-linux-core-0: 100% | 364.9 MiB/s | 6.2 MiB | 00m00s [ 73/155] Installing tar-2:1.35-4.fc41. 100% | 255.6 MiB/s | 3.1 MiB | 00m00s [ 74/155] Installing libsemanage-0:3.7- 100% | 118.3 MiB/s | 363.3 KiB | 00m00s [ 75/155] Installing shadow-utils-2:4.1 100% | 244.9 MiB/s | 7.3 MiB | 00m00s [ 76/155] Installing zip-0:3.0-41.fc41. 100% | 281.0 MiB/s | 1.1 MiB | 00m00s [ 77/155] Installing gdbm-1:1.23-7.fc41 100% | 227.9 MiB/s | 933.4 KiB | 00m00s [ 78/155] Installing cyrus-sasl-lib-0:2 100% | 345.2 MiB/s | 3.1 MiB | 00m00s [ 79/155] Installing libfdisk-0:2.40.2- 100% | 236.3 MiB/s | 483.9 KiB | 00m00s [ 80/155] Installing libxml2-0:2.12.8-2 100% | 306.0 MiB/s | 2.1 MiB | 00m00s [ 81/155] Installing bzip2-0:1.0.8-19.f 100% | 211.0 MiB/s | 432.2 KiB | 00m00s [ 82/155] Installing add-determinism-0: 100% | 299.7 MiB/s | 2.1 MiB | 00m00s [ 83/155] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 84/155] Installing sqlite-libs-0:3.46 100% | 259.8 MiB/s | 1.6 MiB | 00m00s [ 85/155] Installing ed-0:1.20.2-2.fc41 100% | 139.2 MiB/s | 285.1 KiB | 00m00s [ 86/155] Installing patch-0:2.7.6-25.f 100% | 191.5 MiB/s | 392.1 KiB | 00m00s [ 87/155] Installing elfutils-default-y 100% | 340.5 KiB/s | 2.0 KiB | 00m00s [ 88/155] Installing elfutils-libs-0:0. 100% | 229.5 MiB/s | 940.2 KiB | 00m00s [ 89/155] Installing cpio-0:2.15-2.fc41 100% | 203.4 MiB/s | 1.2 MiB | 00m00s [ 90/155] Installing diffutils-0:3.10-8 100% | 234.4 MiB/s | 2.1 MiB | 00m00s [ 91/155] Installing jansson-0:2.13.1-1 100% | 216.7 MiB/s | 221.9 KiB | 00m00s [ 92/155] Installing libgomp-0:14.2.1-4 100% | 277.7 MiB/s | 568.7 KiB | 00m00s [ 93/155] Installing libpkgconf-0:2.3.0 100% | 194.6 MiB/s | 199.2 KiB | 00m00s [ 94/155] Installing pkgconf-0:2.3.0-1. 100% | 118.7 MiB/s | 243.1 KiB | 00m00s [ 95/155] Installing keyutils-libs-0:1. 100% | 222.5 MiB/s | 227.9 KiB | 00m00s [ 96/155] Installing libverto-0:0.3.2-9 100% | 194.7 MiB/s | 199.3 KiB | 00m00s [ 97/155] Installing xxhash-libs-0:0.8. 100% | 208.7 MiB/s | 213.7 KiB | 00m00s [ 98/155] Installing libbrotli-0:1.1.0- 100% | 285.2 MiB/s | 1.1 MiB | 00m00s [ 99/155] Installing libnghttp2-0:1.63. 100% | 257.2 MiB/s | 263.3 KiB | 00m00s [100/155] Installing libtool-ltdl-0:2.4 100% | 218.1 MiB/s | 223.4 KiB | 00m00s [101/155] Installing pkgconf-m4-0:2.3.0 100% | 0.0 B/s | 14.8 KiB | 00m00s [102/155] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [103/155] Installing coreutils-common-0 100% | 294.5 MiB/s | 11.2 MiB | 00m00s [104/155] Installing openssl-libs-1:3.2 100% | 327.8 MiB/s | 7.2 MiB | 00m00s [105/155] Installing coreutils-0:9.5-10 100% | 462.3 MiB/s | 20.8 MiB | 00m00s [106/155] Installing ca-certificates-0: 100% | 1.4 MiB/s | 2.4 MiB | 00m02s [107/155] Installing krb5-libs-0:1.21.3 100% | 257.4 MiB/s | 3.3 MiB | 00m00s [108/155] Installing libarchive-0:3.7.6 100% | 238.5 MiB/s | 976.8 KiB | 00m00s [109/155] Installing libtirpc-0:1.3.5-0 100% | 135.0 MiB/s | 276.5 KiB | 00m00s [110/155] Installing gzip-0:1.13-2.fc41 100% | 120.7 MiB/s | 494.4 KiB | 00m00s [111/155] Installing authselect-libs-0: 100% | 132.1 MiB/s | 946.8 KiB | 00m00s [112/155] Installing cracklib-0:2.9.11- 100% | 132.0 MiB/s | 946.3 KiB | 00m00s [113/155] Installing libpwquality-0:1.4 100% | 158.2 MiB/s | 1.1 MiB | 00m00s [114/155] Installing libnsl2-0:2.0.1-2. 100% | 109.0 MiB/s | 223.2 KiB | 00m00s [115/155] Installing pam-0:1.6.1-6.fc42 100% | 356.1 MiB/s | 11.0 MiB | 00m00s [116/155] Installing libssh-0:0.11.1-1. 100% | 212.1 MiB/s | 651.7 KiB | 00m00s [117/155] Installing rpm-sequoia-0:1.7. 100% | 287.1 MiB/s | 2.3 MiB | 00m00s [118/155] Installing rpm-libs-0:4.20.0- 100% | 260.2 MiB/s | 799.4 KiB | 00m00s [119/155] Installing rpm-build-libs-0:4 100% | 257.4 MiB/s | 263.6 KiB | 00m00s [120/155] Installing libevent-0:2.1.12- 100% | 304.7 MiB/s | 1.5 MiB | 00m00s [121/155] Installing openldap-0:2.6.8-5 100% | 233.4 MiB/s | 956.1 KiB | 00m00s [122/155] Installing libcurl-0:8.10.1-2 100% | 209.8 MiB/s | 859.5 KiB | 00m00s [123/155] Installing elfutils-debuginfo 100% | 194.8 MiB/s | 398.9 KiB | 00m00s [124/155] Installing binutils-0:2.43.1- 100% | 351.0 MiB/s | 33.0 MiB | 00m00s [125/155] Installing elfutils-0:0.191-8 100% | 381.1 MiB/s | 5.0 MiB | 00m00s [126/155] Installing gdb-minimal-0:15.1 100% | 341.6 MiB/s | 13.0 MiB | 00m00s [127/155] Installing debugedit-0:5.0-18 100% | 245.1 MiB/s | 502.0 KiB | 00m00s [128/155] Installing curl-0:8.10.1-2.fc 100% | 51.8 MiB/s | 583.8 KiB | 00m00s [129/155] Installing rpm-0:4.20.0-1.fc4 100% | 146.0 MiB/s | 3.4 MiB | 00m00s [130/155] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [131/155] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [132/155] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [133/155] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [134/155] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [135/155] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [136/155] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [137/155] Installing package-notes-srpm 100% | 2.0 MiB/s | 2.0 KiB | 00m00s [138/155] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [139/155] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [140/155] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [141/155] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [142/155] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [143/155] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [144/155] Installing ansible-srpm-macro 100% | 0.0 B/s | 36.2 KiB | 00m00s [145/155] Installing fonts-srpm-macros- 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [146/155] Installing forge-srpm-macros- 100% | 39.3 MiB/s | 40.3 KiB | 00m00s [147/155] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [148/155] Installing python-srpm-macros 100% | 50.9 MiB/s | 52.2 KiB | 00m00s [149/155] Installing redhat-rpm-config- 100% | 62.9 MiB/s | 193.2 KiB | 00m00s [150/155] Installing rpm-build-0:4.20.0 100% | 349.3 MiB/s | 1.4 MiB | 00m00s [151/155] Installing pyproject-srpm-mac 100% | 1.2 MiB/s | 2.5 KiB | 00m00s [152/155] Installing util-linux-0:2.40. 100% | 368.6 MiB/s | 17.0 MiB | 00m00s [153/155] Installing authselect-0:1.5.0 100% | 102.2 MiB/s | 313.9 KiB | 00m00s [154/155] Installing which-0:2.21-42.fc 100% | 244.5 MiB/s | 250.4 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [155/155] Installing info-0:7.1.1-1.fc4 100% | 270.6 KiB/s | 614.1 KiB | 00m02s Complete! Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-0.4.0-1.fc42.aarch64 alternatives-1.30-1.fc41.aarch64 ansible-srpm-macros-1-16.fc41.noarch audit-libs-4.0.2-1.fc41.aarch64 authselect-1.5.0-8.fc42.aarch64 authselect-libs-1.5.0-8.fc42.aarch64 basesystem-11-21.fc41.noarch bash-5.2.32-2.fc42.aarch64 binutils-2.43.1-1.fc42.aarch64 build-reproducibility-srpm-macros-0.4.0-1.fc42.noarch bzip2-1.0.8-19.fc41.aarch64 bzip2-libs-1.0.8-19.fc41.aarch64 ca-certificates-2024.2.69_v8.0.401-2.fc42.noarch coreutils-9.5-10.fc42.aarch64 coreutils-common-9.5-10.fc42.aarch64 cpio-2.15-2.fc41.aarch64 cracklib-2.9.11-6.fc41.aarch64 crypto-policies-20241010-1.git8baf557.fc42.noarch curl-8.10.1-2.fc42.aarch64 cyrus-sasl-lib-2.1.28-27.fc41.aarch64 debugedit-5.0-18.fc42.aarch64 diffutils-3.10-8.fc41.aarch64 dwz-0.15-8.fc42.aarch64 ed-1.20.2-2.fc41.aarch64 efi-srpm-macros-5-12.fc41.noarch elfutils-0.191-8.fc41.aarch64 elfutils-debuginfod-client-0.191-8.fc41.aarch64 elfutils-default-yama-scope-0.191-8.fc41.noarch elfutils-libelf-0.191-8.fc41.aarch64 elfutils-libs-0.191-8.fc41.aarch64 fedora-gpg-keys-42-0.2.noarch fedora-release-42-0.4.noarch fedora-release-common-42-0.4.noarch fedora-release-identity-basic-42-0.4.noarch fedora-repos-42-0.2.noarch fedora-repos-rawhide-42-0.2.noarch file-5.45-7.fc41.aarch64 file-libs-5.45-7.fc41.aarch64 filesystem-3.18-29.fc42.aarch64 findutils-4.10.0-4.fc41.aarch64 fonts-srpm-macros-2.0.5-17.fc41.noarch forge-srpm-macros-0.4.0-1.fc42.noarch fpc-srpm-macros-1.3-13.fc41.noarch gawk-5.3.0-4.fc41.aarch64 gdb-minimal-15.1-2.fc42.aarch64 gdbm-1.23-7.fc41.aarch64 gdbm-libs-1.23-7.fc41.aarch64 ghc-srpm-macros-1.9.2-1.fc42.noarch glibc-2.40.9000-6.fc42.aarch64 glibc-common-2.40.9000-6.fc42.aarch64 glibc-gconv-extra-2.40.9000-6.fc42.aarch64 glibc-minimal-langpack-2.40.9000-6.fc42.aarch64 gmp-6.3.0-2.fc41.aarch64 gnat-srpm-macros-6-6.fc41.noarch go-srpm-macros-3.6.0-3.fc41.noarch gpg-pubkey-105ef944-65ca83d1 gpg-pubkey-31645531-66b6dccf gpg-pubkey-e99d6ad1-64d2612c grep-3.11-9.fc41.aarch64 gzip-1.13-2.fc41.aarch64 info-7.1.1-1.fc42.aarch64 jansson-2.13.1-10.fc41.aarch64 kernel-srpm-macros-1.0-24.fc41.noarch keyutils-libs-1.6.3-4.fc41.aarch64 krb5-libs-1.21.3-2.fc41.aarch64 libacl-2.3.2-2.fc41.aarch64 libarchive-3.7.6-1.fc42.aarch64 libattr-2.5.2-4.fc41.aarch64 libblkid-2.40.2-8.fc42.aarch64 libbrotli-1.1.0-5.fc41.aarch64 libcap-2.70-4.fc41.aarch64 libcap-ng-0.8.5-3.fc41.aarch64 libcom_err-1.47.1-3.fc41.aarch64 libcurl-8.10.1-2.fc42.aarch64 libeconf-0.6.2-3.fc41.aarch64 libevent-2.1.12-14.fc41.aarch64 libfdisk-2.40.2-8.fc42.aarch64 libffi-3.4.6-3.fc42.aarch64 libgcc-14.2.1-4.fc42.aarch64 libgomp-14.2.1-4.fc42.aarch64 libidn2-2.3.7-2.fc41.aarch64 libmount-2.40.2-8.fc42.aarch64 libnghttp2-1.63.0-1.fc42.aarch64 libnsl2-2.0.1-2.fc41.aarch64 libpkgconf-2.3.0-1.fc42.aarch64 libpsl-0.21.5-4.fc41.aarch64 libpwquality-1.4.5-11.fc41.aarch64 libselinux-3.7-6.fc42.aarch64 libsemanage-3.7-3.fc42.aarch64 libsepol-3.7-3.fc42.aarch64 libsmartcols-2.40.2-8.fc42.aarch64 libssh-0.11.1-1.fc42.aarch64 libssh-config-0.11.1-1.fc42.noarch libstdc++-14.2.1-4.fc42.aarch64 libtasn1-4.19.0-9.fc41.aarch64 libtirpc-1.3.5-0.fc41.aarch64 libtool-ltdl-2.4.7-12.fc41.aarch64 libunistring-1.1-8.fc41.aarch64 libuuid-2.40.2-8.fc42.aarch64 libverto-0.3.2-9.fc41.aarch64 libxcrypt-4.4.36-7.fc41.aarch64 libxml2-2.12.8-2.fc41.aarch64 libzstd-1.5.6-2.fc41.aarch64 lua-libs-5.4.6-6.fc41.aarch64 lua-srpm-macros-1-14.fc41.noarch lz4-libs-1.10.0-1.fc41.aarch64 mpfr-4.2.1-5.fc41.aarch64 ncurses-base-6.5-2.20240629.fc41.noarch ncurses-libs-6.5-2.20240629.fc41.aarch64 ocaml-srpm-macros-10-3.fc41.noarch openblas-srpm-macros-2-18.fc41.noarch openldap-2.6.8-5.fc41.aarch64 openssl-libs-3.2.2-8.fc42.aarch64 p11-kit-0.25.5-4.fc42.aarch64 p11-kit-trust-0.25.5-4.fc42.aarch64 package-notes-srpm-macros-0.5-12.fc41.noarch pam-1.6.1-6.fc42.aarch64 pam-libs-1.6.1-6.fc42.aarch64 patch-2.7.6-25.fc41.aarch64 pcre2-10.44-1.fc41.1.aarch64 pcre2-syntax-10.44-1.fc41.1.noarch perl-srpm-macros-1-56.fc41.noarch pkgconf-2.3.0-1.fc42.aarch64 pkgconf-m4-2.3.0-1.fc42.noarch pkgconf-pkg-config-2.3.0-1.fc42.aarch64 popt-1.19-7.fc41.aarch64 publicsuffix-list-dafsa-20240107-4.fc41.noarch pyproject-srpm-macros-1.15.1-1.fc42.noarch python-srpm-macros-3.13-3.fc41.noarch qt5-srpm-macros-5.15.15-1.fc42.noarch qt6-srpm-macros-6.7.2-3.fc41.noarch readline-8.2-11.fc42.aarch64 redhat-rpm-config-296-1.fc42.noarch rpm-4.20.0-1.fc42.aarch64 rpm-build-4.20.0-1.fc42.aarch64 rpm-build-libs-4.20.0-1.fc42.aarch64 rpm-libs-4.20.0-1.fc42.aarch64 rpm-sequoia-1.7.0-2.fc41.aarch64 rust-srpm-macros-26.3-3.fc42.noarch sed-4.9-3.fc41.aarch64 setup-2.15.0-5.fc41.noarch shadow-utils-4.16.0-5.fc42.aarch64 sqlite-libs-3.46.0-4.fc41.aarch64 systemd-libs-256.7-1.fc42.aarch64 tar-1.35-4.fc41.aarch64 unzip-6.0-64.fc41.aarch64 util-linux-2.40.2-8.fc42.aarch64 util-linux-core-2.40.2-8.fc42.aarch64 which-2.21-42.fc41.aarch64 xxhash-libs-0.8.2-4.fc42.aarch64 xz-5.6.3-2.fc42.aarch64 xz-libs-5.6.3-2.fc42.aarch64 zig-srpm-macros-1-3.fc41.noarch zip-3.0-41.fc41.aarch64 zlib-ng-compat-2.1.7-3.fc42.aarch64 zstd-1.5.6-2.fc41.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1728864000 Wrote: /builddir/build/SRPMS/yosys-0.46-2.20241011gita00137c.fc42.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-rawhide-aarch64-1729004157.144771/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-ywwd8ry7/yosys/yosys.spec) Config(child) 0 minutes 14 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.46-2.20241011gita00137c.fc42.src.rpm) Config(fedora-rawhide-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1729004157.144771/root. INFO: reusing tmpfs at /var/lib/mock/fedora-rawhide-aarch64-bootstrap-1729004157.144771/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-rawhide-aarch64-1729004157.144771/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.20.0-1.fc42.aarch64 rpm-sequoia-1.7.0-2.fc41.aarch64 dnf5-5.2.6.2-1.fc42.aarch64 dnf5-plugins-5.2.6.2-1.fc42.aarch64 Finish: chroot init Start: build phase for yosys-0.46-2.20241011gita00137c.fc42.src.rpm Start: build setup for yosys-0.46-2.20241011gita00137c.fc42.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1728864000 Wrote: /builddir/build/SRPMS/yosys-0.46-2.20241011gita00137c.fc42.src.rpm Updating and loading repositories: Copr repository 100% | 101.4 KiB/s | 1.5 KiB | 00m00s fedora 100% | 263.7 KiB/s | 14.0 KiB | 00m00s Repositories loaded. Package "pkgconf-pkg-config-2.3.0-1.fc42.aarch64" is already installed. Package Arch Version Repository Size Installing: bison aarch64 3.8.2-9.fc41 fedora 3.6 MiB flex aarch64 2.6.4-18.fc41 fedora 881.3 KiB gcc-c++ aarch64 14.2.1-4.fc42 fedora 35.0 MiB graphviz aarch64 12.1.2-1.fc42 fedora 26.1 MiB iverilog aarch64 12.0-8.fc41 fedora 9.1 MiB latexmk noarch 4.85-2.fc41 fedora 991.3 KiB libfaketime aarch64 0.9.10-10.fc41 fedora 912.7 KiB libffi-devel aarch64 3.4.6-3.fc42 fedora 29.9 KiB make aarch64 1:4.4.1-9.fc42 fedora 1.8 MiB pdf2svg aarch64 0.2.3-21.fc41 fedora 213.4 KiB python3 aarch64 3.13.0-1.fc42 fedora 211.8 KiB python3-click noarch 8.1.7-6.fc41 fedora 1.1 MiB python3-furo noarch 2024.08.06-1.fc41 fedora 359.1 KiB python3-sphinx-latex noarch 1:7.3.7-2.fc41 fedora 0.0 B python3-sphinxcontrib-bibtex noarch 2.6.3-1.fc42 fedora 322.3 KiB readline-devel aarch64 8.2-11.fc42 fedora 553.0 KiB rsync aarch64 3.3.0-4.fc42 fedora 820.1 KiB tcl-devel aarch64 1:9.0.0-1.fc42 copr_base 822.6 KiB texlive-comment noarch 11:svn41927-74.fc42 fedora 22.3 KiB texlive-pgfplots noarch 11:svn61719-74.fc42 fedora 3.4 MiB texlive-standalone noarch 11:svn64677-74.fc42 fedora 82.0 KiB txt2man noarch 1.7.1-7.fc41 fedora 47.1 KiB yosyshq-abc aarch64 0.46-1.20241011gitcac8f99.fc42 fedora 208.9 KiB Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-13.fc41 fedora 192.7 KiB adobe-mappings-cmap noarch 20230622-4.fc41 fedora 14.4 MiB adobe-mappings-cmap-deprecated noarch 20230622-4.fc41 fedora 582.1 KiB adobe-mappings-pdf noarch 20190401-8.fc41 fedora 4.4 MiB annobin-docs noarch 12.70-1.fc42 fedora 97.7 KiB annobin-plugin-gcc aarch64 12.70-1.fc42 fedora 1.1 MiB avahi-libs aarch64 0.8-30.fc42 fedora 614.5 KiB biber noarch 2.19-6.fc41 fedora 1.3 MiB cairo aarch64 1.18.0-4.fc41 fedora 1.9 MiB cairo-gobject aarch64 1.18.0-4.fc41 fedora 195.3 KiB cmake-filesystem aarch64 3.28.3-7.fc41 fedora 0.0 B cpp aarch64 14.2.1-4.fc42 fedora 31.8 MiB cups-libs aarch64 1:2.4.11-1.fc42 fedora 859.1 KiB dbus-libs aarch64 1:1.14.10-4.fc41 fedora 489.1 KiB default-fonts-core-sans noarch 4.1-3.fc42 fedora 11.9 KiB desktop-file-utils aarch64 0.27-2.fc41 fedora 638.4 KiB emacs-filesystem noarch 1:30.0-3.fc41 fedora 0.0 B expat aarch64 2.6.3-1.fc42 fedora 539.6 KiB fontconfig aarch64 2.15.0-8.fc41 fedora 2.4 MiB fonts-filesystem noarch 1:2.0.5-17.fc41 fedora 0.0 B freeglut aarch64 3.6.0-2.fc41 fedora 523.5 KiB freetype aarch64 2.13.3-1.fc42 fedora 943.0 KiB fribidi aarch64 1.0.16-1.fc42 fedora 502.6 KiB gcc aarch64 14.2.1-4.fc42 fedora 93.8 MiB gcc-plugin-annobin aarch64 14.2.1-4.fc42 fedora 197.1 KiB gd aarch64 2.3.3-17.fc41 fedora 515.7 KiB gdk-pixbuf2 aarch64 2.42.12-6.fc41 fedora 2.9 MiB ghostscript aarch64 10.04.0-1.fc42 fedora 214.9 KiB ghostscript-tools-fonts noarch 10.04.0-1.fc42 fedora 2.5 KiB ghostscript-tools-printing noarch 10.04.0-1.fc42 fedora 3.5 KiB giflib aarch64 5.2.2-2.fc41 fedora 260.3 KiB glib2 aarch64 2.82.1-2.fc42 fedora 16.6 MiB glibc-devel aarch64 2.40.9000-6.fc42 fedora 2.2 MiB gnupg2 aarch64 2.4.5-3.fc41 fedora 12.3 MiB gnutls aarch64 3.8.7-4.fc42 fedora 3.4 MiB google-droid-sans-fonts noarch 20200215-21.fc41 fedora 6.3 MiB google-noto-fonts-common noarch 20240901-1.fc42 fedora 17.5 KiB google-noto-sans-vf-fonts noarch 20240901-1.fc42 fedora 1.2 MiB gpgme aarch64 1.23.2-5.fc41 fedora 811.0 KiB gpgmepp aarch64 1.23.2-5.fc41 fedora 521.9 KiB graphite2 aarch64 1.3.14-16.fc41 fedora 495.9 KiB graphviz-libs aarch64 12.1.2-1.fc42 fedora 2.0 MiB groff-base aarch64 1.23.0-7.fc41 fedora 5.2 MiB gts aarch64 0.7.6-49.20121130.fc41 fedora 2.4 MiB gumbo-parser aarch64 1:0.12.1-2.fc41 fedora 530.1 KiB harfbuzz aarch64 10.0.1-1.fc42 fedora 2.8 MiB hwdata noarch 0.388-1.fc42 fedora 9.3 MiB jbig2dec-libs aarch64 0.20-5.fc41 fedora 301.1 KiB jbigkit-libs aarch64 2.1-30.fc41 fedora 437.7 KiB json-c aarch64 0.17-4.fc41 fedora 202.4 KiB kernel-headers aarch64 6.11.0-63.fc42 fedora 6.3 MiB lasi aarch64 1.1.3-14.fc41 fedora 258.5 KiB lcms2 aarch64 2.16-4.fc41 fedora 484.9 KiB leptonica aarch64 1.84.1-4.fc41 fedora 3.3 MiB libICE aarch64 1.1.1-4.fc41 fedora 273.1 KiB libSM aarch64 1.2.4-4.fc41 fedora 253.4 KiB libX11 aarch64 1.8.10-2.fc42 fedora 1.3 MiB libX11-common noarch 1.8.10-2.fc42 fedora 1.1 MiB libX11-xcb aarch64 1.8.10-2.fc42 fedora 195.1 KiB libXau aarch64 1.0.11-7.fc41 fedora 242.9 KiB libXaw aarch64 1.0.16-2.fc41 fedora 614.2 KiB libXext aarch64 1.3.6-2.fc41 fedora 210.0 KiB libXfixes aarch64 6.0.1-4.fc41 fedora 198.4 KiB libXft aarch64 2.3.8-7.fc41 fedora 256.5 KiB libXi aarch64 1.8.2-1.fc42 fedora 200.7 KiB libXmu aarch64 1.2.1-2.fc41 fedora 455.6 KiB libXpm aarch64 3.5.17-4.fc41 fedora 264.5 KiB libXrender aarch64 0.9.11-7.fc41 fedora 198.2 KiB libXt aarch64 1.3.0-4.fc41 fedora 541.7 KiB libXxf86vm aarch64 1.1.5-7.fc41 fedora 197.4 KiB libaom aarch64 3.9.0-3.fc41 fedora 3.7 MiB libasan aarch64 14.2.1-4.fc42 fedora 1.6 MiB libassuan aarch64 2.5.7-2.fc41 fedora 279.8 KiB libatomic aarch64 14.2.1-4.fc42 fedora 196.9 KiB libavif aarch64 1.0.4-7.fc41 fedora 279.9 KiB libb2 aarch64 0.98.1-12.fc41 fedora 202.2 KiB libdatrie aarch64 0.2.13-10.fc41 fedora 222.0 KiB libdav1d aarch64 1.4.3-2.fc41 fedora 920.5 KiB libdrm aarch64 2.4.123-1.fc42 fedora 1.6 MiB libedit aarch64 3.1-53.20240808cvs.fc41 fedora 344.2 KiB libgcrypt aarch64 1.11.0-3.fc41 fedora 1.2 MiB libglvnd aarch64 1:1.7.0-5.fc41 fedora 1.5 MiB libglvnd-glx aarch64 1:1.7.0-5.fc41 fedora 1.2 MiB libglvnd-opengl aarch64 1:1.7.0-5.fc41 fedora 457.1 KiB libgpg-error aarch64 1.50-2.fc41 fedora 1.1 MiB libgs aarch64 10.04.0-1.fc42 fedora 23.2 MiB libicu aarch64 74.2-2.fc41 fedora 35.6 MiB libijs aarch64 0.35-23.fc41 fedora 229.7 KiB libimagequant aarch64 4.0.3-5.fc41 fedora 667.1 KiB libjpeg-turbo aarch64 3.0.4-1.fc42 fedora 796.8 KiB libksba aarch64 1.6.7-2.fc41 fedora 526.5 KiB liblerc aarch64 4.0.0-7.fc41 fedora 610.5 KiB libmpc aarch64 1.3.1-6.fc41 fedora 280.8 KiB libpaper aarch64 1:2.1.1-7.fc41 fedora 225.0 KiB libpciaccess aarch64 0.16-13.fc41 fedora 200.7 KiB libpng aarch64 2:1.6.44-1.fc42 fedora 333.9 KiB librsvg2 aarch64 2.59.1-1.fc42 fedora 4.3 MiB libstdc++-devel aarch64 14.2.1-4.fc42 fedora 15.1 MiB libthai aarch64 0.1.29-9.fc41 fedora 935.5 KiB libtiff aarch64 4.6.0-6.fc42 fedora 850.2 KiB libubsan aarch64 14.2.1-4.fc42 fedora 539.3 KiB libwayland-server aarch64 1.23.0-2.fc41 fedora 198.7 KiB libwebp aarch64 1.4.0-4.fc41 fedora 1.2 MiB libxcb aarch64 1.17.0-3.fc42 fedora 5.0 MiB libxcrypt-devel aarch64 4.4.36-7.fc41 fedora 30.3 KiB libxshmfence aarch64 1.3.2-4.fc41 fedora 195.5 KiB libxslt aarch64 1.1.42-2.fc41 fedora 867.2 KiB libyaml aarch64 0.2.5-15.fc41 fedora 262.6 KiB llvm-libs aarch64 19.1.0-1.fc42 fedora 115.5 MiB lm_sensors-libs aarch64 3.6.0-20.fc41 fedora 221.9 KiB m4 aarch64 1.4.19-10.fc41 fedora 668.7 KiB mailcap noarch 2.1.54-7.fc41 fedora 86.0 KiB mesa-dri-drivers aarch64 24.2.4-1.fc42 fedora 101.0 MiB mesa-filesystem aarch64 24.2.4-1.fc42 fedora 3.6 KiB mesa-libGL aarch64 24.2.4-1.fc42 fedora 725.6 KiB mesa-libgbm aarch64 24.2.4-1.fc42 fedora 197.3 KiB mesa-libglapi aarch64 24.2.4-1.fc42 fedora 397.2 KiB mpdecimal aarch64 2.5.1-16.fc41 fedora 328.9 KiB mupdf aarch64 1.24.6-3.fc42 fedora 3.4 MiB mupdf-libs aarch64 1.24.6-3.fc42 fedora 46.8 MiB ncurses aarch64 6.5-2.20240629.fc41 fedora 1.7 MiB ncurses-c++-libs aarch64 6.5-2.20240629.fc41 fedora 393.7 KiB ncurses-devel aarch64 6.5-2.20240629.fc41 fedora 870.1 KiB netpbm aarch64 11.02.00-7.fc41 fedora 629.0 KiB nettle aarch64 3.10-3.fc41 fedora 956.7 KiB npth aarch64 1.7-2.fc41 fedora 221.6 KiB nspr aarch64 4.35.0-29.fc42 fedora 740.7 KiB nss aarch64 3.104.0-1.fc42 fedora 2.2 MiB nss-softokn aarch64 3.104.0-1.fc42 fedora 2.7 MiB nss-softokn-freebl aarch64 3.104.0-1.fc42 fedora 931.0 KiB nss-sysinit aarch64 3.104.0-1.fc42 fedora 198.2 KiB nss-util aarch64 3.104.0-1.fc42 fedora 345.1 KiB openjpeg aarch64 2.5.2-4.fc42 fedora 537.7 KiB pango aarch64 1.54.0-2.fc41 fedora 1.9 MiB perl-Authen-SASL noarch 2.1700-4.fc41 fedora 116.6 KiB perl-AutoLoader noarch 5.74-511.fc41 fedora 20.5 KiB perl-B aarch64 1.89-511.fc41 fedora 606.1 KiB perl-B-Hooks-EndOfScope noarch 0.28-2.fc41 fedora 69.3 KiB perl-Business-ISBN noarch 3.009-5.fc41 fedora 53.7 KiB perl-Business-ISBN-Data noarch 20240930.001-1.fc42 fedora 286.5 KiB perl-Business-ISMN noarch 1.204-2.fc41 fedora 35.9 KiB perl-Business-ISSN noarch 1.005-12.fc41 fedora 20.8 KiB perl-Carp noarch 1.54-511.fc41 fedora 46.6 KiB perl-Class-Accessor noarch 0.51-21.fc41 fedora 40.8 KiB perl-Class-Data-Inheritable noarch 0.09-9.fc41 fedora 6.3 KiB perl-Class-Inspector noarch 1.36-17.fc41 fedora 57.5 KiB perl-Class-Method-Modifiers noarch 2.15-5.fc41 fedora 102.5 KiB perl-Class-Singleton noarch 1.6-12.fc41 fedora 39.7 KiB perl-Class-Struct noarch 0.68-511.fc41 fedora 25.4 KiB perl-Clone aarch64 0.47-1.fc42 fedora 208.6 KiB perl-Compress-Raw-Bzip2 aarch64 2.213-1.fc42 fedora 227.5 KiB perl-Compress-Raw-Zlib aarch64 2.213-1.fc42 fedora 287.4 KiB perl-Convert-ASN1 noarch 0.34-4.fc41 fedora 170.0 KiB perl-Data-Compare noarch 1.29-5.fc41 fedora 55.5 KiB perl-Data-Dump noarch 1.25-11.fc41 fedora 50.2 KiB perl-Data-Dumper aarch64 2.189-512.fc41 fedora 263.8 KiB perl-Data-OptList noarch 0.114-5.fc41 fedora 50.1 KiB perl-Data-Uniqid noarch 0.12-34.fc41 fedora 4.2 KiB perl-Date-ISO8601 noarch 0.005-21.fc41 fedora 24.5 KiB perl-Date-Manip noarch 6.95-2.fc41 fedora 10.9 MiB perl-DateTime aarch64 2:1.65-6.fc41 fedora 555.1 KiB perl-DateTime-Calendar-Julian noarch 0.107-8.fc41 fedora 32.8 KiB perl-DateTime-Format-Builder noarch 0.8300-14.fc41 fedora 202.3 KiB perl-DateTime-Format-Strptime noarch 1:1.79-11.fc41 fedora 96.3 KiB perl-DateTime-Locale noarch 1.43-1.fc41 fedora 22.3 MiB perl-DateTime-TimeZone noarch 2.63-1.fc42 fedora 6.0 MiB perl-DateTime-TimeZone-SystemV noarch 0.010-22.fc41 fedora 28.4 KiB perl-DateTime-TimeZone-Tzfile noarch 0.011-22.fc41 fedora 24.6 KiB perl-Devel-CallChecker aarch64 0.009-7.fc41 fedora 217.3 KiB perl-Devel-Caller aarch64 2.07-7.fc41 fedora 207.4 KiB perl-Devel-GlobalDestruction noarch 0.14-25.fc41 fedora 16.6 KiB perl-Devel-LexAlias aarch64 0.05-38.fc41 fedora 199.3 KiB perl-Devel-StackTrace noarch 1:2.05-4.fc41 fedora 48.9 KiB perl-Digest noarch 1.20-511.fc41 fedora 35.3 KiB perl-Digest-HMAC noarch 1.04-11.fc41 fedora 28.1 KiB perl-Digest-MD5 aarch64 2.59-5.fc41 fedora 231.9 KiB perl-Digest-SHA aarch64 1:6.04-512.fc41 fedora 264.7 KiB perl-Dist-CheckConflicts noarch 0.11-31.fc41 fedora 31.7 KiB perl-DynaLoader aarch64 1.56-511.fc41 fedora 32.1 KiB perl-DynaLoader-Functions noarch 0.004-5.fc41 fedora 19.7 KiB perl-Email-Date-Format noarch 1.008-5.fc41 fedora 24.8 KiB perl-Encode aarch64 4:3.21-511.fc41 fedora 5.9 MiB perl-Encode-Locale noarch 1.05-30.fc41 fedora 19.0 KiB perl-English noarch 1.11-511.fc41 fedora 6.2 KiB perl-Errno aarch64 1.38-511.fc41 fedora 8.4 KiB perl-Eval-Closure noarch 0.14-24.fc41 fedora 33.3 KiB perl-Exception-Class noarch 1.45-11.fc41 fedora 92.4 KiB perl-Exporter noarch 5.78-511.fc41 fedora 54.3 KiB perl-ExtUtils-MM-Utils noarch 2:7.70-512.fc41 fedora 2.9 KiB perl-Fcntl aarch64 1.18-511.fc41 fedora 221.1 KiB perl-File-Basename noarch 2.86-511.fc41 fedora 14.0 KiB perl-File-Compare noarch 1.100.800-511.fc41 fedora 5.6 KiB perl-File-Copy noarch 2.41-511.fc41 fedora 19.6 KiB perl-File-Find noarch 1.44-511.fc41 fedora 41.9 KiB perl-File-Find-Rule noarch 0.34-29.fc41 fedora 42.3 KiB perl-File-Listing noarch 6.16-4.fc41 fedora 41.2 KiB perl-File-Path noarch 2.18-511.fc41 fedora 63.5 KiB perl-File-ShareDir noarch 1.118-12.fc41 fedora 56.2 KiB perl-File-Slurper noarch 0.014-6.fc41 fedora 28.7 KiB perl-File-Temp noarch 1:0.231.100-511.fc41 fedora 162.3 KiB perl-File-stat noarch 1.14-511.fc41 fedora 12.5 KiB perl-FileHandle noarch 2.05-511.fc41 fedora 9.3 KiB perl-Filter aarch64 2:1.64-512.fc41 fedora 877.4 KiB perl-GSSAPI aarch64 0.28-47.fc41 fedora 241.0 KiB perl-Getopt-Long noarch 1:2.58-2.fc41 fedora 144.5 KiB perl-Getopt-Std noarch 1.14-511.fc41 fedora 11.2 KiB perl-HTML-Parser aarch64 3.83-1.fc41 fedora 421.9 KiB perl-HTML-Tagset noarch 3.24-2.fc41 fedora 18.7 KiB perl-HTTP-Cookies noarch 6.11-4.fc41 fedora 73.4 KiB perl-HTTP-Date noarch 6.06-5.fc41 fedora 41.2 KiB perl-HTTP-Message noarch 7.00-1.fc42 fedora 215.3 KiB perl-HTTP-Negotiate noarch 6.01-39.fc41 fedora 27.6 KiB perl-HTTP-Tiny noarch 0.088-512.fc41 fedora 152.2 KiB perl-Hash-Util-FieldHash aarch64 1.27-511.fc41 fedora 234.7 KiB perl-I18N-LangTags noarch 0.45-511.fc41 fedora 82.3 KiB perl-I18N-Langinfo aarch64 0.24-511.fc41 fedora 214.8 KiB perl-IO aarch64 1.55-511.fc41 fedora 319.2 KiB perl-IO-Compress noarch 2.213-1.fc42 fedora 1.0 MiB perl-IO-HTML noarch 1.004-13.fc41 fedora 45.2 KiB perl-IO-Socket-IP noarch 0.42-512.fc41 fedora 98.7 KiB perl-IO-Socket-SSL noarch 2.089-1.fc42 fedora 703.3 KiB perl-IO-String noarch 1.08-51.fc41 fedora 16.8 KiB perl-IPC-Cmd noarch 2:1.04-512.fc41 fedora 84.9 KiB perl-IPC-Open3 noarch 1.22-511.fc41 fedora 22.5 KiB perl-IPC-Run3 noarch 0.049-2.fc41 fedora 60.3 KiB perl-IPC-SysV aarch64 2.09-512.fc41 fedora 241.9 KiB perl-IPC-System-Simple noarch 1.30-14.fc41 fedora 71.7 KiB perl-JSON noarch 4.10-6.fc41 fedora 270.5 KiB perl-LDAP noarch 1:0.68-14.fc41 fedora 938.1 KiB perl-LWP-MediaTypes noarch 6.04-19.fc41 fedora 79.0 KiB perl-LWP-Protocol-https noarch 6.14-2.fc41 fedora 29.7 KiB perl-Lingua-Translit noarch 0.29-7.fc41 fedora 177.5 KiB perl-List-AllUtils noarch 0.19-13.fc41 fedora 134.3 KiB perl-List-SomeUtils noarch 0.59-6.fc41 fedora 107.2 KiB perl-List-UtilsBy noarch 0.12-10.fc41 fedora 55.8 KiB perl-Locale-Maketext noarch 1.33-512.fc41 fedora 171.3 KiB perl-Locale-Maketext-Simple noarch 1:0.21-511.fc41 fedora 12.8 KiB perl-Log-Dispatch noarch 2.71-5.fc41 fedora 143.0 KiB perl-Log-Dispatch-FileRotate noarch 1.38-10.fc41 fedora 52.6 KiB perl-Log-Log4perl noarch 1.57-6.fc41 fedora 879.3 KiB perl-MIME-Base32 noarch 1.303-21.fc41 fedora 30.7 KiB perl-MIME-Base64 aarch64 3.16-511.fc41 fedora 222.2 KiB perl-MIME-Charset noarch 1.013.1-6.fc41 fedora 104.4 KiB perl-MIME-Lite noarch 3.033-11.fc41 fedora 245.9 KiB perl-MIME-Types noarch 2.26-2.fc41 fedora 182.4 KiB perl-MRO-Compat noarch 0.15-10.fc41 fedora 43.0 KiB perl-Mail-Sender noarch 1:0.903-25.fc41 fedora 160.6 KiB perl-Mail-Sendmail noarch 0.80-21.fc41 fedora 65.0 KiB perl-MailTools noarch 2.21-17.fc41 fedora 203.6 KiB perl-Math-BigInt noarch 1:2.0030.03-3.fc41 fedora 957.7 KiB perl-Math-Complex noarch 1.62-511.fc41 fedora 85.0 KiB perl-Module-CoreList noarch 1:5.20240920-1.fc42 fedora 1.2 MiB perl-Module-Implementation noarch 0.09-40.fc41 fedora 24.7 KiB perl-Module-Load noarch 1:0.36-511.fc41 fedora 14.9 KiB perl-Module-Load-Conditional noarch 0.74-511.fc41 fedora 28.7 KiB perl-Module-Metadata noarch 1.000038-511.fc41 fedora 67.5 KiB perl-Module-Runtime noarch 0.016-23.fc41 fedora 30.4 KiB perl-Mozilla-CA noarch 20240924-1.fc42 fedora 10.3 KiB perl-NTLM noarch 1.09-39.fc41 fedora 31.2 KiB perl-Net-HTTP noarch 6.23-5.fc41 fedora 74.7 KiB perl-Net-SMTP-SSL noarch 1.04-25.fc41 fedora 4.2 KiB perl-Net-SSLeay aarch64 1.94-7.fc41 fedora 1.4 MiB perl-Number-Compare noarch 0.03-39.fc41 fedora 4.5 KiB perl-Opcode aarch64 1.65-511.fc41 fedora 220.6 KiB perl-POSIX aarch64 2.20-511.fc41 fedora 327.3 KiB perl-Package-Generator noarch 1.106-32.fc41 fedora 29.9 KiB perl-Package-Stash noarch 0.40-8.fc41 fedora 55.9 KiB perl-Package-Stash-XS aarch64 0.30-9.fc41 fedora 224.4 KiB perl-PadWalker aarch64 2.5-15.fc41 fedora 213.2 KiB perl-Params-Check noarch 1:0.38-511.fc41 fedora 27.6 KiB perl-Params-Classify aarch64 0.015-24.fc41 fedora 223.7 KiB perl-Params-Util aarch64 1.102-16.fc41 fedora 230.7 KiB perl-Params-Validate aarch64 1.31-9.fc41 fedora 289.0 KiB perl-Params-ValidationCompiler noarch 0.31-6.fc41 fedora 91.1 KiB perl-Parse-RecDescent noarch 1.967015-23.fc41 fedora 522.0 KiB perl-PathTools aarch64 3.91-511.fc41 fedora 352.1 KiB perl-Pod-Escapes noarch 1:1.07-511.fc41 fedora 24.9 KiB perl-Pod-Html noarch 1.35-511.fc41 fedora 42.2 KiB perl-Pod-Perldoc noarch 3.28.01-512.fc41 fedora 163.7 KiB perl-Pod-Simple noarch 1:3.45-511.fc41 fedora 560.9 KiB perl-Pod-Usage noarch 4:2.03-511.fc41 fedora 84.8 KiB perl-Ref-Util noarch 0.204-21.fc41 fedora 31.5 KiB perl-Ref-Util-XS aarch64 0.117-24.fc41 fedora 206.3 KiB perl-Regexp-Common noarch 2024080801-1.fc42 fedora 462.1 KiB perl-Role-Tiny noarch 2.002004-12.fc41 fedora 61.7 KiB perl-Safe noarch 2.46-511.fc41 fedora 30.6 KiB perl-Scalar-List-Utils aarch64 5:1.66-1.fc42 fedora 278.7 KiB perl-SelectSaver noarch 1.02-511.fc41 fedora 2.2 KiB perl-Socket aarch64 4:2.038-511.fc41 fedora 272.1 KiB perl-Sort-Key aarch64 1.33-32.fc41 fedora 241.9 KiB perl-Specio noarch 0.48-7.fc41 fedora 329.7 KiB perl-Storable aarch64 1:3.32-511.fc41 fedora 372.5 KiB perl-Sub-Exporter noarch 0.991-4.fc41 fedora 194.9 KiB perl-Sub-Exporter-Progressive noarch 0.001013-25.fc41 fedora 27.3 KiB perl-Sub-Install noarch 0.929-6.fc41 fedora 35.9 KiB perl-Symbol noarch 1.09-511.fc41 fedora 6.8 KiB perl-Sys-Hostname aarch64 1.25-511.fc41 fedora 200.0 KiB perl-Sys-Syslog aarch64 0.36-512.fc41 fedora 270.9 KiB perl-Term-ANSIColor noarch 5.01-512.fc41 fedora 97.5 KiB perl-Term-Cap noarch 1.18-511.fc41 fedora 29.3 KiB perl-Text-Balanced noarch 2.06-511.fc41 fedora 111.4 KiB perl-Text-BibTeX aarch64 0.89-7.fc41 fedora 1.3 MiB perl-Text-CSV noarch 2.04-2.fc41 fedora 348.1 KiB perl-Text-Glob noarch 0.11-24.fc41 fedora 8.4 KiB perl-Text-ParseWords noarch 3.31-511.fc41 fedora 13.6 KiB perl-Text-Roman noarch 3.5-28.fc41 fedora 33.1 KiB perl-Text-Soundex aarch64 3.05-32.fc41 fedora 230.9 KiB perl-Text-Tabs+Wrap noarch 2024.001-511.fc41 fedora 22.6 KiB perl-Text-Unidecode noarch 1.30-25.fc41 fedora 448.7 KiB perl-Tie noarch 4.6-511.fc41 fedora 32.0 KiB perl-Tie-Cycle noarch 1.228-3.fc41 fedora 23.1 KiB perl-Tie-RefHash noarch 1.41-1.fc42 fedora 35.9 KiB perl-Time-HiRes aarch64 4:1.9777-511.fc41 fedora 279.9 KiB perl-Time-Local noarch 2:1.350-511.fc41 fedora 69.0 KiB perl-TimeDate noarch 1:2.33-15.fc41 fedora 95.2 KiB perl-Try-Tiny noarch 0.32-1.fc42 fedora 67.3 KiB perl-URI noarch 5.30-1.fc42 fedora 256.9 KiB perl-Unicode-Collate aarch64 1.31-511.fc41 fedora 4.4 MiB perl-Unicode-LineBreak aarch64 2019.001-22.fc41 fedora 380.7 KiB perl-Unicode-Normalize aarch64 1.32-511.fc41 fedora 567.7 KiB perl-Unicode-UCD noarch 0.78-511.fc41 fedora 204.4 KiB perl-Variable-Magic aarch64 0.64-3.fc41 fedora 282.6 KiB perl-WWW-RobotRules noarch 6.02-40.fc41 fedora 24.3 KiB perl-XML-LibXML aarch64 1:2.0210-3.fc41 fedora 988.8 KiB perl-XML-LibXML-Simple noarch 1.01-15.fc41 fedora 50.8 KiB perl-XML-LibXSLT aarch64 2.003.000-2.fc41 fedora 277.4 KiB perl-XML-NamespaceSupport noarch 1.12-24.fc41 fedora 44.7 KiB perl-XML-Parser aarch64 2.47-5.fc41 fedora 769.4 KiB perl-XML-SAX noarch 1.02-17.fc41 fedora 120.2 KiB perl-XML-SAX-Base noarch 1.09-24.fc41 fedora 172.7 KiB perl-XML-Writer noarch 0.900-15.fc41 fedora 65.8 KiB perl-XML-XPath noarch 1.48-7.fc41 fedora 202.3 KiB perl-XString aarch64 0.005-14.fc41 fedora 217.1 KiB perl-autodie noarch 2.37-512.fc41 fedora 214.9 KiB perl-autovivification aarch64 0.18-24.fc41 fedora 222.1 KiB perl-base noarch 2.27-511.fc41 fedora 12.5 KiB perl-constant noarch 1.33-512.fc41 fedora 26.2 KiB perl-deprecate noarch 0.04-511.fc41 fedora 6.5 KiB perl-encoding aarch64 4:3.00-511.fc41 fedora 149.5 KiB perl-if noarch 0.61.000-511.fc41 fedora 5.8 KiB perl-interpreter aarch64 4:5.40.0-511.fc41 fedora 302.3 KiB perl-libnet noarch 3.15-512.fc41 fedora 289.4 KiB perl-libs aarch64 4:5.40.0-511.fc41 fedora 10.9 MiB perl-libwww-perl noarch 6.77-2.fc41 fedora 521.0 KiB perl-locale noarch 1.12-511.fc41 fedora 6.5 KiB perl-meta-notation noarch 5.40.0-511.fc41 fedora 2.0 KiB perl-mro aarch64 1.29-511.fc41 fedora 209.7 KiB perl-namespace-autoclean noarch 0.31-1.fc42 fedora 43.5 KiB perl-namespace-clean noarch 0.27-27.fc41 fedora 38.7 KiB perl-open noarch 1.13-511.fc41 fedora 11.3 KiB perl-overload noarch 1.37-511.fc41 fedora 71.5 KiB perl-overloading noarch 0.02-511.fc41 fedora 4.8 KiB perl-parent noarch 1:0.242-1.fc42 fedora 10.0 KiB perl-podlators noarch 1:6.0.2-2.fc41 fedora 317.5 KiB perl-sigtrap noarch 1.10-511.fc41 fedora 11.0 KiB perl-subs noarch 1.04-511.fc41 fedora 2.1 KiB perl-vars noarch 1.05-511.fc41 fedora 3.9 KiB perl-version aarch64 9:0.99.33-1.fc42 fedora 288.9 KiB perltidy noarch 20240903-1.fc42 fedora 3.9 MiB pixman aarch64 0.43.4-2.fc41 fedora 718.4 KiB poppler aarch64 24.08.0-1.fc42 fedora 3.5 MiB poppler-data noarch 0.4.11-8.fc41 fedora 12.3 MiB poppler-glib aarch64 24.08.0-1.fc42 fedora 665.8 KiB potrace aarch64 1.16-13.fc41 fedora 666.9 KiB python-pip-wheel noarch 24.2-2.fc42 fedora 1.2 MiB python3-babel noarch 2.16.0-1.fc42 fedora 28.3 MiB python3-beautifulsoup4 noarch 4.12.3-7.fc42 fedora 1.4 MiB python3-charset-normalizer noarch 3.3.2-5.fc41 fedora 314.8 KiB python3-docutils noarch 0.21.2-1.fc42 fedora 4.9 MiB python3-idna noarch 3.9-1.fc42 fedora 639.8 KiB python3-imagesize noarch 1.4.1-9.fc41 fedora 35.3 KiB python3-jinja2 noarch 3.1.4-5.fc41 fedora 2.9 MiB python3-latexcodec noarch 3.0.0-6.fc41 fedora 129.1 KiB python3-libs aarch64 3.13.0-1.fc42 fedora 49.7 MiB python3-lxml aarch64 5.3.0-1.fc42 fedora 5.3 MiB python3-markupsafe aarch64 2.1.5-3.fc41 fedora 237.6 KiB python3-packaging noarch 24.1-2.fc41 fedora 422.3 KiB python3-pybtex noarch 0.24.0-17.fc41 fedora 893.0 KiB python3-pybtex-docutils noarch 1.0.3-5.fc41 fedora 215.6 KiB python3-pygments noarch 2.18.0-2.fc42 fedora 10.6 MiB python3-pyyaml aarch64 6.0.1-18.fc41 fedora 867.1 KiB python3-requests noarch 2.32.3-3.fc41 fedora 485.9 KiB python3-setuptools noarch 69.2.0-8.fc41 fedora 7.2 MiB python3-six noarch 1.16.0-23.fc41 fedora 118.3 KiB python3-snowballstemmer noarch 2.2.0-13.fc41 fedora 1.7 MiB python3-soupsieve noarch 2.6-2.fc42 fedora 294.5 KiB python3-sphinx noarch 1:7.3.7-2.fc41 fedora 10.8 MiB python3-sphinx-basic-ng noarch 1.0.0-0.11.beta2.fc41 fedora 33.1 KiB python3-sphinx-theme-alabaster noarch 0.7.16-6.fc41 fedora 41.9 KiB python3-urllib3 noarch 2.2.3-3.fc42 fedora 1.0 MiB rav1e-libs aarch64 0.7.1-4.fc42 fedora 2.1 MiB rsvg-pixbuf-loader aarch64 2.59.1-1.fc42 fedora 400.2 KiB shared-mime-info aarch64 2.3-6.fc41 fedora 5.3 MiB sombok aarch64 2.4.0-22.fc41 fedora 271.2 KiB svt-av1-libs aarch64 2.1.0-2.fc41 fedora 4.2 MiB tcl aarch64 1:9.0.0-1.fc42 copr_base 3.3 MiB teckit aarch64 2.5.12-3.fc41 fedora 2.7 MiB tesseract-libs aarch64 5.4.1-5.fc42 fedora 3.0 MiB texlive-adjustbox noarch 11:svn64967-74.fc42 fedora 104.1 KiB texlive-ae noarch 11:svn15878.1.4-74.fc42 fedora 451.9 KiB texlive-algorithms noarch 11:svn42428-74.fc42 fedora 38.2 KiB texlive-alphalph noarch 11:svn53087-74.fc42 fedora 375.9 KiB texlive-amscls noarch 11:svn55378-74.fc42 fedora 1.4 MiB texlive-amsfonts noarch 11:svn61937-74.fc42 fedora 5.9 MiB texlive-amsmath noarch 11:svn63514-74.fc42 fedora 266.6 KiB texlive-anyfontsize noarch 11:svn17050.0-74.fc42 fedora 12.7 KiB texlive-atbegshi noarch 11:svn53051-74.fc42 fedora 443.4 KiB texlive-attachfile noarch 11:svn42099-74.fc42 fedora 32.0 KiB texlive-atveryend noarch 11:svn53108-74.fc42 fedora 409.9 KiB texlive-auto-pst-pdf noarch 11:svn56596-74.fc42 fedora 14.8 KiB texlive-auxhook noarch 11:svn53173-74.fc42 fedora 301.9 KiB texlive-avantgar noarch 11:svn61983-74.fc42 fedora 1.2 MiB texlive-babel noarch 11:svn65823-74.fc42 fedora 2.1 MiB texlive-babel-english noarch 11:svn44495-74.fc42 fedora 44.4 KiB texlive-babelbib noarch 11:svn57349-74.fc42 fedora 779.1 KiB texlive-base aarch64 11:20230311-86.fc42 fedora 19.0 MiB texlive-bera noarch 11:svn20031.0-74.fc42 fedora 772.9 KiB texlive-beton noarch 11:svn15878.0-74.fc42 fedora 11.8 KiB texlive-biblatex noarch 11:svn66403-74.fc42 fedora 2.2 MiB texlive-bibtex aarch64 11:svn66186-86.fc42 fedora 930.8 KiB texlive-bidi noarch 11:svn65572-74.fc42 fedora 1.2 MiB texlive-bigintcalc noarch 11:svn53172-74.fc42 fedora 515.6 KiB texlive-bitset noarch 11:svn53837-74.fc42 fedora 662.3 KiB texlive-bookman noarch 11:svn61719-74.fc42 fedora 1.2 MiB texlive-bookmark noarch 11:svn56885-74.fc42 fedora 569.3 KiB texlive-booktabs noarch 11:svn53402-74.fc42 fedora 18.3 KiB texlive-breakurl noarch 11:svn29901.1.40-74.fc42 fedora 17.1 KiB texlive-capt-of noarch 11:svn29803.0-74.fc42 fedora 10.1 KiB texlive-caption noarch 11:svn66580-74.fc42 fedora 906.9 KiB texlive-carlisle noarch 11:svn59577-74.fc42 fedora 31.2 KiB texlive-catchfile noarch 11:svn53084-74.fc42 fedora 318.8 KiB texlive-changepage noarch 11:svn15878.1.0c-74.fc42 fedora 27.3 KiB texlive-charter noarch 11:svn15878.0-74.fc42 fedora 404.0 KiB texlive-cm noarch 11:svn57963-74.fc42 fedora 994.9 KiB texlive-cm-super noarch 11:svn15878.0-74.fc42 fedora 65.0 MiB texlive-cmap noarch 11:svn57640-74.fc42 fedora 36.2 KiB texlive-cmextra noarch 11:svn57866-74.fc42 fedora 132.7 KiB texlive-collectbox noarch 11:svn64967-74.fc42 fedora 27.6 KiB texlive-collection-basic noarch 11:svn59159-74.fc42 fedora 0.0 B texlive-collection-fontsrecommended noarch 11:svn54074-74.fc42 fedora 0.0 B texlive-collection-latex noarch 11:svn63515-74.fc42 fedora 0.0 B texlive-colorprofiles noarch 11:svn49086-74.fc42 fedora 272.2 KiB texlive-colortbl noarch 11:svn64015-74.fc42 fedora 21.0 KiB texlive-courier noarch 11:svn61719-74.fc42 fedora 915.1 KiB texlive-csquotes noarch 11:svn64389-74.fc42 fedora 112.0 KiB texlive-ctablestack noarch 11:svn38514-74.fc42 fedora 20.1 KiB texlive-currfile noarch 11:svn64673-74.fc42 fedora 34.0 KiB texlive-dehyph noarch 11:svn48599-74.fc42 fedora 239.9 KiB texlive-dvipdfmx aarch64 11:svn66203-86.fc42 fedora 3.8 MiB texlive-dvipng aarch64 11:svn66203-86.fc42 fedora 590.3 KiB texlive-dvips aarch64 11:svn66203-86.fc42 fedora 1.8 MiB texlive-dvisvgm aarch64 11:svn66532-86.fc42 fedora 2.7 MiB texlive-ec noarch 11:svn25033.1.0-74.fc42 fedora 2.5 MiB texlive-enctex noarch 11:svn34957.0-74.fc42 fedora 179.8 KiB texlive-enumitem noarch 11:svn51423-74.fc42 fedora 59.4 KiB texlive-epstopdf noarch 11:svn66461-86.fc42 fedora 39.3 KiB texlive-epstopdf-pkg noarch 11:svn53546-74.fc42 fedora 386.5 KiB texlive-eso-pic noarch 11:svn56658-74.fc42 fedora 27.7 KiB texlive-etex noarch 11:svn66203-74.fc42 fedora 41.0 KiB texlive-etex-pkg noarch 11:svn41784-74.fc42 fedora 18.6 KiB texlive-etexcmds noarch 11:svn53171-74.fc42 fedora 321.0 KiB texlive-etoolbox noarch 11:svn56554-74.fc42 fedora 66.7 KiB texlive-euenc noarch 11:svn19795.0.1h-74.fc42 fedora 39.3 KiB texlive-euler noarch 11:svn42428-74.fc42 fedora 20.7 KiB texlive-euro noarch 11:svn22191.1.1-74.fc42 fedora 15.2 KiB texlive-euro-ce noarch 11:svn25714-74.fc42 fedora 41.5 KiB texlive-eurosym noarch 11:svn17265.1.4_subrfix-74.fc42 fedora 219.0 KiB texlive-everyshi noarch 11:svn57001-74.fc42 fedora 157.4 KiB texlive-fancyhdr noarch 11:svn64977-74.fc42 fedora 31.6 KiB texlive-fancyvrb noarch 11:svn65585-74.fc42 fedora 66.2 KiB texlive-filecontents noarch 11:svn52142-74.fc42 fedora 12.2 KiB texlive-filehook noarch 11:svn64822-74.fc42 fedora 55.2 KiB texlive-filemod noarch 11:svn64967-74.fc42 fedora 42.7 KiB texlive-firstaid noarch 11:svn64892-74.fc42 fedora 296.9 KiB texlive-fix2col noarch 11:svn38770-74.fc42 fedora 16.7 KiB texlive-float noarch 11:svn15878.1.3d-74.fc42 fedora 15.5 KiB texlive-fncychap noarch 11:svn20710.v1.34-74.fc42 fedora 37.7 KiB texlive-fontspec noarch 11:svn63386-74.fc42 fedora 329.1 KiB texlive-footmisc noarch 11:svn62524-74.fc42 fedora 64.7 KiB texlive-fp noarch 11:svn49719-74.fc42 fedora 124.9 KiB texlive-fpl noarch 11:svn54512-74.fc42 fedora 647.6 KiB texlive-framed noarch 11:svn26789.0.96-74.fc42 fedora 21.9 KiB texlive-geometry noarch 11:svn61719-74.fc42 fedora 49.5 KiB texlive-gettitlestring noarch 11:svn53170-74.fc42 fedora 338.8 KiB texlive-gincltex noarch 11:svn64967-74.fc42 fedora 22.2 KiB texlive-glyphlist noarch 11:svn54074-86.fc42 fedora 90.3 KiB texlive-gnu-freefont noarch 11:svn29349.0-74.fc42 fedora 17.0 MiB texlive-graphics noarch 11:svn66204-74.fc42 fedora 124.5 KiB texlive-graphics-cfg noarch 11:svn41448-74.fc42 fedora 3.0 KiB texlive-graphics-def noarch 11:svn64487-74.fc42 fedora 98.2 KiB texlive-grfext noarch 11:svn53024-74.fc42 fedora 327.8 KiB texlive-grffile noarch 11:svn52756-74.fc42 fedora 379.3 KiB texlive-gsftopk aarch64 11:svn52851-86.fc42 fedora 207.1 KiB texlive-helvetic noarch 11:svn61719-74.fc42 fedora 1.8 MiB texlive-hobsub noarch 11:svn52810-74.fc42 fedora 80.2 KiB texlive-hologo noarch 11:svn61719-74.fc42 fedora 664.7 KiB texlive-hopatch noarch 11:svn65491-74.fc42 fedora 345.8 KiB texlive-hycolor noarch 11:svn53584-74.fc42 fedora 411.7 KiB texlive-hypdoc noarch 11:svn65678-74.fc42 fedora 358.3 KiB texlive-hyperref noarch 11:svn65758-74.fc42 fedora 763.7 KiB texlive-hyph-utf8 noarch 11:svn61719-74.fc42 fedora 73.9 KiB texlive-hyphen-base noarch 11:svn66413-74.fc42 fedora 63.7 KiB texlive-hyphenex noarch 11:svn57387-74.fc42 fedora 25.7 KiB texlive-ifmtarg noarch 11:svn47544-74.fc42 fedora 9.2 KiB texlive-ifoddpage noarch 11:svn64967-74.fc42 fedora 20.8 KiB texlive-ifplatform noarch 11:svn45533-74.fc42 fedora 12.7 KiB texlive-iftex noarch 11:svn61910-74.fc42 fedora 28.7 KiB texlive-index noarch 11:svn24099.4.1beta-74.fc42 fedora 60.6 KiB texlive-infwarerr noarch 11:svn53023-74.fc42 fedora 306.3 KiB texlive-intcalc noarch 11:svn53168-74.fc42 fedora 471.6 KiB texlive-kastrup noarch 11:svn15878.0-74.fc42 fedora 3.4 KiB texlive-knuth-lib noarch 11:svn57963-74.fc42 fedora 99.9 KiB texlive-knuth-local noarch 11:svn57963-74.fc42 fedora 106.3 KiB texlive-koma-script noarch 11:svn64685-74.fc42 fedora 9.9 MiB texlive-kpathsea aarch64 11:svn66209-86.fc42 fedora 2.8 MiB texlive-kvdefinekeys noarch 11:svn53193-74.fc42 fedora 314.2 KiB texlive-kvoptions noarch 11:svn63622-74.fc42 fedora 550.0 KiB texlive-kvsetkeys noarch 11:svn64632-74.fc42 fedora 427.4 KiB texlive-l3backend noarch 11:svn65573-74.fc42 fedora 1.1 MiB texlive-l3kernel noarch 11:svn66094-74.fc42 fedora 1.4 MiB texlive-l3packages noarch 11:svn65722-74.fc42 fedora 262.4 KiB texlive-latex noarch 11:svn65161-86.fc42 fedora 40.2 MiB texlive-latex-fonts noarch 11:svn28888.0-74.fc42 fedora 114.2 KiB texlive-latex-lab noarch 11:svn64892-74.fc42 fedora 2.3 MiB texlive-latexconfig noarch 11:svn53525-74.fc42 fedora 8.3 KiB texlive-letltxmacro noarch 11:svn53022-74.fc42 fedora 311.2 KiB texlive-lib aarch64 11:20230311-86.fc42 fedora 1.6 MiB texlive-listings noarch 11:svn66222-74.fc42 fedora 580.0 KiB texlive-lm noarch 11:svn65956-74.fc42 fedora 39.4 MiB texlive-lm-math noarch 11:svn36915.1.959-74.fc42 fedora 735.8 KiB texlive-logreq noarch 11:svn53003-74.fc42 fedora 26.3 KiB texlive-ltxcmds noarch 11:svn56421-74.fc42 fedora 424.3 KiB texlive-ltxmisc noarch 11:svn21927.0-74.fc42 fedora 44.0 KiB texlive-lua-alt-getopt noarch 11:svn56414-74.fc42 fedora 3.9 KiB texlive-lua-uni-algos noarch 11:svn62204-74.fc42 fedora 129.1 KiB texlive-luabidi noarch 11:svn54512-74.fc42 fedora 25.3 KiB texlive-luahbtex aarch64 11:svn66186-86.fc42 fedora 6.4 MiB texlive-lualatex-math noarch 11:svn61464-74.fc42 fedora 28.4 KiB texlive-lualibs noarch 11:svn64615-74.fc42 fedora 764.4 KiB texlive-luaotfload noarch 11:svn64616-86.fc42 fedora 8.2 MiB texlive-luatex aarch64 11:svn66967-86.fc42 fedora 9.1 MiB texlive-luatex85 noarch 11:svn41456-74.fc42 fedora 24.4 KiB texlive-luatexbase noarch 11:svn52663-74.fc42 fedora 12.8 KiB texlive-makecmds noarch 11:svn15878.0-74.fc42 fedora 11.1 KiB texlive-makeindex aarch64 11:svn62517-86.fc42 fedora 647.0 KiB texlive-manfnt-font noarch 11:svn45777-74.fc42 fedora 41.7 KiB texlive-marginnote noarch 11:svn48383-74.fc42 fedora 22.5 KiB texlive-marvosym noarch 11:svn29349.2.2a-74.fc42 fedora 185.6 KiB texlive-mathpazo noarch 11:svn52663-74.fc42 fedora 110.5 KiB texlive-memoir noarch 11:svn65040-74.fc42 fedora 480.4 KiB texlive-metafont aarch64 11:svn66186-86.fc42 fedora 941.8 KiB texlive-mflogo noarch 11:svn42428-74.fc42 fedora 12.0 KiB texlive-mflogo-font noarch 11:svn54512-74.fc42 fedora 37.9 KiB texlive-mfnfss noarch 11:svn46036-74.fc42 fedora 22.8 KiB texlive-mfware aarch64 11:svn66186-86.fc42 fedora 1.2 MiB texlive-minitoc noarch 11:svn61719-74.fc42 fedora 534.1 KiB texlive-modes noarch 11:svn61719-74.fc42 fedora 389.9 KiB texlive-mparhack noarch 11:svn59066-74.fc42 fedora 20.3 KiB texlive-mptopdf noarch 11:svn65952-86.fc42 fedora 151.3 KiB texlive-ms noarch 11:svn57473-74.fc42 fedora 18.4 KiB texlive-multido noarch 11:svn18302.1.42-74.fc42 fedora 18.5 KiB texlive-natbib noarch 11:svn20668.8.31b-74.fc42 fedora 132.7 KiB texlive-ncntrsbk noarch 11:svn61719-74.fc42 fedora 931.8 KiB texlive-needspace noarch 11:svn29601.1.3d-74.fc42 fedora 9.7 KiB texlive-notoccite noarch 11:svn18129.0-74.fc42 fedora 2.3 KiB texlive-oberdiek noarch 11:svn65521-86.fc42 fedora 12.3 MiB texlive-pagesel noarch 11:svn56105-74.fc42 fedora 354.7 KiB texlive-palatino noarch 11:svn61719-74.fc42 fedora 1.1 MiB texlive-paralist noarch 11:svn43021-74.fc42 fedora 23.4 KiB texlive-parallel noarch 11:svn15878.0-74.fc42 fedora 22.5 KiB texlive-parskip noarch 11:svn58358-74.fc42 fedora 15.7 KiB texlive-pdfcol noarch 11:svn64469-74.fc42 fedora 345.6 KiB texlive-pdfcolmk noarch 11:svn52912-74.fc42 fedora 19.6 KiB texlive-pdfescape noarch 11:svn53082-74.fc42 fedora 375.9 KiB texlive-pdftex aarch64 11:svn66243-86.fc42 fedora 4.7 MiB texlive-pdftexcmds noarch 11:svn55777-74.fc42 fedora 423.9 KiB texlive-pgf noarch 11:svn65553-74.fc42 fedora 4.8 MiB texlive-picture noarch 11:svn54867-74.fc42 fedora 323.3 KiB texlive-placeins noarch 11:svn19848.2.2-74.fc42 fedora 4.6 KiB texlive-plain noarch 11:svn57963-74.fc42 fedora 109.4 KiB texlive-polyglossia noarch 11:svn65792-74.fc42 fedora 715.0 KiB texlive-pslatex noarch 11:svn57434-74.fc42 fedora 26.9 KiB texlive-psnfss noarch 11:svn54694-74.fc42 fedora 101.0 KiB texlive-pspicture noarch 11:svn15878.0-74.fc42 fedora 13.6 KiB texlive-pst-3d noarch 11:svn17257.1.10-74.fc42 fedora 19.5 KiB texlive-pst-coil noarch 11:svn62977-74.fc42 fedora 20.4 KiB texlive-pst-eps noarch 11:svn15878.1.0-74.fc42 fedora 15.5 KiB texlive-pst-fill noarch 11:svn60671-74.fc42 fedora 22.8 KiB texlive-pst-grad noarch 11:svn15878.1.06-74.fc42 fedora 21.1 KiB texlive-pst-math noarch 11:svn64732-74.fc42 fedora 22.3 KiB texlive-pst-node noarch 11:svn61838-74.fc42 fedora 114.0 KiB texlive-pst-ovl noarch 11:svn54963-74.fc42 fedora 12.4 KiB texlive-pst-plot noarch 11:svn65346-74.fc42 fedora 129.7 KiB texlive-pst-text noarch 11:svn49542-74.fc42 fedora 19.7 KiB texlive-pst-tools noarch 11:svn60621-74.fc42 fedora 26.8 KiB texlive-pst-tree noarch 11:svn60421-74.fc42 fedora 42.6 KiB texlive-pstricks noarch 11:svn65346-74.fc42 fedora 468.9 KiB texlive-pstricks-add noarch 11:svn65067-74.fc42 fedora 103.4 KiB texlive-pxfonts noarch 11:svn15878.0-74.fc42 fedora 823.0 KiB texlive-ragged2e noarch 11:svn66152-74.fc42 fedora 731.9 KiB texlive-refcount noarch 11:svn53164-74.fc42 fedora 348.0 KiB texlive-rerunfilecheck noarch 11:svn63869-74.fc42 fedora 361.8 KiB texlive-rsfs noarch 11:svn15878.0-74.fc42 fedora 97.8 KiB texlive-sauerj noarch 11:svn15878.0-74.fc42 fedora 27.0 KiB texlive-setspace noarch 11:svn65206-74.fc42 fedora 22.0 KiB texlive-showexpl noarch 11:svn57414-74.fc42 fedora 21.8 KiB texlive-stringenc noarch 11:svn52982-74.fc42 fedora 1.0 MiB texlive-subfig noarch 11:svn15878.1.3-74.fc42 fedora 36.6 KiB texlive-svn-prov noarch 11:svn64967-74.fc42 fedora 15.6 KiB texlive-symbol noarch 11:svn61719-74.fc42 fedora 78.3 KiB texlive-tabulary noarch 11:svn34368.0.10-74.fc42 fedora 22.3 KiB texlive-tex aarch64 11:svn66186-86.fc42 fedora 525.4 KiB texlive-tex-gyre noarch 11:svn65956-74.fc42 fedora 24.2 MiB texlive-tex-gyre-math noarch 11:svn41264-74.fc42 fedora 2.7 MiB texlive-tex-ini-files noarch 11:svn40533-74.fc42 fedora 8.1 KiB texlive-texlive-common-doc noarch 11:svn54176-74.fc42 fedora 265.5 KiB texlive-texlive-en noarch 11:svn66572-86.fc42 fedora 5.9 MiB texlive-texlive-msg-translations noarch 11:svn66593-74.fc42 fedora 1.4 MiB texlive-texlive-scripts noarch 11:svn66584-86.fc42 fedora 337.2 KiB texlive-texlive.infra noarch 11:svn66512-86.fc42 fedora 1.0 MiB texlive-times noarch 11:svn61719-74.fc42 fedora 992.2 KiB texlive-tipa noarch 11:svn29349.1.3-74.fc42 fedora 3.7 MiB texlive-titlesec noarch 11:svn59845-74.fc42 fedora 97.8 KiB texlive-tools noarch 11:svn64892-74.fc42 fedora 403.2 KiB texlive-txfonts noarch 11:svn15878.0-74.fc42 fedora 1.5 MiB texlive-ucharcat noarch 11:svn38907-74.fc42 fedora 10.2 KiB texlive-ucs noarch 11:svn64114-74.fc42 fedora 2.4 MiB texlive-underscore noarch 11:svn18261.0-74.fc42 fedora 19.3 KiB texlive-unicode-data noarch 11:svn64423-74.fc42 fedora 3.1 MiB texlive-unicode-math noarch 11:svn61719-74.fc42 fedora 517.2 KiB texlive-uniquecounter noarch 11:svn53162-74.fc42 fedora 312.0 KiB texlive-upquote noarch 11:svn26059.v1.3-74.fc42 fedora 15.1 KiB texlive-url noarch 11:svn32528.3.4-74.fc42 fedora 21.4 KiB texlive-utopia noarch 11:svn15878.0-74.fc42 fedora 540.6 KiB texlive-varwidth noarch 11:svn24104.0.92-74.fc42 fedora 19.5 KiB texlive-wasy noarch 11:svn53533-74.fc42 fedora 137.7 KiB texlive-wasy-type1 noarch 11:svn53534-74.fc42 fedora 332.4 KiB texlive-wasysym noarch 11:svn54080-74.fc42 fedora 23.9 KiB texlive-wrapfig noarch 11:svn61719-74.fc42 fedora 34.5 KiB texlive-xcolor noarch 11:svn63563-74.fc42 fedora 79.3 KiB texlive-xdvi aarch64 11:svn62387-86.fc42 fedora 826.6 KiB texlive-xetex aarch64 11:svn66203-86.fc42 fedora 2.3 MiB texlive-xetexconfig noarch 11:svn45845-74.fc42 fedora 305.0 B texlive-xifthen noarch 11:svn38929-74.fc42 fedora 14.4 KiB texlive-xkeyval noarch 11:svn63616-74.fc42 fedora 69.3 KiB texlive-xpatch noarch 11:svn54563-74.fc42 fedora 26.8 KiB texlive-xstring noarch 11:svn65551-74.fc42 fedora 56.1 KiB texlive-xunicode noarch 11:svn30466.0.981-74.fc42 fedora 219.6 KiB texlive-zapfchan noarch 11:svn61719-74.fc42 fedora 178.1 KiB texlive-zapfding noarch 11:svn61719-74.fc42 fedora 79.5 KiB texlive-zref noarch 11:svn62977-74.fc42 fedora 816.8 KiB tpm2-tss aarch64 4.1.3-3.fc41 fedora 3.6 MiB tzdata noarch 2024a-9.fc41 fedora 1.7 MiB urw-base35-bookman-fonts noarch 20200910-23.fc41 fedora 1.4 MiB urw-base35-c059-fonts noarch 20200910-23.fc41 fedora 1.4 MiB urw-base35-d050000l-fonts noarch 20200910-23.fc41 fedora 84.3 KiB urw-base35-fonts noarch 20200910-23.fc41 fedora 5.3 KiB urw-base35-fonts-common noarch 20200910-23.fc41 fedora 37.4 KiB urw-base35-gothic-fonts noarch 20200910-23.fc41 fedora 1.2 MiB urw-base35-nimbus-mono-ps-fonts noarch 20200910-23.fc41 fedora 1.0 MiB urw-base35-nimbus-roman-fonts noarch 20200910-23.fc41 fedora 1.4 MiB urw-base35-nimbus-sans-fonts noarch 20200910-23.fc41 fedora 2.4 MiB urw-base35-p052-fonts noarch 20200910-23.fc41 fedora 1.5 MiB urw-base35-standard-symbols-ps-fonts noarch 20200910-23.fc41 fedora 64.9 KiB urw-base35-z003-fonts noarch 20200910-23.fc41 fedora 390.8 KiB xdg-utils noarch 1.2.1-2.fc41 fedora 346.3 KiB xml-common noarch 0.6.3-65.fc41 fedora 78.4 KiB xpdf-libs aarch64 1:4.05-5.fc41 fedora 2.2 MiB yosyshq-abc-libs aarch64 0.46-1.20241011gitcac8f99.fc42 fedora 13.7 MiB zlib-ng-compat-devel aarch64 2.1.7-3.fc42 fedora 106.8 KiB zziplib aarch64 0.13.74-2.fc41 fedora 922.0 KiB Transaction Summary: Installing: 694 packages Total size of inbound packages is 473 MiB. Need to download 365 MiB. After this operation, 1 GiB extra will be used (install 1 GiB, remove 0 B). [1/8] libffi-devel-0:3.4.6-3.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [2/9] make-1:4.4.1-9.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 3/11] python3-0:3.13.0-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 4/23] tcl-devel-1:9.0.0-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 5/24] m4-0:1.4.19-10.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 6/25] gcc-0:14.2.1-4.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 7/26] libmpc-0:1.3.1-6.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 8/28] cairo-0:1.18.0-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 9/29] expat-0:2.6.3-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [10/30] fontconfig-0:2.15.0-8.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [11/31] freetype-0:2.13.3-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [12/34] glib2-0:2.82.1-2.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [13/37] harfbuzz-0:10.0.1-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [14/39] libX11-0:1.8.10-2.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [15/40] libXrender-0:0.9.11-7.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [16/41] libgs-0:10.04.0-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [17/43] libwebp-0:1.4.0-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [18/46] urw-base35-fonts-0:20200910-23. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [19/48] perl-Digest-MD5-0:2.59-5.fc41.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [20/49] perl-Encode-4:3.21-511.fc41.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [21/50] perl-File-Basename-0:2.86-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [22/51] perl-File-Copy-0:2.41-511.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [23/52] perl-File-Find-0:1.44-511.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [24/53] perl-File-Path-0:2.18-511.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [25/54] perl-FileHandle-0:2.05-511.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [26/55] perl-PathTools-0:3.91-511.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [27/56] perl-Scalar-List-Utils-5:1.66-1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [28/59] perl-interpreter-4:5.40.0-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [29/60] perl-libs-4:5.40.0-511.fc41.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [30/64] python3-libs-0:3.13.0-1.fc42.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 31/118] tcl-1:9.0.0-1.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 32/119] zlib-ng-compat-devel-0:2.1.7- 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 33/120] cpp-0:14.2.1-4.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 34/121] glibc-devel-0:2.40.9000-6.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 35/122] libasan-0:14.2.1-4.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 36/123] libatomic-0:14.2.1-4.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 37/124] libubsan-0:14.2.1-4.fc42.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 38/125] libXext-0:1.3.6-2.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 39/126] libpng-2:1.6.44-1.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 40/127] libxcb-0:1.17.0-3.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 41/128] pixman-0:0.43.4-2.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 42/129] default-fonts-core-sans-0:4.1 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 43/130] fonts-filesystem-1:2.0.5-17.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 44/131] xml-common-0:0.6.3-65.fc41.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 45/132] libXpm-0:3.5.17-4.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 46/135] libjpeg-turbo-0:3.0.4-1.fc42. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 47/136] libtiff-0:4.6.0-6.fc42.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 48/138] gnutls-0:3.8.7-4.fc42.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 49/140] graphite2-0:1.3.14-16.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 50/141] libX11-common-0:1.8.10-2.fc42 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 51/142] adobe-mappings-cmap-0:2023062 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 52/143] adobe-mappings-cmap-deprecate 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 53/144] adobe-mappings-pdf-0:20190401 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 54/145] cups-libs-1:2.4.11-1.fc42.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 55/146] google-droid-sans-fonts-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 56/147] jbig2dec-libs-0:0.20-5.fc41.a 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 57/148] lcms2-0:2.16-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 58/149] libXt-0:1.3.0-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 59/150] libijs-0:0.35-23.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 60/151] libpaper-1:2.1.1-7.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 61/152] openjpeg-0:2.5.2-4.fc42.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 62/156] libXft-0:2.3.8-7.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 63/159] urw-base35-bookman-fonts-0:20 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 64/160] urw-base35-c059-fonts-0:20200 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 65/161] urw-base35-d050000l-fonts-0:2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 66/162] urw-base35-fonts-common-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 67/163] urw-base35-gothic-fonts-0:202 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 68/164] urw-base35-nimbus-mono-ps-fon 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 69/165] urw-base35-nimbus-roman-fonts 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 70/166] urw-base35-nimbus-sans-fonts- 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 71/167] urw-base35-p052-fonts-0:20200 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 72/168] urw-base35-standard-symbols-p 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 73/169] urw-base35-z003-fonts-0:20200 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 74/172] perl-Digest-0:1.20-511.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 75/173] perl-Exporter-0:5.78-511.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 76/174] perl-Carp-0:1.54-511.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 77/175] perl-Getopt-Long-1:2.58-2.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 78/176] perl-Getopt-Std-0:1.14-511.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 79/177] perl-MIME-Base64-0:3.16-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 80/178] perl-Storable-1:3.32-511.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 81/179] perl-constant-0:1.33-512.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 82/180] perl-overload-0:1.37-511.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 83/181] perl-parent-1:0.242-1.fc42.no 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 84/182] perl-vars-0:1.05-511.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 85/183] perl-IO-0:1.55-511.fc41.aarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 86/184] perl-Errno-0:1.38-511.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 87/185] perl-DynaLoader-0:1.56-511.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 88/186] perl-Symbol-0:1.09-511.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 89/196] desktop-file-utils-0:0.27-2.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 90/197] libb2-0:0.98.1-12.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 91/198] mpdecimal-0:2.5.1-16.fc41.aar 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 92/199] python-pip-wheel-0:24.2-2.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 93/200] tzdata-0:2024a-9.fc41.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 94/206] python3-packaging-0:24.1-2.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 95/350] cmake-filesystem-0:3.28.3-7.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 96/351] kernel-headers-0:6.11.0-63.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 97/352] libxcrypt-devel-0:4.4.36-7.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 98/353] libXau-0:1.0.11-7.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [ 99/354] abattis-cantarell-vf-fonts-0: 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [100/355] google-noto-sans-vf-fonts-0:2 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [101/360] jbigkit-libs-0:2.1-30.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [102/361] liblerc-0:4.0.0-7.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [103/362] nettle-0:3.10-3.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [104/363] avahi-libs-0:0.8-30.fc42.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [105/364] libICE-0:1.1.1-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [106/365] libSM-0:1.2.4-4.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [107/371] perl-Pod-Usage-4:2.03-511.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [108/372] perl-Text-ParseWords-0:3.31-5 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [109/373] perl-base-0:2.27-511.fc41.noa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [110/374] perl-Fcntl-0:1.18-511.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [111/375] perl-mro-0:1.29-511.fc41.aarc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [112/376] perl-overloading-0:0.02-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [113/377] perl-File-stat-0:1.14-511.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [114/378] perl-SelectSaver-0:1.02-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [115/379] perl-Socket-4:2.038-511.fc41. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [116/386] emacs-filesystem-1:30.0-3.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [117/449] google-noto-fonts-common-0:20 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [118/450] dbus-libs-1:1.14.10-4.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [119/456] perl-Pod-Perldoc-0:3.28.01-51 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [120/457] perl-podlators-1:6.0.2-2.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [121/458] perl-Class-Struct-0:0.68-511. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [122/461] perl-File-Temp-1:0.231.100-51 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [123/465] libXaw-0:1.0.16-2.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [124/467] libXmu-0:1.2.1-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [125/499] perl-POSIX-0:2.20-511.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [126/507] perl-Text-Tabs+Wrap-0:2024.00 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [127/508] perl-URI-0:5.30-1.fc42.noarch 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [128/518] perl-locale-0:1.12-511.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [129/522] groff-base-0:1.23.0-7.fc41.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [130/523] perl-HTTP-Tiny-0:0.088-512.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [131/524] perl-IPC-Open3-0:1.22-511.fc4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [132/525] perl-Pod-Simple-1:3.45-511.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [133/526] perl-Term-ANSIColor-0:5.01-51 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [134/527] perl-Term-Cap-0:1.18-511.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [135/528] libicu-0:74.2-2.fc41.aarch64 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [136/532] perl-Data-Dumper-0:2.189-512. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [137/535] libX11-xcb-0:1.8.10-2.fc42.aa 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [138/546] perl-B-0:1.89-511.fc41.aarch6 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [139/549] perl-AutoLoader-0:5.74-511.fc 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [140/562] perl-File-Compare-0:1.100.800 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [141/568] perl-IO-Socket-SSL-0:2.089-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [142/569] perl-Mozilla-CA-0:20240924-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [143/578] perl-if-0:0.61.000-511.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [144/579] perl-MIME-Base32-0:1.303-21.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [145/580] perl-libnet-0:3.15-512.fc41.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [146/602] perl-Net-SSLeay-0:1.94-7.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [147/603] perl-Time-Local-2:1.350-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [148/604] perl-Pod-Escapes-1:1.07-511.f 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [149/605] ncurses-0:6.5-2.20240629.fc41 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [150/633] perl-IO-Socket-IP-0:0.42-512. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [151/692] annobin-plugin-gcc-0:12.70-1. 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [152/693] gcc-plugin-annobin-0:14.2.1-4 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [153/694] annobin-docs-0:12.70-1.fc42.n 100% | 0.0 B/s | 0.0 B | 00m00s >>> Already downloaded [154/694] flex-0:2.6.4-18.fc41.aarch64 100% | 16.8 MiB/s | 292.1 KiB | 00m00s [155/694] bison-0:3.8.2-9.fc41.aarch64 100% | 46.2 MiB/s | 994.5 KiB | 00m00s [156/694] graphviz-0:12.1.2-1.fc42.aarc 100% | 234.8 MiB/s | 4.7 MiB | 00m00s [157/694] gcc-c++-0:14.2.1-4.fc42.aarch 100% | 178.8 MiB/s | 12.9 MiB | 00m00s [158/694] latexmk-0:4.85-2.fc41.noarch 100% | 12.7 MiB/s | 453.4 KiB | 00m00s [159/694] iverilog-0:12.0-8.fc41.aarch6 100% | 33.1 MiB/s | 2.1 MiB | 00m00s [160/694] pdf2svg-0:0.2.3-21.fc41.aarch 100% | 1.4 MiB/s | 20.6 KiB | 00m00s [161/694] libfaketime-0:0.9.10-10.fc41. 100% | 6.5 MiB/s | 106.8 KiB | 00m00s [162/694] python3-click-0:8.1.7-6.fc41. 100% | 47.2 MiB/s | 241.8 KiB | 00m00s [163/694] python3-furo-0:2024.08.06-1.f 100% | 9.5 MiB/s | 116.2 KiB | 00m00s [164/694] python3-sphinx-latex-1:7.3.7- 100% | 759.0 KiB/s | 10.6 KiB | 00m00s [165/694] readline-devel-0:8.2-11.fc42. 100% | 67.3 MiB/s | 206.8 KiB | 00m00s [166/694] python3-sphinxcontrib-bibtex- 100% | 6.2 MiB/s | 108.3 KiB | 00m00s [167/694] rsync-0:3.3.0-4.fc42.aarch64 100% | 101.1 MiB/s | 414.2 KiB | 00m00s [168/694] texlive-comment-11:svn41927-7 100% | 4.3 MiB/s | 17.5 KiB | 00m00s [169/694] texlive-standalone-11:svn6467 100% | 8.8 MiB/s | 27.2 KiB | 00m00s [170/694] texlive-pgfplots-11:svn61719- 100% | 58.3 MiB/s | 596.8 KiB | 00m00s [171/694] libstdc++-devel-0:14.2.1-4.fc 100% | 274.2 MiB/s | 2.7 MiB | 00m00s [172/694] yosyshq-abc-0:0.46-1.20241011 100% | 1.1 MiB/s | 19.6 KiB | 00m00s [173/694] txt2man-0:1.7.1-7.fc41.noarch 100% | 1.4 MiB/s | 28.1 KiB | 00m00s [174/694] gd-0:2.3.3-17.fc41.aarch64 100% | 32.0 MiB/s | 131.0 KiB | 00m00s [175/694] gdk-pixbuf2-0:2.42.12-6.fc41. 100% | 95.3 MiB/s | 487.9 KiB | 00m00s [176/694] graphviz-libs-0:12.1.2-1.fc42 100% | 62.9 MiB/s | 451.0 KiB | 00m00s [177/694] lasi-0:1.1.3-14.fc41.aarch64 100% | 17.6 MiB/s | 54.0 KiB | 00m00s [178/694] gts-0:0.7.6-49.20121130.fc41. 100% | 46.3 MiB/s | 236.9 KiB | 00m00s [179/694] pango-0:1.54.0-2.fc41.aarch64 100% | 48.1 MiB/s | 344.8 KiB | 00m00s [180/694] poppler-glib-0:24.08.0-1.fc42 100% | 25.2 MiB/s | 181.0 KiB | 00m00s [181/694] librsvg2-0:2.59.1-1.fc42.aarc 100% | 135.1 MiB/s | 1.6 MiB | 00m00s [182/694] ghostscript-0:10.04.0-1.fc42. 100% | 12.0 MiB/s | 37.0 KiB | 00m00s [183/694] perl-Time-HiRes-4:1.9777-511. 100% | 28.2 MiB/s | 57.8 KiB | 00m00s [184/694] perl-sigtrap-0:1.10-511.fc41. 100% | 7.6 MiB/s | 15.6 KiB | 00m00s [185/694] perl-Unicode-Normalize-0:1.32 100% | 35.4 MiB/s | 72.6 KiB | 00m00s [186/694] python3-beautifulsoup4-0:4.12 100% | 35.3 MiB/s | 325.3 KiB | 00m00s [187/694] xdg-utils-0:1.2.1-2.fc41.noar 100% | 3.9 MiB/s | 79.3 KiB | 00m00s [188/694] python3-pygments-0:2.18.0-2.f 100% | 93.7 MiB/s | 2.5 MiB | 00m00s [189/694] python3-sphinx-1:7.3.7-2.fc41 100% | 82.2 MiB/s | 2.6 MiB | 00m00s [190/694] python3-sphinx-basic-ng-0:1.0 100% | 1.7 MiB/s | 34.5 KiB | 00m00s [191/694] texlive-amsmath-11:svn63514-7 100% | 7.2 MiB/s | 44.3 KiB | 00m00s [192/694] texlive-amscls-11:svn55378-74 100% | 62.9 MiB/s | 1.1 MiB | 00m00s [193/694] texlive-anyfontsize-11:svn170 100% | 1.7 MiB/s | 14.1 KiB | 00m00s [194/694] texlive-capt-of-11:svn29803.0 100% | 1.8 MiB/s | 12.9 KiB | 00m00s [195/694] texlive-cmap-11:svn57640-74.f 100% | 3.8 MiB/s | 19.3 KiB | 00m00s [196/694] texlive-collection-latex-11:s 100% | 1.9 MiB/s | 9.6 KiB | 00m00s [197/694] texlive-latex-11:svn65161-86. 100% | 251.2 MiB/s | 29.9 MiB | 00m00s [198/694] texlive-ctablestack-11:svn385 100% | 513.1 KiB/s | 15.9 KiB | 00m00s [199/694] texlive-collection-fontsrecom 100% | 242.5 KiB/s | 9.2 KiB | 00m00s [200/694] texlive-fancyhdr-11:svn64977- 100% | 17.0 MiB/s | 17.4 KiB | 00m00s [201/694] texlive-fancyvrb-11:svn65585- 100% | 23.7 MiB/s | 24.2 KiB | 00m00s [202/694] texlive-fncychap-11:svn20710. 100% | 4.8 MiB/s | 19.5 KiB | 00m00s [203/694] texlive-dvisvgm-11:svn66532-8 100% | 131.9 MiB/s | 1.3 MiB | 00m00s [204/694] texlive-geometry-11:svn61719- 100% | 20.3 MiB/s | 20.8 KiB | 00m00s [205/694] texlive-framed-11:svn26789.0. 100% | 2.3 MiB/s | 16.4 KiB | 00m00s [206/694] texlive-hyperref-11:svn65758- 100% | 56.9 MiB/s | 116.6 KiB | 00m00s [207/694] texlive-kvoptions-11:svn63622 100% | 120.0 MiB/s | 491.3 KiB | 00m00s [208/694] texlive-dvipng-11:svn66203-86 100% | 11.8 MiB/s | 313.4 KiB | 00m00s [209/694] texlive-needspace-11:svn29601 100% | 2.5 MiB/s | 12.7 KiB | 00m00s [210/694] texlive-parskip-11:svn58358-7 100% | 14.3 MiB/s | 14.6 KiB | 00m00s [211/694] texlive-polyglossia-11:svn657 100% | 106.2 MiB/s | 217.6 KiB | 00m00s [212/694] texlive-luatex85-11:svn41456- 100% | 1.3 MiB/s | 16.4 KiB | 00m00s [213/694] texlive-titlesec-11:svn59845- 100% | 15.6 MiB/s | 31.9 KiB | 00m00s [214/694] texlive-tabulary-11:svn34368. 100% | 3.9 MiB/s | 15.9 KiB | 00m00s [215/694] texlive-ucs-11:svn64114-74.fc 100% | 136.4 MiB/s | 419.0 KiB | 00m00s [216/694] texlive-upquote-11:svn26059.v 100% | 2.4 MiB/s | 14.6 KiB | 00m00s [217/694] texlive-wrapfig-11:svn61719-7 100% | 5.1 MiB/s | 20.9 KiB | 00m00s [218/694] python3-docutils-0:0.21.2-1.f 100% | 136.1 MiB/s | 1.1 MiB | 00m00s [219/694] python3-pybtex-docutils-0:1.0 100% | 6.5 MiB/s | 60.0 KiB | 00m00s [220/694] python3-pybtex-0:0.24.0-17.fc 100% | 14.2 MiB/s | 276.9 KiB | 00m00s [221/694] ncurses-devel-0:6.5-2.2024062 100% | 92.8 MiB/s | 569.9 KiB | 00m00s [222/694] python3-setuptools-0:69.2.0-8 100% | 120.3 MiB/s | 1.6 MiB | 00m00s [223/694] texlive-base-11:20230311-86.f 100% | 124.3 MiB/s | 2.6 MiB | 00m00s [224/694] texlive-kpathsea-11:svn66209- 100% | 57.5 MiB/s | 1.1 MiB | 00m00s [225/694] texlive-listings-11:svn66222- 100% | 13.4 MiB/s | 164.8 KiB | 00m00s [226/694] texlive-luatexbase-11:svn5266 100% | 1.7 MiB/s | 13.9 KiB | 00m00s [227/694] texlive-gnu-freefont-11:svn29 100% | 65.8 MiB/s | 7.4 MiB | 00m00s [228/694] texlive-luatex-11:svn66967-86 100% | 103.0 MiB/s | 3.7 MiB | 00m00s [229/694] texlive-pgf-11:svn65553-74.fc 100% | 48.5 MiB/s | 893.5 KiB | 00m00s [230/694] texlive-tools-11:svn64892-74. 100% | 7.8 MiB/s | 72.3 KiB | 00m00s [231/694] texlive-currfile-11:svn64673- 100% | 9.2 MiB/s | 18.8 KiB | 00m00s [232/694] texlive-adjustbox-11:svn64967 100% | 7.1 MiB/s | 29.2 KiB | 00m00s [233/694] texlive-filemod-11:svn64967-7 100% | 3.7 MiB/s | 18.9 KiB | 00m00s [234/694] texlive-iftex-11:svn61910-74. 100% | 9.1 MiB/s | 18.7 KiB | 00m00s [235/694] texlive-multido-11:svn18302.1 100% | 7.7 MiB/s | 15.7 KiB | 00m00s [236/694] texlive-gincltex-11:svn64967- 100% | 3.2 MiB/s | 16.5 KiB | 00m00s [237/694] texlive-varwidth-11:svn24104. 100% | 7.7 MiB/s | 15.7 KiB | 00m00s [238/694] texlive-pstricks-11:svn65346- 100% | 27.9 MiB/s | 114.3 KiB | 00m00s [239/694] texlive-xkeyval-11:svn63616-7 100% | 6.1 MiB/s | 24.9 KiB | 00m00s [240/694] libavif-0:1.0.4-7.fc41.aarch6 100% | 43.9 MiB/s | 89.8 KiB | 00m00s [241/694] libimagequant-0:4.0.3-5.fc41. 100% | 92.9 MiB/s | 285.3 KiB | 00m00s [242/694] shared-mime-info-0:2.3-6.fc41 100% | 94.9 MiB/s | 388.7 KiB | 00m00s [243/694] cairo-gobject-0:1.18.0-4.fc41 100% | 8.4 MiB/s | 17.2 KiB | 00m00s [244/694] netpbm-0:11.02.00-7.fc41.aarc 100% | 35.9 MiB/s | 183.7 KiB | 00m00s [245/694] fribidi-0:1.0.16-1.fc42.aarch 100% | 52.8 MiB/s | 54.1 KiB | 00m00s [246/694] rsvg-pixbuf-loader-0:2.59.1-1 100% | 51.4 MiB/s | 158.0 KiB | 00m00s [247/694] libthai-0:0.1.29-9.fc41.aarch 100% | 51.6 MiB/s | 211.5 KiB | 00m00s [248/694] poppler-0:24.08.0-1.fc42.aarc 100% | 142.6 MiB/s | 1.1 MiB | 00m00s [249/694] ghostscript-tools-fonts-0:10. 100% | 3.0 MiB/s | 12.5 KiB | 00m00s [250/694] ghostscript-tools-printing-0: 100% | 6.4 MiB/s | 13.2 KiB | 00m00s [251/694] perl-meta-notation-0:5.40.0-5 100% | 5.2 MiB/s | 10.7 KiB | 00m00s [252/694] texlive-hypdoc-11:svn65678-74 100% | 54.2 MiB/s | 332.8 KiB | 00m00s [253/694] texlive-l3kernel-11:svn66094- 100% | 21.7 MiB/s | 199.5 KiB | 00m00s [254/694] texlive-latex-fonts-11:svn288 100% | 9.2 MiB/s | 46.9 KiB | 00m00s [255/694] texlive-latexconfig-11:svn535 100% | 1.8 MiB/s | 13.2 KiB | 00m00s [256/694] yosyshq-abc-libs-0:0.46-1.202 100% | 60.6 MiB/s | 5.2 MiB | 00m00s [257/694] texlive-pdftex-11:svn66243-86 100% | 76.2 MiB/s | 2.4 MiB | 00m00s [258/694] texlive-psnfss-11:svn54694-74 100% | 7.0 MiB/s | 57.1 KiB | 00m00s [259/694] texlive-url-11:svn32528.3.4-7 100% | 2.4 MiB/s | 17.4 KiB | 00m00s [260/694] python3-lxml-0:5.3.0-1.fc42.a 100% | 81.5 MiB/s | 1.3 MiB | 00m00s [261/694] python3-soupsieve-0:2.6-2.fc4 100% | 6.0 MiB/s | 97.6 KiB | 00m00s [262/694] python3-imagesize-0:1.4.1-9.f 100% | 3.6 MiB/s | 22.2 KiB | 00m00s [263/694] python3-jinja2-0:3.1.4-5.fc41 100% | 30.1 MiB/s | 492.8 KiB | 00m00s [264/694] python3-requests-0:2.32.3-3.f 100% | 15.6 MiB/s | 159.4 KiB | 00m00s [265/694] python3-snowballstemmer-0:2.2 100% | 31.5 MiB/s | 258.4 KiB | 00m00s [266/694] python3-babel-0:2.16.0-1.fc42 100% | 120.7 MiB/s | 6.5 MiB | 00m00s [267/694] python3-sphinx-theme-alabaste 100% | 2.0 MiB/s | 24.3 KiB | 00m00s [268/694] texlive-avantgar-11:svn61983- 100% | 17.3 MiB/s | 318.9 KiB | 00m00s [269/694] texlive-amsfonts-11:svn61937- 100% | 107.8 MiB/s | 3.7 MiB | 00m00s [270/694] texlive-bookman-11:svn61719-7 100% | 14.2 MiB/s | 364.7 KiB | 00m00s [271/694] texlive-charter-11:svn15878.0 100% | 10.5 MiB/s | 203.6 KiB | 00m00s [272/694] texlive-collection-basic-11:s 100% | 2.3 MiB/s | 9.3 KiB | 00m00s [273/694] texlive-cm-super-11:svn15878. 100% | 239.2 MiB/s | 61.7 MiB | 00m00s [274/694] texlive-cmextra-11:svn57866-7 100% | 687.4 KiB/s | 46.7 KiB | 00m00s [275/694] texlive-courier-11:svn61719-7 100% | 9.0 MiB/s | 522.8 KiB | 00m00s [276/694] texlive-eurosym-11:svn17265.1 100% | 37.6 MiB/s | 154.0 KiB | 00m00s [277/694] texlive-euro-11:svn22191.1.1- 100% | 1.8 MiB/s | 14.5 KiB | 00m00s [278/694] texlive-fpl-11:svn54512-74.fc 100% | 97.8 MiB/s | 300.6 KiB | 00m00s [279/694] texlive-euro-ce-11:svn25714-7 100% | 2.8 MiB/s | 29.0 KiB | 00m00s [280/694] texlive-helvetic-11:svn61719- 100% | 159.1 MiB/s | 651.8 KiB | 00m00s [281/694] texlive-lm-math-11:svn36915.1 100% | 87.0 MiB/s | 445.6 KiB | 00m00s [282/694] texlive-marvosym-11:svn29349. 100% | 28.2 MiB/s | 144.3 KiB | 00m00s [283/694] texlive-manfnt-font-11:svn457 100% | 3.1 MiB/s | 34.7 KiB | 00m00s [284/694] texlive-mathpazo-11:svn52663- 100% | 17.1 MiB/s | 87.3 KiB | 00m00s [285/694] texlive-mflogo-font-11:svn545 100% | 2.2 MiB/s | 29.5 KiB | 00m00s [286/694] texlive-palatino-11:svn61719- 100% | 37.2 MiB/s | 419.2 KiB | 00m00s [287/694] texlive-ncntrsbk-11:svn61719- 100% | 14.1 MiB/s | 359.7 KiB | 00m00s [288/694] texlive-lm-11:svn65956-74.fc4 100% | 222.6 MiB/s | 12.0 MiB | 00m00s [289/694] texlive-rsfs-11:svn15878.0-74 100% | 5.0 MiB/s | 67.1 KiB | 00m00s [290/694] texlive-pxfonts-11:svn15878.0 100% | 33.9 MiB/s | 520.4 KiB | 00m00s [291/694] texlive-symbol-11:svn61719-74 100% | 17.0 MiB/s | 52.2 KiB | 00m00s [292/694] texlive-times-11:svn61719-74. 100% | 118.8 MiB/s | 364.9 KiB | 00m00s [293/694] texlive-tipa-11:svn29349.1.3- 100% | 281.0 MiB/s | 2.8 MiB | 00m00s [294/694] texlive-tex-gyre-math-11:svn4 100% | 59.7 MiB/s | 1.4 MiB | 00m00s [295/694] texlive-txfonts-11:svn15878.0 100% | 79.5 MiB/s | 813.6 KiB | 00m00s [296/694] texlive-utopia-11:svn15878.0- 100% | 46.2 MiB/s | 236.4 KiB | 00m00s [297/694] texlive-wasy-11:svn53533-74.f 100% | 4.0 MiB/s | 37.2 KiB | 00m00s [298/694] texlive-wasysym-11:svn54080-7 100% | 3.9 MiB/s | 15.8 KiB | 00m00s [299/694] texlive-wasy-type1-11:svn5353 100% | 11.8 MiB/s | 265.6 KiB | 00m00s [300/694] texlive-tex-gyre-11:svn65956- 100% | 137.2 MiB/s | 8.0 MiB | 00m00s [301/694] texlive-zapfding-11:svn61719- 100% | 7.6 MiB/s | 62.0 KiB | 00m00s [302/694] texlive-zapfchan-11:svn61719- 100% | 5.8 MiB/s | 106.6 KiB | 00m00s [303/694] texlive-ae-11:svn15878.1.4-74 100% | 36.7 MiB/s | 112.8 KiB | 00m00s [304/694] texlive-atbegshi-11:svn53051- 100% | 79.4 MiB/s | 406.5 KiB | 00m00s [305/694] texlive-atveryend-11:svn53108 100% | 74.8 MiB/s | 382.9 KiB | 00m00s [306/694] texlive-babel-english-11:svn4 100% | 6.0 MiB/s | 18.4 KiB | 00m00s [307/694] texlive-auxhook-11:svn53173-7 100% | 47.6 MiB/s | 292.6 KiB | 00m00s [308/694] texlive-babel-11:svn65823-74. 100% | 116.4 MiB/s | 476.8 KiB | 00m00s [309/694] texlive-babelbib-11:svn57349- 100% | 16.7 MiB/s | 51.3 KiB | 00m00s [310/694] texlive-bigintcalc-11:svn5317 100% | 113.2 MiB/s | 463.7 KiB | 00m00s [311/694] texlive-bitset-11:svn53837-74 100% | 86.2 MiB/s | 618.0 KiB | 00m00s [312/694] texlive-bookmark-11:svn56885- 100% | 58.3 MiB/s | 477.7 KiB | 00m00s [313/694] texlive-carlisle-11:svn59577- 100% | 3.4 MiB/s | 21.1 KiB | 00m00s [314/694] texlive-colortbl-11:svn64015- 100% | 5.0 MiB/s | 15.4 KiB | 00m00s [315/694] texlive-epstopdf-pkg-11:svn53 100% | 70.7 MiB/s | 361.9 KiB | 00m00s [316/694] texlive-etexcmds-11:svn53171- 100% | 50.1 MiB/s | 307.8 KiB | 00m00s [317/694] texlive-firstaid-11:svn64892- 100% | 53.2 MiB/s | 272.6 KiB | 00m00s [318/694] texlive-fix2col-11:svn38770-7 100% | 4.7 MiB/s | 14.3 KiB | 00m00s [319/694] texlive-graphics-cfg-11:svn41 100% | 5.1 MiB/s | 10.4 KiB | 00m00s [320/694] texlive-graphics-11:svn66204- 100% | 18.2 MiB/s | 37.3 KiB | 00m00s [321/694] texlive-gettitlestring-11:svn 100% | 79.3 MiB/s | 324.9 KiB | 00m00s [322/694] texlive-grfext-11:svn53024-74 100% | 102.6 MiB/s | 315.2 KiB | 00m00s [323/694] texlive-hopatch-11:svn65491-7 100% | 79.6 MiB/s | 326.2 KiB | 00m00s [324/694] texlive-hycolor-11:svn53584-7 100% | 93.2 MiB/s | 381.7 KiB | 00m00s [325/694] texlive-kvdefinekeys-11:svn53 100% | 98.9 MiB/s | 303.8 KiB | 00m00s [326/694] texlive-intcalc-11:svn53168-7 100% | 84.0 MiB/s | 429.9 KiB | 00m00s [327/694] texlive-kvsetkeys-11:svn64632 100% | 96.7 MiB/s | 396.2 KiB | 00m00s [328/694] texlive-l3backend-11:svn65573 100% | 218.5 MiB/s | 894.9 KiB | 00m00s [329/694] texlive-l3packages-11:svn6572 100% | 9.4 MiB/s | 38.5 KiB | 00m00s [330/694] texlive-letltxmacro-11:svn530 100% | 36.3 MiB/s | 297.7 KiB | 00m00s [331/694] texlive-ltxcmds-11:svn56421-7 100% | 43.2 MiB/s | 397.8 KiB | 00m00s [332/694] texlive-latex-lab-11:svn64892 100% | 119.5 MiB/s | 1.8 MiB | 00m00s [333/694] texlive-ltxmisc-11:svn21927.0 100% | 4.2 MiB/s | 25.6 KiB | 00m00s [334/694] texlive-mfnfss-11:svn46036-74 100% | 2.4 MiB/s | 14.9 KiB | 00m00s [335/694] texlive-mptopdf-11:svn65952-8 100% | 10.2 MiB/s | 52.1 KiB | 00m00s [336/694] texlive-natbib-11:svn20668.8. 100% | 9.4 MiB/s | 28.8 KiB | 00m00s [337/694] texlive-pagesel-11:svn56105-7 100% | 66.0 MiB/s | 337.9 KiB | 00m00s [338/694] texlive-pdfescape-11:svn53082 100% | 48.9 MiB/s | 350.7 KiB | 00m00s [339/694] texlive-pslatex-11:svn57434-7 100% | 3.3 MiB/s | 20.1 KiB | 00m00s [340/694] texlive-pspicture-11:svn15878 100% | 2.7 MiB/s | 13.9 KiB | 00m00s [341/694] texlive-refcount-11:svn53164- 100% | 54.1 MiB/s | 332.7 KiB | 00m00s [342/694] texlive-rerunfilecheck-11:svn 100% | 41.3 MiB/s | 338.5 KiB | 00m00s [343/694] texlive-stringenc-11:svn52982 100% | 85.4 MiB/s | 699.7 KiB | 00m00s [344/694] texlive-uniquecounter-11:svn5 100% | 36.4 MiB/s | 298.1 KiB | 00m00s [345/694] texlive-oberdiek-11:svn65521- 100% | 188.3 MiB/s | 7.7 MiB | 00m00s [346/694] texlive-lib-11:20230311-86.fc 100% | 34.1 MiB/s | 488.7 KiB | 00m00s [347/694] texlive-hobsub-11:svn52810-74 100% | 12.3 MiB/s | 75.3 KiB | 00m00s [348/694] mupdf-0:1.24.6-3.fc42.aarch64 100% | 83.8 MiB/s | 1.5 MiB | 00m00s [349/694] potrace-0:1.16-13.fc41.aarch6 100% | 9.7 MiB/s | 119.1 KiB | 00m00s [350/694] texlive-infwarerr-11:svn53023 100% | 28.6 MiB/s | 292.9 KiB | 00m00s [351/694] texlive-memoir-11:svn65040-74 100% | 11.2 MiB/s | 91.9 KiB | 00m00s [352/694] texlive-pdftexcmds-11:svn5577 100% | 34.5 MiB/s | 388.6 KiB | 00m00s [353/694] texlive-etoolbox-11:svn56554- 100% | 2.9 MiB/s | 23.5 KiB | 00m00s [354/694] texlive-filehook-11:svn64822- 100% | 4.0 MiB/s | 20.6 KiB | 00m00s [355/694] texlive-bidi-11:svn65572-74.f 100% | 17.8 MiB/s | 200.0 KiB | 00m00s [356/694] texlive-fontspec-11:svn63386- 100% | 13.8 MiB/s | 42.5 KiB | 00m00s [357/694] texlive-luabidi-11:svn54512-7 100% | 5.8 MiB/s | 17.7 KiB | 00m00s [358/694] texlive-makecmds-11:svn15878. 100% | 6.4 MiB/s | 13.2 KiB | 00m00s [359/694] python3-six-0:1.16.0-23.fc41. 100% | 40.3 MiB/s | 41.2 KiB | 00m00s [360/694] python3-pyyaml-0:6.0.1-18.fc4 100% | 72.7 MiB/s | 223.5 KiB | 00m00s [361/694] ncurses-c++-libs-0:6.5-2.2024 100% | 18.4 MiB/s | 37.7 KiB | 00m00s [362/694] texlive-texlive-scripts-11:sv 100% | 59.1 MiB/s | 121.0 KiB | 00m00s [363/694] texlive-algorithms-11:svn4242 100% | 10.2 MiB/s | 20.9 KiB | 00m00s [364/694] texlive-cm-11:svn57963-74.fc4 100% | 156.0 MiB/s | 319.4 KiB | 00m00s [365/694] python3-latexcodec-0:3.0.0-6. 100% | 3.8 MiB/s | 38.7 KiB | 00m00s [366/694] texlive-etex-11:svn66203-74.f 100% | 12.8 MiB/s | 26.2 KiB | 00m00s [367/694] texlive-graphics-def-11:svn64 100% | 25.5 MiB/s | 26.1 KiB | 00m00s [368/694] texlive-hyph-utf8-11:svn61719 100% | 26.5 MiB/s | 27.1 KiB | 00m00s [369/694] texlive-hyphen-base-11:svn664 100% | 15.4 MiB/s | 31.5 KiB | 00m00s [370/694] texlive-knuth-lib-11:svn57963 100% | 24.2 MiB/s | 49.5 KiB | 00m00s [371/694] texlive-plain-11:svn57963-74. 100% | 20.2 MiB/s | 41.3 KiB | 00m00s [372/694] texlive-tex-ini-files-11:svn4 100% | 6.4 MiB/s | 13.2 KiB | 00m00s [373/694] texlive-unicode-data-11:svn64 100% | 88.5 MiB/s | 362.6 KiB | 00m00s [374/694] zziplib-0:0.13.74-2.fc41.aarc 100% | 28.8 MiB/s | 88.4 KiB | 00m00s [375/694] texlive-etex-pkg-11:svn41784- 100% | 3.5 MiB/s | 14.5 KiB | 00m00s [376/694] texlive-fp-11:svn49719-74.fc4 100% | 16.5 MiB/s | 33.7 KiB | 00m00s [377/694] texlive-ms-11:svn57473-74.fc4 100% | 7.4 MiB/s | 15.1 KiB | 00m00s [378/694] texlive-xcolor-11:svn63563-74 100% | 9.8 MiB/s | 30.1 KiB | 00m00s [379/694] texlive-collectbox-11:svn6496 100% | 8.5 MiB/s | 17.5 KiB | 00m00s [380/694] texlive-ifoddpage-11:svn64967 100% | 3.9 MiB/s | 16.0 KiB | 00m00s [381/694] texlive-auto-pst-pdf-11:svn56 100% | 7.1 MiB/s | 14.5 KiB | 00m00s [382/694] texlive-bera-11:svn20031.0-74 100% | 86.2 MiB/s | 353.2 KiB | 00m00s [383/694] texlive-biblatex-11:svn66403- 100% | 58.9 MiB/s | 301.7 KiB | 00m00s [384/694] texlive-svn-prov-11:svn64967- 100% | 1.7 MiB/s | 14.2 KiB | 00m00s [385/694] texlive-booktabs-11:svn53402- 100% | 15.2 MiB/s | 15.5 KiB | 00m00s [386/694] texlive-breakurl-11:svn29901. 100% | 7.3 MiB/s | 14.9 KiB | 00m00s [387/694] texlive-eso-pic-11:svn56658-7 100% | 8.3 MiB/s | 17.0 KiB | 00m00s [388/694] texlive-caption-11:svn66580-7 100% | 20.6 MiB/s | 84.4 KiB | 00m00s [389/694] texlive-filecontents-11:svn52 100% | 6.9 MiB/s | 14.2 KiB | 00m00s [390/694] texlive-footmisc-11:svn62524- 100% | 11.0 MiB/s | 22.5 KiB | 00m00s [391/694] texlive-paralist-11:svn43021- 100% | 5.0 MiB/s | 15.5 KiB | 00m00s [392/694] texlive-pst-3d-11:svn17257.1. 100% | 3.9 MiB/s | 15.8 KiB | 00m00s [393/694] texlive-pst-coil-11:svn62977- 100% | 4.0 MiB/s | 16.2 KiB | 00m00s [394/694] texlive-pst-eps-11:svn15878.1 100% | 3.7 MiB/s | 15.0 KiB | 00m00s [395/694] texlive-pst-fill-11:svn60671- 100% | 3.1 MiB/s | 15.9 KiB | 00m00s [396/694] texlive-pst-grad-11:svn15878. 100% | 3.1 MiB/s | 16.1 KiB | 00m00s [397/694] texlive-pst-node-11:svn61838- 100% | 6.2 MiB/s | 38.0 KiB | 00m00s [398/694] texlive-pst-ovl-11:svn54963-7 100% | 2.0 MiB/s | 14.3 KiB | 00m00s [399/694] texlive-pst-plot-11:svn65346- 100% | 5.7 MiB/s | 35.0 KiB | 00m00s [400/694] texlive-pst-text-11:svn49542- 100% | 2.3 MiB/s | 16.7 KiB | 00m00s [401/694] texlive-pst-tools-11:svn60621 100% | 2.3 MiB/s | 18.8 KiB | 00m00s [402/694] texlive-koma-script-11:svn646 100% | 147.8 MiB/s | 6.4 MiB | 00m00s [403/694] texlive-pst-tree-11:svn60421- 100% | 1.7 MiB/s | 18.8 KiB | 00m00s [404/694] texlive-pstricks-add-11:svn65 100% | 5.6 MiB/s | 34.6 KiB | 00m00s [405/694] texlive-setspace-11:svn65206- 100% | 7.7 MiB/s | 15.8 KiB | 00m00s [406/694] texlive-showexpl-11:svn57414- 100% | 7.8 MiB/s | 15.9 KiB | 00m00s [407/694] texlive-ragged2e-11:svn66152- 100% | 132.7 MiB/s | 679.4 KiB | 00m00s [408/694] texlive-subfig-11:svn15878.1. 100% | 4.7 MiB/s | 19.2 KiB | 00m00s [409/694] libdav1d-0:1.4.3-2.fc41.aarch 100% | 57.3 MiB/s | 351.9 KiB | 00m00s [410/694] libaom-0:3.9.0-3.fc41.aarch64 100% | 157.5 MiB/s | 1.6 MiB | 00m00s [411/694] rav1e-libs-0:0.7.1-4.fc42.aar 100% | 96.1 MiB/s | 787.1 KiB | 00m00s [412/694] libdatrie-0:0.2.13-10.fc41.aa 100% | 15.7 MiB/s | 32.2 KiB | 00m00s [413/694] svt-av1-libs-0:2.1.0-2.fc41.a 100% | 134.1 MiB/s | 1.3 MiB | 00m00s [414/694] gpgmepp-0:1.23.2-5.fc41.aarch 100% | 21.1 MiB/s | 129.7 KiB | 00m00s [415/694] nspr-0:4.35.0-29.fc42.aarch64 100% | 22.0 MiB/s | 135.0 KiB | 00m00s [416/694] nss-0:3.104.0-1.fc42.aarch64 100% | 171.8 MiB/s | 703.8 KiB | 00m00s [417/694] texlive-alphalph-11:svn53087- 100% | 50.0 MiB/s | 358.5 KiB | 00m00s [418/694] poppler-data-0:0.4.11-8.fc41. 100% | 179.5 MiB/s | 2.0 MiB | 00m00s [419/694] texlive-csquotes-11:svn64389- 100% | 5.4 MiB/s | 33.4 KiB | 00m00s [420/694] texlive-enumitem-11:svn51423- 100% | 4.1 MiB/s | 25.4 KiB | 00m00s [421/694] texlive-underscore-11:svn1826 100% | 5.4 MiB/s | 16.5 KiB | 00m00s [422/694] texlive-hologo-11:svn61719-74 100% | 114.1 MiB/s | 584.2 KiB | 00m00s [423/694] texlive-dehyph-11:svn48599-74 100% | 31.0 MiB/s | 63.4 KiB | 00m00s [424/694] libxslt-0:1.1.42-2.fc41.aarch 100% | 61.1 MiB/s | 187.6 KiB | 00m00s [425/694] python3-markupsafe-0:2.1.5-3. 100% | 7.6 MiB/s | 31.1 KiB | 00m00s [426/694] python3-idna-0:3.9-1.fc42.noa 100% | 57.6 MiB/s | 117.9 KiB | 00m00s [427/694] python3-charset-normalizer-0: 100% | 20.9 MiB/s | 107.1 KiB | 00m00s [428/694] texlive-colorprofiles-11:svn4 100% | 70.2 MiB/s | 143.8 KiB | 00m00s [429/694] texlive-bibtex-11:svn66186-86 100% | 108.5 MiB/s | 444.5 KiB | 00m00s [430/694] python3-urllib3-0:2.2.3-3.fc4 100% | 45.2 MiB/s | 277.8 KiB | 00m00s [431/694] texlive-dvips-11:svn66203-86. 100% | 101.9 MiB/s | 730.5 KiB | 00m00s [432/694] texlive-ec-11:svn25033.1.0-74 100% | 66.4 MiB/s | 679.6 KiB | 00m00s [433/694] texlive-enctex-11:svn34957.0- 100% | 7.1 MiB/s | 51.1 KiB | 00m00s [434/694] texlive-dvipdfmx-11:svn66203- 100% | 149.2 MiB/s | 3.0 MiB | 00m00s [435/694] texlive-glyphlist-11:svn54074 100% | 4.6 MiB/s | 37.8 KiB | 00m00s [436/694] texlive-hyphenex-11:svn57387- 100% | 4.2 MiB/s | 17.1 KiB | 00m00s [437/694] texlive-ifplatform-11:svn4553 100% | 6.8 MiB/s | 14.0 KiB | 00m00s [438/694] texlive-lua-alt-getopt-11:svn 100% | 5.1 MiB/s | 10.4 KiB | 00m00s [439/694] texlive-knuth-local-11:svn579 100% | 20.8 MiB/s | 42.5 KiB | 00m00s [440/694] texlive-makeindex-11:svn62517 100% | 70.0 MiB/s | 430.2 KiB | 00m00s [441/694] texlive-metafont-11:svn66186- 100% | 38.9 MiB/s | 239.3 KiB | 00m00s [442/694] texlive-luahbtex-11:svn66186- 100% | 170.0 MiB/s | 1.9 MiB | 00m00s [443/694] texlive-mflogo-11:svn42428-74 100% | 3.4 MiB/s | 14.1 KiB | 00m00s [444/694] texlive-mfware-11:svn66186-86 100% | 23.6 MiB/s | 96.5 KiB | 00m00s [445/694] texlive-modes-11:svn61719-74. 100% | 98.8 MiB/s | 303.5 KiB | 00m00s [446/694] texlive-tex-11:svn66186-86.fc 100% | 49.1 MiB/s | 200.9 KiB | 00m00s [447/694] texlive-texlive-common-doc-11 100% | 37.9 MiB/s | 155.1 KiB | 00m00s [448/694] texlive-texlive-msg-translati 100% | 30.9 MiB/s | 158.0 KiB | 00m00s [449/694] texlive-texlive.infra-11:svn6 100% | 57.5 MiB/s | 294.5 KiB | 00m00s [450/694] texlive-texlive-en-11:svn6657 100% | 196.0 MiB/s | 2.0 MiB | 00m00s [451/694] texlive-epstopdf-11:svn66461- 100% | 9.6 MiB/s | 29.6 KiB | 00m00s [452/694] texlive-xdvi-11:svn62387-86.f 100% | 66.3 MiB/s | 339.4 KiB | 00m00s [453/694] texlive-beton-11:svn15878.0-7 100% | 13.3 MiB/s | 13.6 KiB | 00m00s [454/694] texlive-euler-11:svn42428-74. 100% | 7.5 MiB/s | 15.3 KiB | 00m00s [455/694] texlive-minitoc-11:svn61719-7 100% | 58.1 MiB/s | 119.0 KiB | 00m00s [456/694] texlive-index-11:svn24099.4.1 100% | 10.0 MiB/s | 20.5 KiB | 00m00s [457/694] texlive-grffile-11:svn52756-7 100% | 87.4 MiB/s | 358.0 KiB | 00m00s [458/694] texlive-parallel-11:svn15878. 100% | 7.6 MiB/s | 15.6 KiB | 00m00s [459/694] texlive-pdfcol-11:svn64469-74 100% | 105.6 MiB/s | 324.4 KiB | 00m00s [460/694] texlive-sauerj-11:svn15878.0- 100% | 5.7 MiB/s | 17.6 KiB | 00m00s [461/694] texlive-unicode-math-11:svn61 100% | 19.9 MiB/s | 61.1 KiB | 00m00s [462/694] texlive-zref-11:svn62977-74.f 100% | 133.9 MiB/s | 685.4 KiB | 00m00s [463/694] freeglut-0:3.6.0-2.fc41.aarch 100% | 28.3 MiB/s | 144.8 KiB | 00m00s [464/694] libglvnd-glx-1:1.7.0-5.fc41.a 100% | 25.1 MiB/s | 128.6 KiB | 00m00s [465/694] texlive-changepage-11:svn1587 100% | 8.6 MiB/s | 17.5 KiB | 00m00s [466/694] texlive-placeins-11:svn19848. 100% | 11.0 MiB/s | 11.2 KiB | 00m00s [467/694] texlive-xifthen-11:svn38929-7 100% | 6.9 MiB/s | 14.1 KiB | 00m00s [468/694] texlive-euenc-11:svn19795.0.1 100% | 4.9 MiB/s | 19.9 KiB | 00m00s [469/694] texlive-kastrup-11:svn15878.0 100% | 3.4 MiB/s | 10.4 KiB | 00m00s [470/694] texlive-xunicode-11:svn30466. 100% | 10.2 MiB/s | 42.0 KiB | 00m00s [471/694] texlive-luaotfload-11:svn6461 100% | 100.1 MiB/s | 1.2 MiB | 00m00s [472/694] libyaml-0:0.2.5-15.fc41.aarch 100% | 7.3 MiB/s | 59.8 KiB | 00m00s [473/694] texlive-gsftopk-11:svn52851-8 100% | 11.2 MiB/s | 34.3 KiB | 00m00s [474/694] texlive-float-11:svn15878.1.3 100% | 7.1 MiB/s | 14.6 KiB | 00m00s [475/694] texlive-pdfcolmk-11:svn52912- 100% | 5.3 MiB/s | 16.3 KiB | 00m00s [476/694] biber-0:2.19-6.fc41.noarch 100% | 71.4 MiB/s | 292.5 KiB | 00m00s [477/694] texlive-logreq-11:svn53003-74 100% | 5.9 MiB/s | 18.1 KiB | 00m00s [478/694] texlive-xpatch-11:svn54563-74 100% | 4.0 MiB/s | 16.4 KiB | 00m00s [479/694] texlive-xstring-11:svn65551-7 100% | 7.6 MiB/s | 23.3 KiB | 00m00s [480/694] texlive-marginnote-11:svn4838 100% | 7.4 MiB/s | 15.1 KiB | 00m00s [481/694] texlive-mparhack-11:svn59066- 100% | 7.7 MiB/s | 15.7 KiB | 00m00s [482/694] texlive-picture-11:svn54867-7 100% | 100.9 MiB/s | 310.0 KiB | 00m00s [483/694] texlive-pst-math-11:svn64732- 100% | 5.8 MiB/s | 18.0 KiB | 00m00s [484/694] texlive-attachfile-11:svn4209 100% | 4.9 MiB/s | 20.0 KiB | 00m00s [485/694] gpgme-0:1.23.2-5.fc41.aarch64 100% | 15.8 MiB/s | 210.1 KiB | 00m00s [486/694] libassuan-0:2.5.7-2.fc41.aarc 100% | 6.5 MiB/s | 66.7 KiB | 00m00s [487/694] nss-sysinit-0:3.104.0-1.fc42. 100% | 3.8 MiB/s | 19.4 KiB | 00m00s [488/694] nss-softokn-0:3.104.0-1.fc42. 100% | 40.1 MiB/s | 410.4 KiB | 00m00s [489/694] nss-util-0:3.104.0-1.fc42.aar 100% | 9.2 MiB/s | 84.3 KiB | 00m00s [490/694] texlive-xetex-11:svn66203-86. 100% | 94.9 MiB/s | 1.2 MiB | 00m00s [491/694] texlive-catchfile-11:svn53084 100% | 27.1 MiB/s | 305.0 KiB | 00m00s [492/694] perl-Text-Unidecode-0:1.30-25 100% | 25.3 MiB/s | 181.3 KiB | 00m00s [493/694] perl-XML-Parser-0:2.47-5.fc41 100% | 25.7 MiB/s | 236.6 KiB | 00m00s [494/694] perl-XML-XPath-0:1.48-7.fc41. 100% | 9.4 MiB/s | 86.9 KiB | 00m00s [495/694] libXi-0:1.8.2-1.fc42.aarch64 100% | 9.8 MiB/s | 40.1 KiB | 00m00s [496/694] texlive-notoccite-11:svn18129 100% | 2.6 MiB/s | 10.5 KiB | 00m00s [497/694] texlive-lualatex-math-11:svn6 100% | 3.6 MiB/s | 18.2 KiB | 00m00s [498/694] texlive-ucharcat-11:svn38907- 100% | 2.5 MiB/s | 13.0 KiB | 00m00s [499/694] libglvnd-opengl-1:1.7.0-5.fc4 100% | 7.9 MiB/s | 40.6 KiB | 00m00s [500/694] mupdf-libs-0:1.24.6-3.fc42.aa 100% | 192.0 MiB/s | 25.7 MiB | 00m00s [501/694] libglvnd-1:1.7.0-5.fc41.aarch 100% | 4.1 MiB/s | 109.2 KiB | 00m00s [502/694] mesa-libGL-0:24.2.4-1.fc42.aa 100% | 7.0 MiB/s | 187.5 KiB | 00m00s [503/694] gumbo-parser-1:0.12.1-2.fc41. 100% | 33.4 MiB/s | 136.9 KiB | 00m00s [504/694] leptonica-0:1.84.1-4.fc41.aar 100% | 143.3 MiB/s | 1.1 MiB | 00m00s [505/694] tesseract-libs-0:5.4.1-5.fc42 100% | 137.1 MiB/s | 1.2 MiB | 00m00s [506/694] texlive-ifmtarg-11:svn47544-7 100% | 1.7 MiB/s | 12.4 KiB | 00m00s [507/694] texlive-lua-uni-algos-11:svn6 100% | 30.7 MiB/s | 94.4 KiB | 00m00s [508/694] perl-Business-ISBN-0:3.009-5. 100% | 15.8 MiB/s | 32.3 KiB | 00m00s [509/694] texlive-lualibs-11:svn64615-7 100% | 51.1 MiB/s | 157.1 KiB | 00m00s [510/694] perl-Business-ISMN-0:1.204-2. 100% | 5.0 MiB/s | 25.8 KiB | 00m00s [511/694] perl-Business-ISSN-0:1.005-12 100% | 5.9 MiB/s | 18.2 KiB | 00m00s [512/694] perl-Class-Accessor-0:0.51-21 100% | 9.2 MiB/s | 28.3 KiB | 00m00s [513/694] perl-Data-Compare-0:1.29-5.fc 100% | 16.9 MiB/s | 34.5 KiB | 00m00s [514/694] perl-Data-Dump-0:1.25-11.fc41 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [515/694] perl-Data-Uniqid-0:0.12-34.fc 100% | 5.9 MiB/s | 12.1 KiB | 00m00s [516/694] perl-DateTime-Calendar-Julian 100% | 22.5 MiB/s | 23.1 KiB | 00m00s [517/694] perl-DateTime-2:1.65-6.fc41.a 100% | 65.0 MiB/s | 133.2 KiB | 00m00s [518/694] perl-DateTime-Format-Builder- 100% | 45.3 MiB/s | 92.8 KiB | 00m00s [519/694] perl-DateTime-TimeZone-0:2.63 100% | 127.7 MiB/s | 392.4 KiB | 00m00s [520/694] perl-File-Slurper-0:0.014-6.f 100% | 6.9 MiB/s | 21.1 KiB | 00m00s [521/694] perl-IO-String-0:1.08-51.fc41 100% | 8.3 MiB/s | 17.1 KiB | 00m00s [522/694] perl-IPC-Cmd-2:1.04-512.fc41. 100% | 19.3 MiB/s | 39.6 KiB | 00m00s [523/694] perl-IPC-Run3-0:0.049-2.fc41. 100% | 18.7 MiB/s | 38.3 KiB | 00m00s [524/694] perl-LWP-Protocol-https-0:6.1 100% | 10.5 MiB/s | 21.4 KiB | 00m00s [525/694] perl-Lingua-Translit-0:0.29-7 100% | 16.2 MiB/s | 33.1 KiB | 00m00s [526/694] perl-List-AllUtils-0:0.19-13. 100% | 23.9 MiB/s | 49.0 KiB | 00m00s [527/694] perl-Log-Log4perl-0:1.57-6.fc 100% | 88.2 MiB/s | 361.3 KiB | 00m00s [528/694] perl-Parse-RecDescent-0:1.967 100% | 67.7 MiB/s | 208.0 KiB | 00m00s [529/694] perl-Regexp-Common-0:20240808 100% | 60.2 MiB/s | 185.0 KiB | 00m00s [530/694] perl-Sort-Key-0:1.33-32.fc41. 100% | 22.3 MiB/s | 45.6 KiB | 00m00s [531/694] perl-Text-Balanced-0:2.06-511 100% | 15.9 MiB/s | 48.8 KiB | 00m00s [532/694] perl-Text-BibTeX-0:0.89-7.fc4 100% | 82.0 MiB/s | 252.0 KiB | 00m00s [533/694] perl-Text-CSV-0:2.04-2.fc41.n 100% | 37.7 MiB/s | 115.8 KiB | 00m00s [534/694] perl-Text-Roman-0:3.5-28.fc41 100% | 11.0 MiB/s | 22.4 KiB | 00m00s [535/694] perl-Unicode-Collate-0:1.31-5 100% | 88.9 MiB/s | 637.5 KiB | 00m00s [536/694] perl-Unicode-LineBreak-0:2019 100% | 19.3 MiB/s | 118.6 KiB | 00m00s [537/694] perl-Unicode-UCD-0:0.78-511.f 100% | 12.7 MiB/s | 78.3 KiB | 00m00s [538/694] perl-XML-LibXSLT-0:2.003.000- 100% | 31.2 MiB/s | 63.9 KiB | 00m00s [539/694] perl-XML-LibXML-Simple-0:1.01 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [540/694] perl-XML-LibXML-1:2.0210-3.fc 100% | 84.4 MiB/s | 345.5 KiB | 00m00s [541/694] perl-XML-Writer-0:0.900-15.fc 100% | 16.5 MiB/s | 33.7 KiB | 00m00s [542/694] perl-autovivification-0:0.18- 100% | 16.0 MiB/s | 32.9 KiB | 00m00s [543/694] perl-libwww-perl-0:6.77-2.fc4 100% | 100.8 MiB/s | 206.4 KiB | 00m00s [544/694] nss-softokn-freebl-0:3.104.0- 100% | 94.3 MiB/s | 289.7 KiB | 00m00s [545/694] libgpg-error-0:1.50-2.fc41.aa 100% | 38.6 MiB/s | 237.3 KiB | 00m00s [546/694] teckit-0:2.5.12-3.fc41.aarch6 100% | 66.9 MiB/s | 342.7 KiB | 00m00s [547/694] gnupg2-0:2.4.5-3.fc41.aarch64 100% | 189.7 MiB/s | 2.7 MiB | 00m00s [548/694] texlive-xetexconfig-11:svn458 100% | 2.9 MiB/s | 9.0 KiB | 00m00s [549/694] texlive-everyshi-11:svn57001- 100% | 17.7 MiB/s | 144.8 KiB | 00m00s [550/694] perl-I18N-Langinfo-0:0.24-511 100% | 8.4 MiB/s | 25.9 KiB | 00m00s [551/694] perl-open-0:1.13-511.fc41.noa 100% | 5.4 MiB/s | 16.5 KiB | 00m00s [552/694] libXfixes-0:6.0.1-4.fc41.aarc 100% | 3.9 MiB/s | 19.7 KiB | 00m00s [553/694] libXxf86vm-0:1.1.5-7.fc41.aar 100% | 8.8 MiB/s | 18.0 KiB | 00m00s [554/694] libdrm-0:2.4.123-1.fc42.aarch 100% | 62.8 MiB/s | 192.8 KiB | 00m00s [555/694] libxshmfence-0:1.3.2-4.fc41.a 100% | 12.2 MiB/s | 12.5 KiB | 00m00s [556/694] mesa-libglapi-0:24.2.4-1.fc42 100% | 20.8 MiB/s | 63.8 KiB | 00m00s [557/694] giflib-0:5.2.2-2.fc41.aarch64 100% | 12.8 MiB/s | 52.6 KiB | 00m00s [558/694] perl-Business-ISBN-Data-0:202 100% | 11.0 MiB/s | 45.2 KiB | 00m00s [559/694] perl-subs-0:1.04-511.fc41.noa 100% | 3.8 MiB/s | 11.7 KiB | 00m00s [560/694] perl-Tie-Cycle-0:1.228-3.fc41 100% | 4.8 MiB/s | 19.5 KiB | 00m00s [561/694] perl-Clone-0:0.47-1.fc42.aarc 100% | 5.4 MiB/s | 22.1 KiB | 00m00s [562/694] perl-File-Find-Rule-0:0.34-29 100% | 6.3 MiB/s | 32.0 KiB | 00m00s [563/694] perl-Math-BigInt-1:2.0030.03- 100% | 27.6 MiB/s | 225.7 KiB | 00m00s [564/694] perl-Sys-Hostname-0:1.25-511. 100% | 2.4 MiB/s | 17.4 KiB | 00m00s [565/694] perl-Dist-CheckConflicts-0:0. 100% | 5.7 MiB/s | 23.1 KiB | 00m00s [566/694] perl-Params-ValidationCompile 100% | 9.1 MiB/s | 37.4 KiB | 00m00s [567/694] perl-Specio-0:0.48-7.fc41.noa 100% | 22.1 MiB/s | 158.1 KiB | 00m00s [568/694] perl-Try-Tiny-0:0.32-1.fc42.n 100% | 7.3 MiB/s | 37.6 KiB | 00m00s [569/694] perl-namespace-autoclean-0:0. 100% | 5.1 MiB/s | 26.1 KiB | 00m00s [570/694] perl-DateTime-Locale-0:1.43-1 100% | 99.3 MiB/s | 4.0 MiB | 00m00s [571/694] perl-DateTime-Format-Strptime 100% | 5.7 MiB/s | 40.8 KiB | 00m00s [572/694] perl-Class-Singleton-0:1.6-12 100% | 5.0 MiB/s | 25.5 KiB | 00m00s [573/694] perl-Params-Validate-0:1.31-9 100% | 11.0 MiB/s | 67.9 KiB | 00m00s [574/694] perl-DateTime-TimeZone-Tzfile 100% | 4.8 MiB/s | 19.5 KiB | 00m00s [575/694] perl-Module-Runtime-0:0.016-2 100% | 4.5 MiB/s | 23.1 KiB | 00m00s [576/694] perl-ExtUtils-MM-Utils-2:7.70 100% | 2.3 MiB/s | 11.6 KiB | 00m00s [577/694] perl-Locale-Maketext-Simple-1 100% | 4.3 MiB/s | 17.6 KiB | 00m00s [578/694] perl-Module-Load-Conditional- 100% | 7.2 MiB/s | 22.0 KiB | 00m00s [579/694] mesa-dri-drivers-0:24.2.4-1.f 100% | 195.2 MiB/s | 19.9 MiB | 00m00s [580/694] perl-Params-Check-1:0.38-511. 100% | 1.0 MiB/s | 21.8 KiB | 00m00s [581/694] perl-Net-HTTP-0:6.23-5.fc41.n 100% | 2.1 MiB/s | 39.1 KiB | 00m00s [582/694] perl-List-SomeUtils-0:0.59-6. 100% | 11.0 MiB/s | 45.2 KiB | 00m00s [583/694] perl-List-UtilsBy-0:0.12-10.f 100% | 9.3 MiB/s | 28.5 KiB | 00m00s [584/694] perl-IPC-SysV-0:2.09-512.fc41 100% | 10.2 MiB/s | 41.6 KiB | 00m00s [585/694] perl-LDAP-1:0.68-14.fc41.noar 100% | 125.6 MiB/s | 385.9 KiB | 00m00s [586/694] perl-Log-Dispatch-FileRotate- 100% | 10.6 MiB/s | 32.4 KiB | 00m00s [587/694] perl-Log-Dispatch-0:2.71-5.fc 100% | 16.4 MiB/s | 83.9 KiB | 00m00s [588/694] perl-MIME-Charset-0:1.013.1-6 100% | 23.5 MiB/s | 48.1 KiB | 00m00s [589/694] perl-Safe-0:2.46-511.fc41.noa 100% | 12.1 MiB/s | 24.9 KiB | 00m00s [590/694] sombok-0:2.4.0-22.fc41.aarch6 100% | 23.1 MiB/s | 47.2 KiB | 00m00s [591/694] perl-XML-NamespaceSupport-0:1 100% | 12.5 MiB/s | 25.6 KiB | 00m00s [592/694] perl-XML-SAX-0:1.02-17.fc41.n 100% | 30.4 MiB/s | 62.4 KiB | 00m00s [593/694] perl-XML-SAX-Base-0:1.09-24.f 100% | 31.3 MiB/s | 32.1 KiB | 00m00s [594/694] perl-Encode-Locale-0:1.05-30. 100% | 18.2 MiB/s | 18.6 KiB | 00m00s [595/694] perl-autodie-0:2.37-512.fc41. 100% | 47.3 MiB/s | 96.9 KiB | 00m00s [596/694] perl-File-Listing-0:6.16-4.fc 100% | 12.0 MiB/s | 24.7 KiB | 00m00s [597/694] perl-HTML-Parser-0:3.83-1.fc4 100% | 30.3 MiB/s | 124.0 KiB | 00m00s [598/694] perl-HTTP-Cookies-0:6.11-4.fc 100% | 12.2 MiB/s | 37.3 KiB | 00m00s [599/694] perl-HTTP-Date-0:6.06-5.fc41. 100% | 7.9 MiB/s | 24.4 KiB | 00m00s [600/694] perl-HTTP-Negotiate-0:6.01-39 100% | 19.1 MiB/s | 19.6 KiB | 00m00s [601/694] perl-HTTP-Message-0:7.00-1.fc 100% | 49.1 MiB/s | 100.6 KiB | 00m00s [602/694] perl-LWP-MediaTypes-0:6.04-19 100% | 16.2 MiB/s | 33.1 KiB | 00m00s [603/694] perl-Module-Load-1:0.36-511.f 100% | 8.5 MiB/s | 17.4 KiB | 00m00s [604/694] perl-NTLM-0:1.09-39.fc41.noar 100% | 10.6 MiB/s | 21.7 KiB | 00m00s [605/694] perl-WWW-RobotRules-0:6.02-40 100% | 3.8 MiB/s | 19.7 KiB | 00m00s [606/694] libksba-0:1.6.7-2.fc41.aarch6 100% | 51.1 MiB/s | 157.1 KiB | 00m00s [607/694] npth-0:1.7-2.fc41.aarch64 100% | 12.3 MiB/s | 25.2 KiB | 00m00s [608/694] libgcrypt-0:1.11.0-3.fc41.aar 100% | 70.9 MiB/s | 508.2 KiB | 00m00s [609/694] perl-encoding-4:3.00-511.fc41 100% | 30.9 MiB/s | 63.2 KiB | 00m00s [610/694] libpciaccess-0:0.16-13.fc41.a 100% | 26.1 MiB/s | 26.7 KiB | 00m00s [611/694] tpm2-tss-0:4.1.3-3.fc41.aarch 100% | 56.3 MiB/s | 403.5 KiB | 00m00s [612/694] lm_sensors-libs-0:3.6.0-20.fc 100% | 13.3 MiB/s | 40.8 KiB | 00m00s [613/694] mesa-filesystem-0:24.2.4-1.fc 100% | 6.9 MiB/s | 21.2 KiB | 00m00s [614/694] mesa-libgbm-0:24.2.4-1.fc42.a 100% | 9.6 MiB/s | 49.4 KiB | 00m00s [615/694] perl-Number-Compare-0:0.03-39 100% | 2.9 MiB/s | 11.9 KiB | 00m00s [616/694] perl-Text-Glob-0:0.11-24.fc41 100% | 2.2 MiB/s | 13.4 KiB | 00m00s [617/694] perl-Math-Complex-0:1.62-511. 100% | 9.0 MiB/s | 46.0 KiB | 00m00s [618/694] perl-File-ShareDir-0:1.118-12 100% | 7.3 MiB/s | 29.8 KiB | 00m00s [619/694] perl-Eval-Closure-0:0.14-24.f 100% | 7.7 MiB/s | 23.8 KiB | 00m00s [620/694] perl-Exception-Class-0:1.45-1 100% | 10.6 MiB/s | 43.6 KiB | 00m00s [621/694] perl-Devel-StackTrace-1:2.05- 100% | 7.5 MiB/s | 30.6 KiB | 00m00s [622/694] perl-MRO-Compat-0:0.15-10.fc4 100% | 6.2 MiB/s | 25.4 KiB | 00m00s [623/694] perl-Ref-Util-0:0.204-21.fc41 100% | 3.8 MiB/s | 23.5 KiB | 00m00s [624/694] perl-Role-Tiny-0:2.002004-12. 100% | 7.8 MiB/s | 31.9 KiB | 00m00s [625/694] perl-XString-0:0.005-14.fc41. 100% | 7.5 MiB/s | 23.1 KiB | 00m00s [626/694] perl-version-9:0.99.33-1.fc42 100% | 15.3 MiB/s | 62.5 KiB | 00m00s [627/694] perl-B-Hooks-EndOfScope-0:0.2 100% | 8.4 MiB/s | 43.2 KiB | 00m00s [628/694] perl-namespace-clean-0:0.27-2 100% | 6.7 MiB/s | 34.2 KiB | 00m00s [629/694] perl-Module-Implementation-0: 100% | 4.7 MiB/s | 19.1 KiB | 00m00s [630/694] perl-Date-ISO8601-0:0.005-21. 100% | 6.2 MiB/s | 19.2 KiB | 00m00s [631/694] perl-DateTime-TimeZone-System 100% | 5.5 MiB/s | 22.5 KiB | 00m00s [632/694] perl-Params-Classify-0:0.015- 100% | 7.6 MiB/s | 31.0 KiB | 00m00s [633/694] perl-Locale-Maketext-0:1.33-5 100% | 13.1 MiB/s | 93.8 KiB | 00m00s [634/694] perl-Module-CoreList-1:5.2024 100% | 12.7 MiB/s | 90.7 KiB | 00m00s [635/694] perl-Module-Metadata-0:1.0000 100% | 4.3 MiB/s | 35.3 KiB | 00m00s [636/694] perl-Compress-Raw-Zlib-0:2.21 100% | 8.9 MiB/s | 63.8 KiB | 00m00s [637/694] perl-Authen-SASL-0:2.1700-4.f 100% | 15.1 MiB/s | 62.0 KiB | 00m00s [638/694] perl-IO-Compress-0:2.213-1.fc 100% | 37.3 MiB/s | 305.5 KiB | 00m00s [639/694] perl-Convert-ASN1-0:0.34-4.fc 100% | 14.5 MiB/s | 59.3 KiB | 00m00s [640/694] perl-JSON-0:4.10-6.fc41.noarc 100% | 13.2 MiB/s | 94.9 KiB | 00m00s [641/694] perl-Text-Soundex-0:3.05-32.f 100% | 9.8 MiB/s | 30.2 KiB | 00m00s [642/694] perl-Devel-GlobalDestruction- 100% | 5.6 MiB/s | 17.1 KiB | 00m00s [643/694] perl-MIME-Lite-0:3.033-11.fc4 100% | 13.1 MiB/s | 94.0 KiB | 00m00s [644/694] perl-Mail-Sender-1:0.903-25.f 100% | 9.9 MiB/s | 50.5 KiB | 00m00s [645/694] perl-Mail-Sendmail-0:0.80-21. 100% | 7.0 MiB/s | 35.8 KiB | 00m00s [646/694] perl-Sys-Syslog-0:0.36-512.fc 100% | 15.3 MiB/s | 47.0 KiB | 00m00s [647/694] perl-MailTools-0:2.21-17.fc41 100% | 13.2 MiB/s | 107.7 KiB | 00m00s [648/694] llvm-libs-0:19.1.0-1.fc42.aar 100% | 224.2 MiB/s | 29.4 MiB | 00m00s [649/694] perl-Opcode-0:1.65-511.fc41.a 100% | 1.2 MiB/s | 35.9 KiB | 00m00s [650/694] perl-Date-Manip-0:6.95-2.fc41 100% | 33.5 MiB/s | 1.1 MiB | 00m00s [651/694] perl-IPC-System-Simple-0:1.30 100% | 12.6 MiB/s | 38.7 KiB | 00m00s [652/694] perl-Tie-RefHash-0:1.41-1.fc4 100% | 7.6 MiB/s | 23.4 KiB | 00m00s [653/694] perl-HTML-Tagset-0:3.24-2.fc4 100% | 9.0 MiB/s | 18.4 KiB | 00m00s [654/694] perl-TimeDate-1:2.33-15.fc41. 100% | 28.1 MiB/s | 57.6 KiB | 00m00s [655/694] perl-IO-HTML-0:1.004-13.fc41. 100% | 9.0 MiB/s | 27.6 KiB | 00m00s [656/694] mailcap-0:2.1.54-7.fc41.noarc 100% | 16.8 MiB/s | 34.4 KiB | 00m00s [657/694] perl-Digest-HMAC-0:1.04-11.fc 100% | 10.8 MiB/s | 22.1 KiB | 00m00s [658/694] json-c-0:0.17-4.fc41.aarch64 100% | 22.0 MiB/s | 45.1 KiB | 00m00s [659/694] perl-Filter-2:1.64-512.fc41.a 100% | 28.5 MiB/s | 87.5 KiB | 00m00s [660/694] libedit-0:3.1-53.20240808cvs. 100% | 34.4 MiB/s | 105.7 KiB | 00m00s [661/694] hwdata-0:0.388-1.fc42.noarch 100% | 231.3 MiB/s | 1.6 MiB | 00m00s [662/694] libwayland-server-0:1.23.0-2. 100% | 8.1 MiB/s | 41.6 KiB | 00m00s [663/694] perl-Class-Inspector-0:1.36-1 100% | 7.4 MiB/s | 30.4 KiB | 00m00s [664/694] perl-Devel-LexAlias-0:0.05-38 100% | 8.1 MiB/s | 16.5 KiB | 00m00s [665/694] perltidy-0:20240903-1.fc42.no 100% | 155.9 MiB/s | 798.2 KiB | 00m00s [666/694] perl-Class-Data-Inheritable-0 100% | 3.1 MiB/s | 12.8 KiB | 00m00s [667/694] perl-Ref-Util-XS-0:0.117-24.f 100% | 5.7 MiB/s | 23.5 KiB | 00m00s [668/694] perl-Class-Method-Modifiers-0 100% | 24.4 MiB/s | 50.0 KiB | 00m00s [669/694] perl-Hash-Util-FieldHash-0:1. 100% | 19.0 MiB/s | 39.0 KiB | 00m00s [670/694] perl-Sub-Exporter-Progressive 100% | 6.8 MiB/s | 21.0 KiB | 00m00s [671/694] perl-Variable-Magic-0:0.64-3. 100% | 53.6 MiB/s | 54.8 KiB | 00m00s [672/694] perl-Package-Stash-0:0.40-8.f 100% | 15.9 MiB/s | 32.6 KiB | 00m00s [673/694] perl-Devel-CallChecker-0:0.00 100% | 11.8 MiB/s | 24.3 KiB | 00m00s [674/694] perl-I18N-LangTags-0:0.45-511 100% | 17.1 MiB/s | 52.4 KiB | 00m00s [675/694] perl-Compress-Raw-Bzip2-0:2.2 100% | 17.6 MiB/s | 36.0 KiB | 00m00s [676/694] perl-GSSAPI-0:0.28-47.fc41.aa 100% | 26.8 MiB/s | 55.0 KiB | 00m00s [677/694] perl-Tie-0:4.6-511.fc41.noarc 100% | 13.5 MiB/s | 27.7 KiB | 00m00s [678/694] perl-deprecate-0:0.04-511.fc4 100% | 7.1 MiB/s | 14.5 KiB | 00m00s [679/694] perl-Email-Date-Format-0:1.00 100% | 4.6 MiB/s | 18.9 KiB | 00m00s [680/694] perl-Net-SMTP-SSL-0:1.04-25.f 100% | 5.7 MiB/s | 11.7 KiB | 00m00s [681/694] perl-MIME-Types-0:2.26-2.fc41 100% | 17.2 MiB/s | 70.5 KiB | 00m00s [682/694] perl-Digest-SHA-1:6.04-512.fc 100% | 30.5 MiB/s | 62.4 KiB | 00m00s [683/694] perl-Devel-Caller-0:2.07-7.fc 100% | 6.3 MiB/s | 19.3 KiB | 00m00s [684/694] perl-English-0:1.11-511.fc41. 100% | 4.4 MiB/s | 13.6 KiB | 00m00s [685/694] perl-Pod-Html-0:1.35-511.fc41 100% | 14.4 MiB/s | 29.4 KiB | 00m00s [686/694] perl-Package-Stash-XS-0:0.30- 100% | 17.2 MiB/s | 35.1 KiB | 00m00s [687/694] perl-Sub-Exporter-0:0.991-4.f 100% | 25.3 MiB/s | 77.7 KiB | 00m00s [688/694] perl-DynaLoader-Functions-0:0 100% | 9.3 MiB/s | 19.0 KiB | 00m00s [689/694] perl-PadWalker-0:2.5-15.fc41. 100% | 26.5 MiB/s | 27.2 KiB | 00m00s [690/694] perl-Data-OptList-0:0.114-5.f 100% | 13.2 MiB/s | 26.9 KiB | 00m00s [691/694] perl-Package-Generator-0:1.10 100% | 7.3 MiB/s | 22.5 KiB | 00m00s [692/694] perl-Params-Util-0:1.102-16.f 100% | 15.9 MiB/s | 32.5 KiB | 00m00s [693/694] perl-Sub-Install-0:0.929-6.fc 100% | 11.2 MiB/s | 22.9 KiB | 00m00s [694/694] xpdf-libs-1:4.05-5.fc41.aarch 100% | 139.1 MiB/s | 569.8 KiB | 00m00s -------------------------------------------------------------------------------- [694/694] Total 100% | 198.2 MiB/s | 365.5 MiB | 00m02s Running transaction [ 1/696] Verify package files 100% | 416.0 B/s | 694.0 B | 00m02s [ 2/696] Prepare transaction 100% | 2.1 KiB/s | 694.0 B | 00m00s [ 3/696] Installing texlive-base-11:20 100% | 422.7 MiB/s | 19.0 MiB | 00m00s [ 4/696] Installing texlive-lib-11:202 100% | 267.8 MiB/s | 1.6 MiB | 00m00s [ 5/696] Installing libpng-2:1.6.44-1. 100% | 163.7 MiB/s | 335.2 KiB | 00m00s [ 6/696] Installing expat-0:2.6.3-1.fc 100% | 264.5 MiB/s | 541.7 KiB | 00m00s [ 7/696] Installing libjpeg-turbo-0:3. 100% | 259.9 MiB/s | 798.5 KiB | 00m00s [ 8/696] Installing nspr-0:4.35.0-29.f 100% | 241.7 MiB/s | 742.6 KiB | 00m00s [ 9/696] Installing libgpg-error-0:1.5 100% | 224.9 MiB/s | 1.1 MiB | 00m00s [ 10/696] Installing fonts-filesystem-1 100% | 0.0 B/s | 788.0 B | 00m00s [ 11/696] Installing urw-base35-fonts-c 100% | 37.5 MiB/s | 38.4 KiB | 00m00s [ 12/696] Installing libwebp-0:1.4.0-4. 100% | 309.7 MiB/s | 1.2 MiB | 00m00s [ 13/696] Installing nss-util-0:3.104.0 100% | 338.0 MiB/s | 346.1 KiB | 00m00s [ 14/696] Installing libassuan-0:2.5.7- 100% | 275.1 MiB/s | 281.7 KiB | 00m00s [ 15/696] Installing openjpeg-0:2.5.2-4 100% | 263.5 MiB/s | 539.7 KiB | 00m00s [ 16/696] Installing libpaper-1:2.1.1-7 100% | 221.3 MiB/s | 226.6 KiB | 00m00s [ 17/696] Installing libmpc-0:1.3.1-6.f 100% | 275.7 MiB/s | 282.3 KiB | 00m00s [ 18/696] Installing libxshmfence-0:1.3 100% | 191.7 MiB/s | 196.3 KiB | 00m00s [ 19/696] Installing libX11-xcb-0:1.8.1 100% | 191.3 MiB/s | 195.9 KiB | 00m00s [ 20/696] Installing libglvnd-1:1.7.0-5 100% | 485.0 MiB/s | 1.5 MiB | 00m00s [ 21/696] Installing libxslt-0:1.1.42-2 100% | 283.3 MiB/s | 870.3 KiB | 00m00s [ 22/696] Installing libICE-0:1.1.1-4.f 100% | 134.0 MiB/s | 274.5 KiB | 00m00s [ 23/696] Installing zziplib-0:0.13.74- 100% | 301.7 MiB/s | 926.9 KiB | 00m00s [ 24/696] Installing lcms2-0:2.16-4.fc4 100% | 237.6 MiB/s | 486.5 KiB | 00m00s [ 25/696] Installing jbig2dec-libs-0:0. 100% | 147.8 MiB/s | 302.7 KiB | 00m00s [ 26/696] Installing adobe-mappings-cma 100% | 313.4 MiB/s | 14.4 MiB | 00m00s [ 27/696] Installing graphite2-0:1.3.14 100% | 243.2 MiB/s | 498.0 KiB | 00m00s [ 28/696] Installing m4-0:1.4.19-10.fc4 100% | 131.8 MiB/s | 675.0 KiB | 00m00s [ 29/696] Installing adobe-mappings-cma 100% | 190.5 MiB/s | 585.2 KiB | 00m00s [ 30/696] Installing libSM-0:1.2.4-4.fc 100% | 248.8 MiB/s | 254.7 KiB | 00m00s [ 31/696] Installing libglvnd-opengl-1: 100% | 447.2 MiB/s | 457.9 KiB | 00m00s [ 32/696] Installing cpp-0:14.2.1-4.fc4 100% | 299.9 MiB/s | 31.8 MiB | 00m00s [ 33/696] Installing nss-softokn-freebl 100% | 227.8 MiB/s | 933.0 KiB | 00m00s [ 34/696] Installing nss-softokn-0:3.10 100% | 389.0 MiB/s | 2.7 MiB | 00m00s [ 35/696] Installing nss-sysinit-0:3.10 100% | 194.7 MiB/s | 199.4 KiB | 00m00s [ 36/696] Installing nss-0:3.104.0-1.fc 100% | 154.5 MiB/s | 2.2 MiB | 00m00s [ 37/696] Installing urw-base35-bookman 100% | 91.0 MiB/s | 1.4 MiB | 00m00s [ 38/696] Installing urw-base35-c059-fo 100% | 126.8 MiB/s | 1.4 MiB | 00m00s [ 39/696] Installing urw-base35-d050000 100% | 11.9 MiB/s | 85.4 KiB | 00m00s [ 40/696] Installing urw-base35-gothic- 100% | 105.7 MiB/s | 1.2 MiB | 00m00s [ 41/696] Installing urw-base35-nimbus- 100% | 105.2 MiB/s | 1.1 MiB | 00m00s [ 42/696] Installing urw-base35-nimbus- 100% | 124.2 MiB/s | 1.4 MiB | 00m00s [ 43/696] Installing urw-base35-nimbus- 100% | 171.0 MiB/s | 2.4 MiB | 00m00s [ 44/696] Installing urw-base35-p052-fo 100% | 135.2 MiB/s | 1.5 MiB | 00m00s [ 45/696] Installing urw-base35-standar 100% | 9.2 MiB/s | 66.0 KiB | 00m00s [ 46/696] Installing urw-base35-z003-fo 100% | 47.8 MiB/s | 391.8 KiB | 00m00s [ 47/696] Installing urw-base35-fonts-0 100% | 5.5 MiB/s | 5.6 KiB | 00m00s [ 48/696] Installing google-droid-sans- 100% | 272.1 MiB/s | 6.3 MiB | 00m00s [ 49/696] Installing abattis-cantarell- 100% | 94.9 MiB/s | 194.4 KiB | 00m00s [ 50/696] Installing libgcrypt-0:1.11.0 100% | 238.5 MiB/s | 1.2 MiB | 00m00s [ 51/696] Installing libksba-0:1.6.7-2. 100% | 258.3 MiB/s | 529.0 KiB | 00m00s [ 52/696] Installing graphviz-libs-0:12 100% | 325.9 MiB/s | 2.0 MiB | 00m00s [ 53/696] Installing teckit-0:2.5.12-3. 100% | 340.7 MiB/s | 2.7 MiB | 00m00s [ 54/696] Installing annobin-docs-0:12. 100% | 96.5 MiB/s | 98.8 KiB | 00m00s [ 55/696] Installing xpdf-libs-1:4.05-5 100% | 361.1 MiB/s | 2.2 MiB | 00m00s [ 56/696] Installing libwayland-server- 100% | 195.2 MiB/s | 199.9 KiB | 00m00s [ 57/696] Installing libedit-0:3.1-53.2 100% | 168.9 MiB/s | 345.8 KiB | 00m00s [ 58/696] Installing llvm-libs-0:19.1.0 100% | 343.9 MiB/s | 115.5 MiB | 00m00s [ 59/696] Installing hwdata-0:0.388-1.f 100% | 421.8 MiB/s | 9.3 MiB | 00m00s [ 60/696] Installing libpciaccess-0:0.1 100% | 197.4 MiB/s | 202.1 KiB | 00m00s [ 61/696] Installing libdrm-0:2.4.123-1 100% | 324.6 MiB/s | 1.6 MiB | 00m00s [ 62/696] Installing json-c-0:0.17-4.fc 100% | 11.1 MiB/s | 203.7 KiB | 00m00s [ 63/696] Installing tpm2-tss-0:4.1.3-3 100% | 326.7 MiB/s | 3.6 MiB | 00m00s [ 64/696] Installing mailcap-0:2.1.54-7 100% | 85.0 MiB/s | 87.1 KiB | 00m00s [ 65/696] Installing mesa-filesystem-0: 100% | 0.0 B/s | 4.3 KiB | 00m00s [ 66/696] Installing lm_sensors-libs-0: 100% | 217.8 MiB/s | 223.1 KiB | 00m00s [ 67/696] Installing ncurses-0:6.5-2.20 100% | 337.8 MiB/s | 1.7 MiB | 00m00s [ 68/696] Installing npth-0:1.7-2.fc41. 100% | 217.5 MiB/s | 222.7 KiB | 00m00s [ 69/696] Installing giflib-0:5.2.2-2.f 100% | 255.8 MiB/s | 261.9 KiB | 00m00s [ 70/696] Installing libicu-0:74.2-2.fc 100% | 320.7 MiB/s | 35.6 MiB | 00m00s [ 71/696] Installing groff-base-0:1.23. 100% | 185.7 MiB/s | 5.2 MiB | 00m00s [ 72/696] Installing perl-Digest-0:1.20 100% | 36.2 MiB/s | 37.1 KiB | 00m00s [ 73/696] Installing perl-FileHandle-0: 100% | 9.5 MiB/s | 9.8 KiB | 00m00s [ 74/696] Installing perl-B-0:1.89-511. 100% | 198.4 MiB/s | 609.4 KiB | 00m00s [ 75/696] Installing perl-Digest-MD5-0: 100% | 114.1 MiB/s | 233.8 KiB | 00m00s [ 76/696] Installing perl-Data-Dumper-0 100% | 129.7 MiB/s | 265.7 KiB | 00m00s [ 77/696] Installing perl-MIME-Base32-0 100% | 31.4 MiB/s | 32.2 KiB | 00m00s [ 78/696] Installing perl-libnet-0:3.15 100% | 143.9 MiB/s | 294.7 KiB | 00m00s [ 79/696] Installing perl-AutoLoader-0: 100% | 0.0 B/s | 20.9 KiB | 00m00s [ 80/696] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.5 KiB | 00m00s [ 81/696] Installing perl-URI-0:5.30-1. 100% | 65.8 MiB/s | 269.5 KiB | 00m00s [ 82/696] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 83/696] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.9 KiB | 00m00s [ 84/696] Installing perl-locale-0:1.12 100% | 0.0 B/s | 6.9 KiB | 00m00s [ 85/696] Installing perl-Mozilla-CA-0: 100% | 11.1 MiB/s | 11.3 KiB | 00m00s [ 86/696] Installing perl-if-0:0.61.000 100% | 6.1 MiB/s | 6.2 KiB | 00m00s [ 87/696] Installing perl-Net-SSLeay-0: 100% | 179.1 MiB/s | 1.4 MiB | 00m00s [ 88/696] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.6 KiB | 00m00s [ 89/696] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 90/696] Installing perl-IO-Socket-SSL 100% | 230.3 MiB/s | 707.4 KiB | 00m00s [ 91/696] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 92/696] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.1 KiB | 00m00s [ 93/696] Installing perl-POSIX-0:2.20- 100% | 320.8 MiB/s | 328.5 KiB | 00m00s [ 94/696] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 95/696] Installing perl-Term-ANSIColo 100% | 96.9 MiB/s | 99.2 KiB | 00m00s [ 96/696] Installing perl-Term-Cap-0:1. 100% | 29.9 MiB/s | 30.6 KiB | 00m00s [ 97/696] Installing perl-Pod-Simple-1: 100% | 185.7 MiB/s | 570.5 KiB | 00m00s [ 98/696] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 99/696] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [100/696] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [101/696] Installing perl-Socket-4:2.03 100% | 133.9 MiB/s | 274.1 KiB | 00m00s [102/696] Installing perl-File-stat-0:1 100% | 0.0 B/s | 13.1 KiB | 00m00s [103/696] Installing perl-podlators-1:6 100% | 157.0 MiB/s | 321.4 KiB | 00m00s [104/696] Installing perl-Pod-Perldoc-0 100% | 82.6 MiB/s | 169.3 KiB | 00m00s [105/696] Installing perl-Text-ParseWor 100% | 0.0 B/s | 14.6 KiB | 00m00s [106/696] Installing perl-base-0:2.27-5 100% | 0.0 B/s | 12.9 KiB | 00m00s [107/696] Installing perl-Fcntl-0:1.18- 100% | 217.0 MiB/s | 222.2 KiB | 00m00s [108/696] Installing perl-mro-0:1.29-51 100% | 205.9 MiB/s | 210.8 KiB | 00m00s [109/696] Installing perl-overloading-0 100% | 0.0 B/s | 5.5 KiB | 00m00s [110/696] Installing perl-IO-0:1.55-511 100% | 157.9 MiB/s | 323.4 KiB | 00m00s [111/696] Installing perl-Pod-Usage-4:2 100% | 84.3 MiB/s | 86.3 KiB | 00m00s [112/696] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [113/696] Installing perl-Scalar-List-U 100% | 137.7 MiB/s | 282.0 KiB | 00m00s [114/696] Installing perl-Getopt-Std-0: 100% | 0.0 B/s | 11.7 KiB | 00m00s [115/696] Installing perl-MIME-Base64-0 100% | 219.2 MiB/s | 224.4 KiB | 00m00s [116/696] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [117/696] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.7 KiB | 00m00s [118/696] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [119/696] Installing perl-Errno-0:1.38- 100% | 0.0 B/s | 8.8 KiB | 00m00s [120/696] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [121/696] Installing perl-Storable-1:3. 100% | 182.6 MiB/s | 374.1 KiB | 00m00s [122/696] Installing perl-Getopt-Long-1 100% | 143.8 MiB/s | 147.2 KiB | 00m00s [123/696] Installing perl-Exporter-0:5. 100% | 54.3 MiB/s | 55.6 KiB | 00m00s [124/696] Installing perl-Carp-0:1.54-5 100% | 46.6 MiB/s | 47.7 KiB | 00m00s [125/696] Installing perl-PathTools-0:3 100% | 174.1 MiB/s | 356.6 KiB | 00m00s [126/696] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [127/696] Installing perl-Encode-4:3.21 100% | 310.9 MiB/s | 5.9 MiB | 00m00s [128/696] Installing perl-libs-4:5.40.0 100% | 219.2 MiB/s | 11.0 MiB | 00m00s [129/696] Installing perl-interpreter-4 100% | 148.4 MiB/s | 304.0 KiB | 00m00s [130/696] Installing perl-File-Find-0:1 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [131/696] Installing perl-Try-Tiny-0:0. 100% | 34.7 MiB/s | 71.1 KiB | 00m00s [132/696] Installing perl-Unicode-Norma 100% | 278.3 MiB/s | 569.9 KiB | 00m00s [133/696] Installing perl-subs-0:1.04-5 100% | 0.0 B/s | 2.5 KiB | 00m00s [134/696] Installing perl-Module-Runtim 100% | 30.7 MiB/s | 31.5 KiB | 00m00s [135/696] Installing perl-version-9:0.9 100% | 142.4 MiB/s | 291.7 KiB | 00m00s [136/696] Installing perl-Dist-CheckCon 100% | 32.3 MiB/s | 33.1 KiB | 00m00s [137/696] Installing perl-Module-Implem 100% | 25.5 MiB/s | 26.1 KiB | 00m00s [138/696] Installing perl-File-Copy-0:2 100% | 0.0 B/s | 20.2 KiB | 00m00s [139/696] Installing perl-Time-HiRes-4: 100% | 275.4 MiB/s | 282.0 KiB | 00m00s [140/696] Installing perl-Sys-Hostname- 100% | 196.7 MiB/s | 201.4 KiB | 00m00s [141/696] Installing perl-LWP-MediaType 100% | 78.6 MiB/s | 80.5 KiB | 00m00s [142/696] Installing perl-Compress-Raw- 100% | 141.4 MiB/s | 289.7 KiB | 00m00s [143/696] Installing perl-Data-Dump-0:1 100% | 25.5 MiB/s | 52.2 KiB | 00m00s [144/696] Installing perl-Text-Unidecod 100% | 60.0 MiB/s | 491.8 KiB | 00m00s [145/696] Installing perl-Text-Balanced 100% | 110.1 MiB/s | 112.7 KiB | 00m00s [146/696] Installing perl-I18N-Langinfo 100% | 211.2 MiB/s | 216.3 KiB | 00m00s [147/696] Installing perl-Encode-Locale 100% | 19.6 MiB/s | 20.1 KiB | 00m00s [148/696] Installing perl-Clone-0:0.47- 100% | 205.4 MiB/s | 210.3 KiB | 00m00s [149/696] Installing perl-XML-Namespace 100% | 45.0 MiB/s | 46.1 KiB | 00m00s [150/696] Installing perl-XML-SAX-Base- 100% | 170.4 MiB/s | 174.5 KiB | 00m00s [151/696] Installing perl-Module-Load-1 100% | 15.5 MiB/s | 15.9 KiB | 00m00s [152/696] Installing perl-Devel-StackTr 100% | 49.4 MiB/s | 50.6 KiB | 00m00s [153/696] Installing perl-Date-ISO8601- 100% | 24.9 MiB/s | 25.5 KiB | 00m00s [154/696] Installing perl-TimeDate-1:2. 100% | 50.6 MiB/s | 103.7 KiB | 00m00s [155/696] Installing perl-HTTP-Date-0:6 100% | 41.6 MiB/s | 42.6 KiB | 00m00s [156/696] Installing perl-Params-Util-0 100% | 113.9 MiB/s | 233.2 KiB | 00m00s [157/696] Installing perl-Sub-Install-0 100% | 36.3 MiB/s | 37.2 KiB | 00m00s [158/696] Installing perl-Data-OptList- 100% | 51.0 MiB/s | 52.2 KiB | 00m00s [159/696] Installing perl-File-Listing- 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [160/696] Installing perl-Parse-RecDesc 100% | 130.7 MiB/s | 535.4 KiB | 00m00s [161/696] Installing perl-Mail-Sendmail 100% | 65.0 MiB/s | 66.5 KiB | 00m00s [162/696] Installing perl-Params-Valida 100% | 142.8 MiB/s | 292.4 KiB | 00m00s [163/696] Installing perl-List-SomeUtil 100% | 106.4 MiB/s | 109.0 KiB | 00m00s [164/696] Installing perl-Module-CoreLi 100% | 582.9 MiB/s | 1.2 MiB | 00m00s [165/696] Installing perl-Module-Metada 100% | 67.4 MiB/s | 69.0 KiB | 00m00s [166/696] Installing perl-Business-ISSN 100% | 21.6 MiB/s | 22.1 KiB | 00m00s [167/696] Installing perl-Opcode-0:1.65 100% | 216.8 MiB/s | 222.0 KiB | 00m00s [168/696] Installing perl-Safe-0:2.46-5 100% | 30.3 MiB/s | 31.0 KiB | 00m00s [169/696] Installing perl-Text-BibTeX-0 100% | 259.5 MiB/s | 1.3 MiB | 00m00s [170/696] Installing perl-Unicode-Colla 100% | 317.8 MiB/s | 4.4 MiB | 00m00s [171/696] Installing perl-Unicode-UCD-0 100% | 66.7 MiB/s | 205.0 KiB | 00m00s [172/696] Installing perl-Date-Manip-0: 100% | 283.4 MiB/s | 11.1 MiB | 00m00s [173/696] Installing perl-Lingua-Transl 100% | 175.1 MiB/s | 179.3 KiB | 00m00s [174/696] Installing perl-Digest-SHA-1: 100% | 130.4 MiB/s | 267.2 KiB | 00m00s [175/696] Installing perl-Digest-HMAC-0 100% | 29.4 MiB/s | 30.1 KiB | 00m00s [176/696] Installing perl-NTLM-0:1.09-3 100% | 31.9 MiB/s | 32.7 KiB | 00m00s [177/696] Installing perl-Pod-Html-0:1. 100% | 42.7 MiB/s | 43.8 KiB | 00m00s [178/696] Installing perl-meta-notation 100% | 0.0 B/s | 2.3 KiB | 00m00s [179/696] Installing perl-sigtrap-0:1.1 100% | 0.0 B/s | 11.4 KiB | 00m00s [180/696] Installing perl-Class-Accesso 100% | 41.9 MiB/s | 42.9 KiB | 00m00s [181/696] Installing perl-File-Slurper- 100% | 29.3 MiB/s | 30.0 KiB | 00m00s [182/696] Installing perl-IO-String-0:1 100% | 17.4 MiB/s | 17.8 KiB | 00m00s [183/696] Installing perl-IPC-Run3-0:0. 100% | 61.8 MiB/s | 63.3 KiB | 00m00s [184/696] Installing perl-Regexp-Common 100% | 154.3 MiB/s | 473.9 KiB | 00m00s [185/696] Installing perl-Sort-Key-0:1. 100% | 119.9 MiB/s | 245.5 KiB | 00m00s [186/696] Installing perl-Text-CSV-0:2. 100% | 341.2 MiB/s | 349.4 KiB | 00m00s [187/696] Installing perl-Text-Roman-0: 100% | 8.5 MiB/s | 34.7 KiB | 00m00s [188/696] Installing perl-XML-Writer-0: 100% | 67.0 MiB/s | 68.6 KiB | 00m00s [189/696] Installing perl-autovivificat 100% | 218.5 MiB/s | 223.8 KiB | 00m00s [190/696] Installing perl-Business-ISBN 100% | 141.8 MiB/s | 290.4 KiB | 00m00s [191/696] Installing perl-Business-ISBN 100% | 54.4 MiB/s | 55.7 KiB | 00m00s [192/696] Installing perl-Tie-Cycle-0:1 100% | 24.0 MiB/s | 24.6 KiB | 00m00s [193/696] Installing perl-Business-ISMN 100% | 36.8 MiB/s | 37.7 KiB | 00m00s [194/696] Installing perl-Class-Singlet 100% | 40.4 MiB/s | 41.4 KiB | 00m00s [195/696] Installing perl-File-Compare- 100% | 0.0 B/s | 6.1 KiB | 00m00s [196/696] Installing perl-ExtUtils-MM-U 100% | 0.0 B/s | 3.7 KiB | 00m00s [197/696] Installing perl-List-UtilsBy- 100% | 55.8 MiB/s | 57.2 KiB | 00m00s [198/696] Installing perl-List-AllUtils 100% | 132.7 MiB/s | 135.9 KiB | 00m00s [199/696] Installing perl-IPC-SysV-0:2. 100% | 119.6 MiB/s | 244.9 KiB | 00m00s [200/696] Installing perl-MIME-Charset- 100% | 104.8 MiB/s | 107.3 KiB | 00m00s [201/696] Installing perl-WWW-RobotRule 100% | 25.2 MiB/s | 25.8 KiB | 00m00s [202/696] Installing perl-Number-Compar 100% | 0.0 B/s | 5.4 KiB | 00m00s [203/696] Installing perl-Text-Glob-0:0 100% | 0.0 B/s | 9.3 KiB | 00m00s [204/696] Installing perl-File-Find-Rul 100% | 43.4 MiB/s | 44.4 KiB | 00m00s [205/696] Installing perl-Data-Compare- 100% | 56.8 MiB/s | 58.1 KiB | 00m00s [206/696] Installing perl-Math-Complex- 100% | 83.8 MiB/s | 85.8 KiB | 00m00s [207/696] Installing perl-Math-BigInt-1 100% | 313.1 MiB/s | 961.8 KiB | 00m00s [208/696] Installing perl-Data-Uniqid-0 100% | 5.7 MiB/s | 5.8 KiB | 00m00s [209/696] Installing perl-JSON-0:4.10-6 100% | 266.6 MiB/s | 273.0 KiB | 00m00s [210/696] Installing perl-MRO-Compat-0: 100% | 43.8 MiB/s | 44.9 KiB | 00m00s [211/696] Installing perl-XString-0:0.0 100% | 213.8 MiB/s | 218.9 KiB | 00m00s [212/696] Installing perl-Convert-ASN1- 100% | 169.3 MiB/s | 173.4 KiB | 00m00s [213/696] Installing perl-Mail-Sender-1 100% | 158.6 MiB/s | 162.5 KiB | 00m00s [214/696] Installing perl-Sys-Syslog-0: 100% | 133.3 MiB/s | 273.1 KiB | 00m00s [215/696] Installing perl-IPC-System-Si 100% | 71.8 MiB/s | 73.5 KiB | 00m00s [216/696] Installing perl-Tie-RefHash-0 100% | 36.5 MiB/s | 37.4 KiB | 00m00s [217/696] Installing perl-autodie-0:2.3 100% | 107.0 MiB/s | 219.1 KiB | 00m00s [218/696] Installing perl-HTML-Tagset-0 100% | 0.0 B/s | 19.7 KiB | 00m00s [219/696] Installing perl-IO-HTML-0:1.0 100% | 45.7 MiB/s | 46.8 KiB | 00m00s [220/696] Installing perl-Filter-2:1.64 100% | 216.5 MiB/s | 886.9 KiB | 00m00s [221/696] Installing perl-encoding-4:3. 100% | 146.9 MiB/s | 150.4 KiB | 00m00s [222/696] Installing perl-open-0:1.13-5 100% | 0.0 B/s | 11.7 KiB | 00m00s [223/696] Installing perl-Class-Inspect 100% | 57.9 MiB/s | 59.3 KiB | 00m00s [224/696] Installing perl-File-ShareDir 100% | 57.8 MiB/s | 59.2 KiB | 00m00s [225/696] Installing perl-Class-Data-In 100% | 0.0 B/s | 7.0 KiB | 00m00s [226/696] Installing perl-Exception-Cla 100% | 92.4 MiB/s | 94.6 KiB | 00m00s [227/696] Installing perl-Ref-Util-XS-0 100% | 203.9 MiB/s | 208.8 KiB | 00m00s [228/696] Installing perl-Ref-Util-0:0. 100% | 32.4 MiB/s | 33.2 KiB | 00m00s [229/696] Installing perl-Class-Method- 100% | 53.5 MiB/s | 109.6 KiB | 00m00s [230/696] Installing perl-Role-Tiny-0:2 100% | 62.0 MiB/s | 63.5 KiB | 00m00s [231/696] Installing perl-Hash-Util-Fie 100% | 230.9 MiB/s | 236.4 KiB | 00m00s [232/696] Installing perl-Variable-Magi 100% | 139.0 MiB/s | 284.7 KiB | 00m00s [233/696] Installing perl-I18N-LangTags 100% | 81.6 MiB/s | 83.6 KiB | 00m00s [234/696] Installing perl-Locale-Makete 100% | 169.9 MiB/s | 173.9 KiB | 00m00s [235/696] Installing perl-Locale-Makete 100% | 0.0 B/s | 13.5 KiB | 00m00s [236/696] Installing perl-Params-Check- 100% | 27.9 MiB/s | 28.6 KiB | 00m00s [237/696] Installing perl-Module-Load-C 100% | 29.2 MiB/s | 29.9 KiB | 00m00s [238/696] Installing perl-IPC-Cmd-2:1.0 100% | 83.9 MiB/s | 85.9 KiB | 00m00s [239/696] Installing perl-Compress-Raw- 100% | 112.2 MiB/s | 229.8 KiB | 00m00s [240/696] Installing perl-IO-Compress-0 100% | 171.9 MiB/s | 1.0 MiB | 00m00s [241/696] Installing perl-HTTP-Message- 100% | 107.4 MiB/s | 219.9 KiB | 00m00s [242/696] Installing perl-HTML-Parser-0 100% | 139.3 MiB/s | 427.9 KiB | 00m00s [243/696] Installing perl-HTTP-Negotiat 100% | 28.0 MiB/s | 28.7 KiB | 00m00s [244/696] Installing perl-Net-HTTP-0:6. 100% | 75.4 MiB/s | 77.2 KiB | 00m00s [245/696] Installing perl-HTTP-Cookies- 100% | 73.9 MiB/s | 75.7 KiB | 00m00s [246/696] Installing perl-libwww-perl-0 100% | 129.5 MiB/s | 530.3 KiB | 00m00s [247/696] Installing perl-XML-Parser-0: 100% | 190.4 MiB/s | 779.8 KiB | 00m00s [248/696] Installing perl-XML-XPath-0:1 100% | 68.4 MiB/s | 210.1 KiB | 00m00s [249/696] Installing texlive-epstopdf-1 100% | 39.6 MiB/s | 40.6 KiB | 00m00s [250/696] Installing texlive-epstopdf-p 100% | 189.4 MiB/s | 388.0 KiB | 00m00s [251/696] Installing texlive-cm-11:svn5 100% | 125.9 MiB/s | 1.0 MiB | 00m00s [252/696] Installing texlive-etex-11:sv 100% | 41.7 MiB/s | 42.7 KiB | 00m00s [253/696] Installing texlive-hyph-utf8- 100% | 74.8 MiB/s | 76.6 KiB | 00m00s [254/696] Installing texlive-hyphen-bas 100% | 64.3 MiB/s | 65.9 KiB | 00m00s [255/696] Installing texlive-knuth-lib- 100% | 51.9 MiB/s | 106.3 KiB | 00m00s [256/696] Installing texlive-plain-11:s 100% | 111.1 MiB/s | 113.8 KiB | 00m00s [257/696] Installing texlive-tex-ini-fi 100% | 9.9 MiB/s | 10.1 KiB | 00m00s [258/696] Installing texlive-unicode-da 100% | 438.7 MiB/s | 3.1 MiB | 00m00s [259/696] Installing texlive-graphics-d 100% | 97.5 MiB/s | 99.9 KiB | 00m00s [260/696] Installing texlive-luatex-11: 100% | 381.4 MiB/s | 9.2 MiB | 00m00s [261/696] Installing texlive-gsftopk-11 100% | 203.7 MiB/s | 208.6 KiB | 00m00s [262/696] Installing texlive-texlive.in 100% | 201.4 MiB/s | 1.0 MiB | 00m00s [263/696] Installing texlive-texlive-sc 100% | 85.2 MiB/s | 349.1 KiB | 00m00s [264/696] Installing texlive-kpathsea-1 100% | 346.1 MiB/s | 2.8 MiB | 00m00s [265/696] Installing texlive-iftex-11:s 100% | 29.6 MiB/s | 30.3 KiB | 00m00s [266/696] Installing texlive-amsmath-11 100% | 262.4 MiB/s | 268.7 KiB | 00m00s [267/696] Installing texlive-kvoptions- 100% | 538.7 MiB/s | 551.6 KiB | 00m00s [268/696] Installing texlive-url-11:svn 100% | 0.0 B/s | 22.1 KiB | 00m00s [269/696] Installing texlive-ltxcmds-11 100% | 415.6 MiB/s | 425.5 KiB | 00m00s [270/696] Installing texlive-pdftexcmds 100% | 415.6 MiB/s | 425.6 KiB | 00m00s [271/696] Installing texlive-atbegshi-1 100% | 434.6 MiB/s | 445.1 KiB | 00m00s [272/696] Installing texlive-atveryend- 100% | 133.8 MiB/s | 411.2 KiB | 00m00s [273/696] Installing texlive-babel-11:s 100% | 44.4 MiB/s | 2.2 MiB | 00m00s [274/696] Installing texlive-etex-pkg-1 100% | 0.0 B/s | 19.0 KiB | 00m00s [275/696] Installing texlive-etoolbox-1 100% | 66.1 MiB/s | 67.7 KiB | 00m00s [276/696] Installing texlive-multido-11 100% | 19.2 MiB/s | 19.6 KiB | 00m00s [277/696] Installing texlive-latex-font 100% | 30.3 MiB/s | 124.2 KiB | 00m00s [278/696] Installing texlive-amsfonts-1 100% | 201.4 MiB/s | 6.0 MiB | 00m00s [279/696] Installing texlive-lm-11:svn6 100% | 395.4 MiB/s | 39.5 MiB | 00m00s [280/696] Installing texlive-auxhook-11 100% | 296.1 MiB/s | 303.2 KiB | 00m00s [281/696] Installing texlive-etexcmds-1 100% | 314.7 MiB/s | 322.3 KiB | 00m00s [282/696] Installing texlive-fancyhdr-1 100% | 31.9 MiB/s | 32.7 KiB | 00m00s [283/696] Installing texlive-varwidth-1 100% | 0.0 B/s | 20.3 KiB | 00m00s [284/696] Installing texlive-hypdoc-11: 100% | 351.1 MiB/s | 359.5 KiB | 00m00s [285/696] Installing texlive-marvosym-1 100% | 91.9 MiB/s | 188.3 KiB | 00m00s [286/696] Installing texlive-palatino-1 100% | 124.5 MiB/s | 1.1 MiB | 00m00s [287/696] Installing texlive-zapfding-1 100% | 40.4 MiB/s | 82.7 KiB | 00m00s [288/696] Installing texlive-bigintcalc 100% | 504.7 MiB/s | 516.8 KiB | 00m00s [289/696] Installing texlive-graphics-c 100% | 0.0 B/s | 3.9 KiB | 00m00s [290/696] Installing texlive-graphics-1 100% | 125.6 MiB/s | 128.7 KiB | 00m00s [291/696] Installing texlive-tools-11:s 100% | 134.0 MiB/s | 411.7 KiB | 00m00s [292/696] Installing texlive-xkeyval-11 100% | 69.9 MiB/s | 71.6 KiB | 00m00s [293/696] Installing texlive-geometry-1 100% | 49.1 MiB/s | 50.2 KiB | 00m00s [294/696] Installing texlive-colortbl-1 100% | 0.0 B/s | 21.8 KiB | 00m00s [295/696] Installing texlive-intcalc-11 100% | 461.7 MiB/s | 472.8 KiB | 00m00s [296/696] Installing texlive-kvsetkeys- 100% | 418.8 MiB/s | 428.8 KiB | 00m00s [297/696] Installing texlive-l3backend- 100% | 369.0 MiB/s | 1.1 MiB | 00m00s [298/696] Installing texlive-letltxmacr 100% | 305.3 MiB/s | 312.6 KiB | 00m00s [299/696] Installing texlive-natbib-11: 100% | 131.1 MiB/s | 134.2 KiB | 00m00s [300/696] Installing texlive-pdfescape- 100% | 368.3 MiB/s | 377.2 KiB | 00m00s [301/696] Installing texlive-uniquecoun 100% | 306.1 MiB/s | 313.5 KiB | 00m00s [302/696] Installing texlive-infwarerr- 100% | 300.3 MiB/s | 307.5 KiB | 00m00s [303/696] Installing texlive-fp-11:svn4 100% | 124.9 MiB/s | 127.9 KiB | 00m00s [304/696] Installing texlive-booktabs-1 100% | 0.0 B/s | 19.0 KiB | 00m00s [305/696] Installing texlive-footmisc-1 100% | 64.1 MiB/s | 65.6 KiB | 00m00s [306/696] Installing texlive-catchfile- 100% | 312.5 MiB/s | 320.0 KiB | 00m00s [307/696] Installing texlive-ifplatform 100% | 0.0 B/s | 13.5 KiB | 00m00s [308/696] Installing texlive-rerunfilec 100% | 354.8 MiB/s | 363.3 KiB | 00m00s [309/696] Installing texlive-carlisle-1 100% | 32.0 MiB/s | 32.8 KiB | 00m00s [310/696] Installing texlive-caption-11 100% | 445.6 MiB/s | 912.6 KiB | 00m00s [311/696] Installing texlive-csquotes-1 100% | 110.6 MiB/s | 113.3 KiB | 00m00s [312/696] Installing texlive-sauerj-11: 100% | 27.9 MiB/s | 28.6 KiB | 00m00s [313/696] Installing texlive-bitset-11: 100% | 324.0 MiB/s | 663.5 KiB | 00m00s [314/696] Installing texlive-babelbib-1 100% | 256.3 MiB/s | 787.2 KiB | 00m00s [315/696] Installing texlive-ctablestac 100% | 0.0 B/s | 20.9 KiB | 00m00s [316/696] Installing texlive-luatexbase 100% | 14.7 MiB/s | 15.1 KiB | 00m00s [317/696] Installing texlive-titlesec-1 100% | 96.6 MiB/s | 98.9 KiB | 00m00s [318/696] Installing texlive-latexconfi 100% | 9.6 MiB/s | 9.8 KiB | 00m00s [319/696] Installing texlive-avantgar-1 100% | 138.0 MiB/s | 1.2 MiB | 00m00s [320/696] Installing texlive-bookman-11 100% | 137.1 MiB/s | 1.2 MiB | 00m00s [321/696] Installing texlive-charter-11 100% | 101.3 MiB/s | 415.0 KiB | 00m00s [322/696] Installing texlive-courier-11 100% | 152.5 MiB/s | 937.1 KiB | 00m00s [323/696] Installing texlive-fpl-11:svn 100% | 317.9 MiB/s | 651.2 KiB | 00m00s [324/696] Installing texlive-mathpazo-1 100% | 38.0 MiB/s | 116.7 KiB | 00m00s [325/696] Installing texlive-helvetic-1 100% | 152.3 MiB/s | 1.8 MiB | 00m00s [326/696] Installing texlive-lm-math-11 100% | 239.9 MiB/s | 736.9 KiB | 00m00s [327/696] Installing texlive-symbol-11: 100% | 26.7 MiB/s | 82.0 KiB | 00m00s [328/696] Installing texlive-times-11:s 100% | 124.8 MiB/s | 1.0 MiB | 00m00s [329/696] Installing texlive-utopia-11: 100% | 134.6 MiB/s | 551.4 KiB | 00m00s [330/696] Installing texlive-psnfss-11: 100% | 29.2 MiB/s | 119.5 KiB | 00m00s [331/696] Installing texlive-wasy-11:sv 100% | 69.4 MiB/s | 142.1 KiB | 00m00s [332/696] Installing texlive-bookmark-1 100% | 279.4 MiB/s | 572.2 KiB | 00m00s [333/696] Installing texlive-firstaid-1 100% | 291.8 MiB/s | 298.8 KiB | 00m00s [334/696] Installing texlive-gettitlest 100% | 332.2 MiB/s | 340.1 KiB | 00m00s [335/696] Installing texlive-grfext-11: 100% | 321.3 MiB/s | 329.1 KiB | 00m00s [336/696] Installing texlive-hycolor-11 100% | 403.5 MiB/s | 413.1 KiB | 00m00s [337/696] Installing texlive-kvdefineke 100% | 308.1 MiB/s | 315.5 KiB | 00m00s [338/696] Installing texlive-refcount-1 100% | 341.0 MiB/s | 349.2 KiB | 00m00s [339/696] Installing texlive-stringenc- 100% | 351.4 MiB/s | 1.1 MiB | 00m00s [340/696] Installing texlive-paralist-1 100% | 0.0 B/s | 24.1 KiB | 00m00s [341/696] Installing texlive-ragged2e-1 100% | 358.1 MiB/s | 733.3 KiB | 00m00s [342/696] Installing texlive-setspace-1 100% | 0.0 B/s | 22.4 KiB | 00m00s [343/696] Installing texlive-hologo-11: 100% | 325.3 MiB/s | 666.1 KiB | 00m00s [344/696] Installing texlive-glyphlist- 100% | 89.0 MiB/s | 91.1 KiB | 00m00s [345/696] Installing texlive-lua-alt-ge 100% | 0.0 B/s | 4.3 KiB | 00m00s [346/696] Installing texlive-modes-11:s 100% | 382.8 MiB/s | 391.9 KiB | 00m00s [347/696] Installing texlive-zref-11:sv 100% | 401.4 MiB/s | 822.0 KiB | 00m00s [348/696] Installing texlive-placeins-1 100% | 0.0 B/s | 5.4 KiB | 00m00s [349/696] Installing texlive-wasy-type1 100% | 164.4 MiB/s | 336.7 KiB | 00m00s [350/696] Installing texlive-subfig-11: 100% | 0.0 B/s | 37.5 KiB | 00m00s [351/696] Installing texlive-auto-pst-p 100% | 0.0 B/s | 15.6 KiB | 00m00s [352/696] Installing texlive-euro-11:sv 100% | 0.0 B/s | 15.9 KiB | 00m00s [353/696] Installing texlive-breakurl-1 100% | 17.5 MiB/s | 17.9 KiB | 00m00s [354/696] Installing texlive-tabulary-1 100% | 0.0 B/s | 23.1 KiB | 00m00s [355/696] Installing texlive-fncychap-1 100% | 0.0 B/s | 38.4 KiB | 00m00s [356/696] Installing texlive-logreq-11: 100% | 26.6 MiB/s | 27.2 KiB | 00m00s [357/696] Installing texlive-dvips-11:s 100% | 228.0 MiB/s | 1.8 MiB | 00m00s [358/696] Installing texlive-memoir-11: 100% | 236.2 MiB/s | 483.6 KiB | 00m00s [359/696] Installing texlive-filemod-11 100% | 43.1 MiB/s | 44.1 KiB | 00m00s [360/696] Installing texlive-hopatch-11 100% | 113.0 MiB/s | 347.2 KiB | 00m00s [361/696] Installing texlive-tex-gyre-1 100% | 353.5 MiB/s | 24.4 MiB | 00m00s [362/696] Installing texlive-anyfontsiz 100% | 0.0 B/s | 13.4 KiB | 00m00s [363/696] Installing texlive-capt-of-11 100% | 0.0 B/s | 10.9 KiB | 00m00s [364/696] Installing texlive-cmap-11:sv 100% | 37.9 MiB/s | 38.8 KiB | 00m00s [365/696] Installing texlive-framed-11: 100% | 0.0 B/s | 22.4 KiB | 00m00s [366/696] Installing texlive-gnu-freefo 100% | 283.1 MiB/s | 17.0 MiB | 00m00s [367/696] Installing texlive-luatex85-1 100% | 24.6 MiB/s | 25.1 KiB | 00m00s [368/696] Installing texlive-needspace- 100% | 0.0 B/s | 10.5 KiB | 00m00s [369/696] Installing texlive-parskip-11 100% | 0.0 B/s | 16.7 KiB | 00m00s [370/696] Installing texlive-wrapfig-11 100% | 34.4 MiB/s | 35.2 KiB | 00m00s [371/696] Installing texlive-cmextra-11 100% | 69.2 MiB/s | 141.8 KiB | 00m00s [372/696] Installing texlive-euro-ce-11 100% | 46.0 MiB/s | 47.1 KiB | 00m00s [373/696] Installing texlive-eurosym-11 100% | 109.7 MiB/s | 224.7 KiB | 00m00s [374/696] Installing texlive-manfnt-fon 100% | 42.1 MiB/s | 43.1 KiB | 00m00s [375/696] Installing texlive-mflogo-fon 100% | 41.0 MiB/s | 42.0 KiB | 00m00s [376/696] Installing texlive-ncntrsbk-1 100% | 117.0 MiB/s | 958.2 KiB | 00m00s [377/696] Installing texlive-pxfonts-11 100% | 119.1 MiB/s | 853.5 KiB | 00m00s [378/696] Installing texlive-rsfs-11:sv 100% | 49.9 MiB/s | 102.2 KiB | 00m00s [379/696] Installing texlive-tex-gyre-m 100% | 267.5 MiB/s | 2.7 MiB | 00m00s [380/696] Installing texlive-txfonts-11 100% | 95.7 MiB/s | 1.5 MiB | 00m00s [381/696] Installing texlive-wasysym-11 100% | 24.4 MiB/s | 25.0 KiB | 00m00s [382/696] Installing texlive-zapfchan-1 100% | 60.2 MiB/s | 185.0 KiB | 00m00s [383/696] Installing texlive-babel-engl 100% | 45.4 MiB/s | 46.5 KiB | 00m00s [384/696] Installing texlive-fix2col-11 100% | 17.0 MiB/s | 17.4 KiB | 00m00s [385/696] Installing texlive-latex-lab- 100% | 577.7 MiB/s | 2.3 MiB | 00m00s [386/696] Installing texlive-mfnfss-11: 100% | 24.2 MiB/s | 24.7 KiB | 00m00s [387/696] Installing texlive-mptopdf-11 100% | 75.0 MiB/s | 153.5 KiB | 00m00s [388/696] Installing texlive-pagesel-11 100% | 347.7 MiB/s | 356.1 KiB | 00m00s [389/696] Installing texlive-pslatex-11 100% | 28.5 MiB/s | 29.2 KiB | 00m00s [390/696] Installing texlive-pspicture- 100% | 14.4 MiB/s | 14.7 KiB | 00m00s [391/696] Installing texlive-hobsub-11: 100% | 80.0 MiB/s | 81.9 KiB | 00m00s [392/696] Installing texlive-hyperref-1 100% | 250.5 MiB/s | 769.4 KiB | 00m00s [393/696] Installing texlive-attachfile 100% | 32.4 MiB/s | 33.2 KiB | 00m00s [394/696] Installing texlive-luabidi-11 100% | 26.1 MiB/s | 26.8 KiB | 00m00s [395/696] Installing texlive-makecmds-1 100% | 0.0 B/s | 11.9 KiB | 00m00s [396/696] Installing texlive-collectbox 100% | 27.7 MiB/s | 28.3 KiB | 00m00s [397/696] Installing texlive-ifoddpage- 100% | 0.0 B/s | 21.5 KiB | 00m00s [398/696] Installing texlive-svn-prov-1 100% | 0.0 B/s | 16.3 KiB | 00m00s [399/696] Installing texlive-fileconten 100% | 0.0 B/s | 13.0 KiB | 00m00s [400/696] Installing texlive-alphalph-1 100% | 368.3 MiB/s | 377.1 KiB | 00m00s [401/696] Installing texlive-enumitem-1 100% | 58.7 MiB/s | 60.1 KiB | 00m00s [402/696] Installing texlive-underscore 100% | 0.0 B/s | 20.1 KiB | 00m00s [403/696] Installing texlive-dehyph-11: 100% | 235.5 MiB/s | 241.2 KiB | 00m00s [404/696] Installing texlive-bibtex-11: 100% | 304.3 MiB/s | 935.0 KiB | 00m00s [405/696] Installing texlive-colorprofi 100% | 66.9 MiB/s | 274.1 KiB | 00m00s [406/696] Installing texlive-ec-11:svn2 100% | 80.8 MiB/s | 2.7 MiB | 00m00s [407/696] Installing texlive-enctex-11: 100% | 91.5 MiB/s | 187.3 KiB | 00m00s [408/696] Installing texlive-hyphenex-1 100% | 25.5 MiB/s | 26.1 KiB | 00m00s [409/696] Installing texlive-knuth-loca 100% | 55.2 MiB/s | 113.1 KiB | 00m00s [410/696] Installing texlive-makeindex- 100% | 212.2 MiB/s | 651.9 KiB | 00m00s [411/696] Installing texlive-mflogo-11: 100% | 13.3 MiB/s | 13.6 KiB | 00m00s [412/696] Installing texlive-mfware-11: 100% | 389.7 MiB/s | 1.2 MiB | 00m00s [413/696] Installing texlive-tex-11:svn 100% | 171.5 MiB/s | 526.9 KiB | 00m00s [414/696] Installing texlive-texlive-en 100% | 494.8 MiB/s | 5.9 MiB | 00m00s [415/696] Installing texlive-texlive-ms 100% | 468.8 MiB/s | 1.4 MiB | 00m00s [416/696] Installing texlive-beton-11:s 100% | 0.0 B/s | 12.5 KiB | 00m00s [417/696] Installing texlive-euler-11:s 100% | 0.0 B/s | 21.4 KiB | 00m00s [418/696] Installing texlive-grffile-11 100% | 371.8 MiB/s | 380.7 KiB | 00m00s [419/696] Installing texlive-index-11:s 100% | 60.7 MiB/s | 62.1 KiB | 00m00s [420/696] Installing texlive-parallel-1 100% | 0.0 B/s | 23.3 KiB | 00m00s [421/696] Installing texlive-pdfcol-11: 100% | 338.7 MiB/s | 346.8 KiB | 00m00s [422/696] Installing texlive-changepage 100% | 0.0 B/s | 28.2 KiB | 00m00s [423/696] Installing texlive-euenc-11:s 100% | 41.2 MiB/s | 42.2 KiB | 00m00s [424/696] Installing texlive-kastrup-11 100% | 0.0 B/s | 4.2 KiB | 00m00s [425/696] Installing texlive-float-11:s 100% | 0.0 B/s | 16.2 KiB | 00m00s [426/696] Installing texlive-pdfcolmk-1 100% | 20.2 MiB/s | 20.7 KiB | 00m00s [427/696] Installing texlive-xcolor-11: 100% | 78.8 MiB/s | 80.7 KiB | 00m00s [428/696] Installing texlive-eso-pic-11 100% | 0.0 B/s | 28.6 KiB | 00m00s [429/696] Installing texlive-xstring-11 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [430/696] Installing texlive-marginnote 100% | 0.0 B/s | 23.3 KiB | 00m00s [431/696] Installing texlive-mparhack-1 100% | 20.6 MiB/s | 21.1 KiB | 00m00s [432/696] Installing texlive-picture-11 100% | 317.1 MiB/s | 324.8 KiB | 00m00s [433/696] Installing texlive-pst-math-1 100% | 23.1 MiB/s | 23.7 KiB | 00m00s [434/696] Installing texlive-notoccite- 100% | 0.0 B/s | 3.0 KiB | 00m00s [435/696] Installing texlive-ucharcat-1 100% | 0.0 B/s | 10.9 KiB | 00m00s [436/696] Installing texlive-ifmtarg-11 100% | 0.0 B/s | 9.9 KiB | 00m00s [437/696] Installing texlive-lua-uni-al 100% | 128.2 MiB/s | 131.3 KiB | 00m00s [438/696] Installing texlive-lualibs-11 100% | 251.2 MiB/s | 771.6 KiB | 00m00s [439/696] Installing texlive-luaotfload 100% | 456.6 MiB/s | 8.2 MiB | 00m00s [440/696] Installing texlive-everyshi-1 100% | 155.2 MiB/s | 158.9 KiB | 00m00s [441/696] Installing texlive-xetexconfi 100% | 0.0 B/s | 776.0 B | 00m00s [442/696] Installing perl-LWP-Protocol- 100% | 30.4 MiB/s | 31.1 KiB | 00m00s [443/696] Installing perl-XML-SAX-0:1.0 100% | 2.3 MiB/s | 126.0 KiB | 00m00s [444/696] Installing perl-XML-LibXML-1: 100% | 10.0 MiB/s | 1.0 MiB | 00m00s [445/696] Installing perl-XML-LibXML-Si 100% | 25.5 MiB/s | 52.3 KiB | 00m00s [446/696] Installing perl-XML-LibXSLT-0 100% | 92.0 MiB/s | 282.6 KiB | 00m00s [447/696] Installing perl-GSSAPI-0:0.28 100% | 119.3 MiB/s | 244.4 KiB | 00m00s [448/696] Installing perl-Tie-0:4.6-511 100% | 32.9 MiB/s | 33.7 KiB | 00m00s [449/696] Installing perl-Authen-SASL-0 100% | 59.5 MiB/s | 121.8 KiB | 00m00s [450/696] Installing perl-deprecate-0:0 100% | 6.8 MiB/s | 6.9 KiB | 00m00s [451/696] Installing perl-Text-Soundex- 100% | 113.8 MiB/s | 233.1 KiB | 00m00s [452/696] Installing perl-LDAP-1:0.68-1 100% | 117.5 MiB/s | 962.3 KiB | 00m00s [453/696] Installing perl-Email-Date-Fo 100% | 25.4 MiB/s | 26.0 KiB | 00m00s [454/696] Installing perl-MIME-Types-0: 100% | 180.0 MiB/s | 184.3 KiB | 00m00s [455/696] Installing perl-MIME-Lite-0:3 100% | 243.1 MiB/s | 248.9 KiB | 00m00s [456/696] Installing perl-Net-SMTP-SSL- 100% | 5.2 MiB/s | 5.3 KiB | 00m00s [457/696] Installing perl-MailTools-0:2 100% | 69.2 MiB/s | 212.7 KiB | 00m00s [458/696] Installing perl-English-0:1.1 100% | 0.0 B/s | 6.6 KiB | 00m00s [459/696] Installing perltidy-0:2024090 100% | 324.0 MiB/s | 3.9 MiB | 00m00s [460/696] Installing perl-Package-Stash 100% | 110.9 MiB/s | 227.1 KiB | 00m00s [461/696] Installing perl-Package-Stash 100% | 56.8 MiB/s | 58.1 KiB | 00m00s [462/696] Installing perl-DynaLoader-Fu 100% | 20.3 MiB/s | 20.7 KiB | 00m00s [463/696] Installing perl-Devel-CallChe 100% | 214.1 MiB/s | 219.3 KiB | 00m00s [464/696] Installing perl-Params-Classi 100% | 220.3 MiB/s | 225.6 KiB | 00m00s [465/696] Installing perl-DateTime-Time 100% | 28.9 MiB/s | 29.6 KiB | 00m00s [466/696] Installing perl-DateTime-Time 100% | 0.0 B/s | 25.8 KiB | 00m00s [467/696] Installing perl-PadWalker-0:2 100% | 209.7 MiB/s | 214.8 KiB | 00m00s [468/696] Installing perl-Devel-Caller- 100% | 204.3 MiB/s | 209.2 KiB | 00m00s [469/696] Installing perl-Devel-LexAlia 100% | 196.3 MiB/s | 201.1 KiB | 00m00s [470/696] Installing perl-Eval-Closure- 100% | 33.8 MiB/s | 34.6 KiB | 00m00s [471/696] Installing perl-Params-Valida 100% | 46.0 MiB/s | 94.2 KiB | 00m00s [472/696] Installing perl-Specio-0:0.48 100% | 84.2 MiB/s | 344.7 KiB | 00m00s [473/696] Installing perl-Package-Gener 100% | 30.8 MiB/s | 31.6 KiB | 00m00s [474/696] Installing perl-Sub-Exporter- 100% | 98.6 MiB/s | 201.9 KiB | 00m00s [475/696] Installing perl-Sub-Exporter- 100% | 28.2 MiB/s | 28.9 KiB | 00m00s [476/696] Installing perl-B-Hooks-EndOf 100% | 36.7 MiB/s | 75.1 KiB | 00m00s [477/696] Installing perl-namespace-cle 100% | 21.8 MiB/s | 44.6 KiB | 00m00s [478/696] Installing perl-namespace-aut 100% | 4.9 MiB/s | 45.0 KiB | 00m00s [479/696] Installing perl-DateTime-Loca 100% | 215.2 MiB/s | 22.8 MiB | 00m00s [480/696] Installing perl-DateTime-Time 100% | 305.1 MiB/s | 6.1 MiB | 00m00s [481/696] Installing perl-DateTime-2:1. 100% | 182.2 MiB/s | 559.8 KiB | 00m00s [482/696] Installing perl-DateTime-Cale 100% | 33.5 MiB/s | 34.3 KiB | 00m00s [483/696] Installing perl-DateTime-Form 100% | 96.4 MiB/s | 98.7 KiB | 00m00s [484/696] Installing perl-DateTime-Form 100% | 69.3 MiB/s | 213.0 KiB | 00m00s [485/696] Installing perl-Devel-GlobalD 100% | 18.6 MiB/s | 19.0 KiB | 00m00s [486/696] Installing perl-Log-Dispatch- 100% | 73.2 MiB/s | 150.0 KiB | 00m00s [487/696] Installing perl-Log-Dispatch- 100% | 53.2 MiB/s | 54.5 KiB | 00m00s [488/696] Installing perl-Log-Log4perl- 100% | 146.1 MiB/s | 897.8 KiB | 00m00s [489/696] Installing gumbo-parser-1:0.1 100% | 259.4 MiB/s | 531.2 KiB | 00m00s [490/696] Installing dbus-libs-1:1.14.1 100% | 239.4 MiB/s | 490.2 KiB | 00m00s [491/696] Installing avahi-libs-0:0.8-3 100% | 301.3 MiB/s | 617.1 KiB | 00m00s [492/696] Installing google-noto-fonts- 100% | 0.0 B/s | 18.3 KiB | 00m00s [493/696] Installing google-noto-sans-v 100% | 249.8 MiB/s | 1.2 MiB | 00m00s [494/696] Installing default-fonts-core 100% | 8.9 MiB/s | 18.2 KiB | 00m00s [495/696] Installing libyaml-0:0.2.5-15 100% | 257.8 MiB/s | 264.0 KiB | 00m00s [496/696] Installing texlive-texlive-co 100% | 261.7 MiB/s | 268.0 KiB | 00m00s [497/696] Installing emacs-filesystem-1 100% | 531.2 KiB/s | 544.0 B | 00m00s [498/696] Installing poppler-data-0:0.4 100% | 309.8 MiB/s | 12.4 MiB | 00m00s [499/696] Installing libdatrie-0:0.2.13 100% | 217.9 MiB/s | 223.1 KiB | 00m00s [500/696] Installing libthai-0:0.1.29-9 100% | 228.8 MiB/s | 937.3 KiB | 00m00s [501/696] Installing sombok-0:2.4.0-22. 100% | 133.4 MiB/s | 273.3 KiB | 00m00s [502/696] Installing perl-Unicode-LineB 100% | 94.4 MiB/s | 386.6 KiB | 00m00s [503/696] Installing biber-0:2.19-6.fc4 100% | 191.4 MiB/s | 1.3 MiB | 00m00s [504/696] Installing nettle-0:3.10-3.fc 100% | 234.3 MiB/s | 959.8 KiB | 00m00s [505/696] Installing gnutls-0:3.8.7-4.f 100% | 280.4 MiB/s | 3.4 MiB | 00m00s [506/696] Installing glib2-0:2.82.1-2.f 100% | 318.9 MiB/s | 16.6 MiB | 00m00s [507/696] Installing harfbuzz-0:10.0.1- 100% | 256.6 MiB/s | 2.8 MiB | 00m00s [508/696] Installing freetype-0:2.13.3- 100% | 230.6 MiB/s | 944.7 KiB | 00m00s [509/696] Installing texlive-luahbtex-1 100% | 318.4 MiB/s | 6.4 MiB | 00m00s [510/696] Installing shared-mime-info-0 100% | 148.3 MiB/s | 2.7 MiB | 00m00s [511/696] Installing gdk-pixbuf2-0:2.42 100% | 173.2 MiB/s | 2.9 MiB | 00m00s [512/696] Installing desktop-file-utils 100% | 209.0 MiB/s | 642.1 KiB | 00m00s [513/696] Installing xdg-utils-0:1.2.1- 100% | 170.7 MiB/s | 349.5 KiB | 00m00s [514/696] Installing cups-libs-1:2.4.11 100% | 210.1 MiB/s | 860.7 KiB | 00m00s [515/696] Installing gnupg2-0:2.4.5-3.f 100% | 301.5 MiB/s | 12.4 MiB | 00m00s [516/696] Installing gpgme-0:1.23.2-5.f 100% | 264.8 MiB/s | 813.3 KiB | 00m00s [517/696] Installing gpgmepp-0:1.23.2-5 100% | 255.4 MiB/s | 523.0 KiB | 00m00s [518/696] Installing liblerc-0:4.0.0-7. 100% | 199.2 MiB/s | 612.0 KiB | 00m00s [519/696] Installing jbigkit-libs-0:2.1 100% | 214.7 MiB/s | 439.7 KiB | 00m00s [520/696] Installing libtiff-0:4.6.0-6. 100% | 277.5 MiB/s | 852.5 KiB | 00m00s [521/696] Installing leptonica-0:1.84.1 100% | 301.5 MiB/s | 3.3 MiB | 00m00s [522/696] Installing tesseract-libs-0:5 100% | 303.0 MiB/s | 3.0 MiB | 00m00s [523/696] Installing mupdf-libs-0:1.24. 100% | 261.3 MiB/s | 46.8 MiB | 00m00s [524/696] Installing svt-av1-libs-0:2.1 100% | 320.6 MiB/s | 4.2 MiB | 00m00s [525/696] Installing rav1e-libs-0:0.7.1 100% | 303.4 MiB/s | 2.1 MiB | 00m00s [526/696] Installing libdav1d-0:1.4.3-2 100% | 300.0 MiB/s | 921.7 KiB | 00m00s [527/696] Installing libaom-0:3.9.0-3.f 100% | 307.4 MiB/s | 3.7 MiB | 00m00s [528/696] Installing libavif-0:1.0.4-7. 100% | 137.3 MiB/s | 281.1 KiB | 00m00s [529/696] Installing libXau-0:1.0.11-7. 100% | 238.7 MiB/s | 244.5 KiB | 00m00s [530/696] Installing libxcb-0:1.17.0-3. 100% | 458.7 MiB/s | 5.0 MiB | 00m00s [531/696] Installing mesa-libglapi-0:24 100% | 388.7 MiB/s | 398.0 KiB | 00m00s [532/696] Installing mesa-dri-drivers-0 100% | 426.2 MiB/s | 101.0 MiB | 00m00s [533/696] Installing mesa-libgbm-0:24.2 100% | 48.4 MiB/s | 198.2 KiB | 00m00s [534/696] Installing kernel-headers-0:6 100% | 153.1 MiB/s | 6.4 MiB | 00m00s [535/696] Installing libxcrypt-devel-0: 100% | 10.6 MiB/s | 32.6 KiB | 00m00s [536/696] Installing glibc-devel-0:2.40 100% | 99.5 MiB/s | 2.3 MiB | 00m00s [537/696] Installing cmake-filesystem-0 100% | 3.5 MiB/s | 7.1 KiB | 00m00s [538/696] Installing zlib-ng-compat-dev 100% | 105.8 MiB/s | 108.3 KiB | 00m00s [539/696] Installing ncurses-c++-libs-0 100% | 128.5 MiB/s | 394.8 KiB | 00m00s [540/696] Installing ncurses-devel-0:6. 100% | 52.3 MiB/s | 1.0 MiB | 00m00s [541/696] Installing potrace-0:1.16-13. 100% | 93.5 MiB/s | 669.9 KiB | 00m00s [542/696] Installing tzdata-0:2024a-9.f 100% | 40.4 MiB/s | 1.9 MiB | 00m00s [543/696] Installing python-pip-wheel-0 100% | 620.8 MiB/s | 1.2 MiB | 00m00s [544/696] Installing mpdecimal-0:2.5.1- 100% | 161.1 MiB/s | 330.0 KiB | 00m00s [545/696] Installing libb2-0:0.98.1-12. 100% | 33.1 MiB/s | 203.3 KiB | 00m00s [546/696] Installing python3-libs-0:3.1 100% | 293.0 MiB/s | 50.1 MiB | 00m00s [547/696] Installing python3-0:3.13.0-1 100% | 104.3 MiB/s | 213.5 KiB | 00m00s [548/696] Installing python3-docutils-0 100% | 157.7 MiB/s | 5.0 MiB | 00m00s [549/696] Installing python3-pygments-0 100% | 200.8 MiB/s | 10.8 MiB | 00m00s [550/696] Installing python3-setuptools 100% | 178.8 MiB/s | 7.3 MiB | 00m00s [551/696] Installing python3-idna-0:3.9 100% | 157.7 MiB/s | 646.1 KiB | 00m00s [552/696] Installing python3-urllib3-0: 100% | 143.1 MiB/s | 1.0 MiB | 00m00s [553/696] Installing python3-lxml-0:5.3 100% | 252.8 MiB/s | 5.3 MiB | 00m00s [554/696] Installing python3-soupsieve- 100% | 97.9 MiB/s | 300.6 KiB | 00m00s [555/696] Installing python3-beautifuls 100% | 145.1 MiB/s | 1.5 MiB | 00m00s [556/696] Installing python3-babel-0:2. 100% | 259.1 MiB/s | 28.5 MiB | 00m00s [557/696] Installing python3-imagesize- 100% | 37.4 MiB/s | 38.3 KiB | 00m00s [558/696] Installing python3-packaging- 100% | 105.8 MiB/s | 433.2 KiB | 00m00s [559/696] Installing python3-snowballst 100% | 249.2 MiB/s | 1.7 MiB | 00m00s [560/696] Installing python3-sphinx-the 100% | 22.7 MiB/s | 46.4 KiB | 00m00s [561/696] Installing python3-latexcodec 100% | 64.9 MiB/s | 133.0 KiB | 00m00s [562/696] Installing python3-pyyaml-0:6 100% | 172.0 MiB/s | 880.8 KiB | 00m00s [563/696] Installing python3-six-0:1.16 100% | 58.9 MiB/s | 120.7 KiB | 00m00s [564/696] Installing python3-pybtex-0:0 100% | 83.0 MiB/s | 935.1 KiB | 00m00s [565/696] Installing python3-pybtex-doc 100% | 72.8 MiB/s | 223.8 KiB | 00m00s [566/696] Installing python3-markupsafe 100% | 118.0 MiB/s | 241.6 KiB | 00m00s [567/696] Installing python3-jinja2-0:3 100% | 264.1 MiB/s | 2.9 MiB | 00m00s [568/696] Installing python3-charset-no 100% | 105.7 MiB/s | 324.7 KiB | 00m00s [569/696] Installing python3-requests-0 100% | 81.1 MiB/s | 498.0 KiB | 00m00s [570/696] Installing python3-sphinx-1:7 100% | 162.2 MiB/s | 11.0 MiB | 00m00s [571/696] Installing python3-sphinx-bas 100% | 14.5 MiB/s | 44.7 KiB | 00m00s [572/696] Installing fribidi-0:1.0.16-1 100% | 246.6 MiB/s | 505.1 KiB | 00m00s [573/696] Installing libijs-0:0.35-23.f 100% | 225.3 MiB/s | 230.7 KiB | 00m00s [574/696] Installing adobe-mappings-pdf 100% | 314.0 MiB/s | 4.4 MiB | 00m00s [575/696] Installing libX11-common-0:1. 100% | 98.9 MiB/s | 1.2 MiB | 00m00s [576/696] Installing libX11-0:1.8.10-2. 100% | 268.6 MiB/s | 1.3 MiB | 00m00s [577/696] Installing libXext-0:1.3.6-2. 100% | 206.3 MiB/s | 211.2 KiB | 00m00s [578/696] Installing libXrender-0:0.9.1 100% | 194.8 MiB/s | 199.4 KiB | 00m00s [579/696] Installing libXt-0:1.3.0-4.fc 100% | 265.1 MiB/s | 542.9 KiB | 00m00s [580/696] Installing libXpm-0:3.5.17-4. 100% | 259.7 MiB/s | 265.9 KiB | 00m00s [581/696] Installing libXmu-0:1.2.1-2.f 100% | 223.4 MiB/s | 457.5 KiB | 00m00s [582/696] Installing libXi-0:1.8.2-1.fc 100% | 197.0 MiB/s | 201.7 KiB | 00m00s [583/696] Installing libXaw-0:1.0.16-2. 100% | 200.4 MiB/s | 615.7 KiB | 00m00s [584/696] Installing texlive-xdvi-11:sv 100% | 134.9 MiB/s | 828.7 KiB | 00m00s [585/696] Installing libXxf86vm-0:1.1.5 100% | 194.0 MiB/s | 198.6 KiB | 00m00s [586/696] Installing texlive-metafont-1 100% | 231.1 MiB/s | 946.6 KiB | 00m00s [587/696] Installing libXfixes-0:6.0.1- 100% | 195.1 MiB/s | 199.8 KiB | 00m00s [588/696] Installing libglvnd-glx-1:1.7 100% | 403.3 MiB/s | 1.2 MiB | 00m00s [589/696] Installing mesa-libGL-0:24.2. 100% | 236.5 MiB/s | 726.6 KiB | 00m00s [590/696] Installing freeglut-0:3.6.0-2 100% | 171.0 MiB/s | 525.2 KiB | 00m00s [591/696] Installing mupdf-0:1.24.6-3.f 100% | 247.7 MiB/s | 3.5 MiB | 00m00s [592/696] Installing netpbm-0:11.02.00- 100% | 205.4 MiB/s | 630.9 KiB | 00m00s [593/696] Installing gts-0:0.7.6-49.201 100% | 401.0 MiB/s | 2.4 MiB | 00m00s [594/696] Installing libimagequant-0:4. 100% | 72.6 MiB/s | 668.7 KiB | 00m00s [595/696] Installing xml-common-0:0.6.3 100% | 26.4 MiB/s | 81.1 KiB | 00m00s [596/696] Installing fontconfig-0:2.15. 100% | 2.0 MiB/s | 2.4 MiB | 00m01s [597/696] Installing libgs-0:10.04.0-1. 100% | 415.7 MiB/s | 23.3 MiB | 00m00s [598/696] Installing ghostscript-tools- 100% | 0.0 B/s | 3.4 KiB | 00m00s [599/696] Installing ghostscript-tools- 100% | 4.9 MiB/s | 5.1 KiB | 00m00s [600/696] Installing ghostscript-0:10.0 100% | 107.1 MiB/s | 219.4 KiB | 00m00s [601/696] Installing gd-0:2.3.3-17.fc41 100% | 252.3 MiB/s | 516.8 KiB | 00m00s [602/696] Installing texlive-pdftex-11: 100% | 236.4 MiB/s | 4.7 MiB | 00m00s [603/696] Installing texlive-cm-super-1 100% | 479.0 MiB/s | 65.1 MiB | 00m00s [604/696] Installing texlive-xunicode-1 100% | 107.6 MiB/s | 220.3 KiB | 00m00s [605/696] Installing texlive-tipa-11:sv 100% | 291.7 MiB/s | 3.8 MiB | 00m00s [606/696] Installing texlive-listings-1 100% | 284.6 MiB/s | 582.9 KiB | 00m00s [607/696] Installing texlive-algorithms 100% | 38.2 MiB/s | 39.1 KiB | 00m00s [608/696] Installing texlive-bera-11:sv 100% | 154.5 MiB/s | 791.2 KiB | 00m00s [609/696] Installing texlive-currfile-1 100% | 34.1 MiB/s | 35.0 KiB | 00m00s [610/696] Installing texlive-filehook-1 100% | 27.9 MiB/s | 57.2 KiB | 00m00s [611/696] Installing texlive-pgf-11:svn 100% | 143.5 MiB/s | 4.9 MiB | 00m00s [612/696] Installing texlive-ms-11:svn5 100% | 18.8 MiB/s | 19.3 KiB | 00m00s [613/696] Installing texlive-koma-scrip 100% | 473.0 MiB/s | 9.9 MiB | 00m00s [614/696] Installing texlive-showexpl-1 100% | 22.0 MiB/s | 22.6 KiB | 00m00s [615/696] Installing texlive-bidi-11:sv 100% | 149.0 MiB/s | 1.2 MiB | 00m00s [616/696] Installing texlive-xifthen-11 100% | 14.8 MiB/s | 15.2 KiB | 00m00s [617/696] Installing texlive-latex-11:s 100% | 551.9 MiB/s | 40.3 MiB | 00m00s [618/696] Installing texlive-l3packages 100% | 129.6 MiB/s | 265.5 KiB | 00m00s [619/696] Installing texlive-xpatch-11: 100% | 26.9 MiB/s | 27.5 KiB | 00m00s [620/696] Installing texlive-l3kernel-1 100% | 340.6 MiB/s | 1.4 MiB | 00m00s [621/696] Installing texlive-fontspec-1 100% | 161.4 MiB/s | 330.6 KiB | 00m00s [622/696] Installing texlive-polyglossi 100% | 83.0 MiB/s | 764.6 KiB | 00m00s [623/696] Installing texlive-biblatex-1 100% | 242.4 MiB/s | 2.2 MiB | 00m00s [624/696] Installing texlive-fancyvrb-1 100% | 65.9 MiB/s | 67.5 KiB | 00m00s [625/696] Installing texlive-pst-3d-11: 100% | 20.4 MiB/s | 20.9 KiB | 00m00s [626/696] Installing texlive-pst-coil-1 100% | 21.3 MiB/s | 21.8 KiB | 00m00s [627/696] Installing texlive-pst-eps-11 100% | 16.2 MiB/s | 16.6 KiB | 00m00s [628/696] Installing texlive-pst-fill-1 100% | 23.4 MiB/s | 23.9 KiB | 00m00s [629/696] Installing texlive-pst-grad-1 100% | 21.9 MiB/s | 22.5 KiB | 00m00s [630/696] Installing texlive-pst-node-1 100% | 113.0 MiB/s | 115.8 KiB | 00m00s [631/696] Installing texlive-pst-ovl-11 100% | 13.4 MiB/s | 13.8 KiB | 00m00s [632/696] Installing texlive-pst-plot-1 100% | 127.9 MiB/s | 131.0 KiB | 00m00s [633/696] Installing texlive-pst-text-1 100% | 20.8 MiB/s | 21.3 KiB | 00m00s [634/696] Installing texlive-pst-tools- 100% | 27.5 MiB/s | 28.2 KiB | 00m00s [635/696] Installing texlive-pst-tree-1 100% | 42.6 MiB/s | 43.6 KiB | 00m00s [636/696] Installing texlive-pstricks-a 100% | 102.4 MiB/s | 104.9 KiB | 00m00s [637/696] Installing texlive-pstricks-1 100% | 154.6 MiB/s | 475.0 KiB | 00m00s [638/696] Installing texlive-amscls-11: 100% | 360.9 MiB/s | 1.4 MiB | 00m00s [639/696] Installing texlive-adjustbox- 100% | 103.4 MiB/s | 105.9 KiB | 00m00s [640/696] Installing texlive-gincltex-1 100% | 22.4 MiB/s | 22.9 KiB | 00m00s [641/696] Installing texlive-dvipdfmx-1 100% | 418.7 MiB/s | 3.8 MiB | 00m00s [642/696] Installing texlive-xetex-11:s 100% | 282.4 MiB/s | 2.3 MiB | 00m00s [643/696] Installing texlive-collection 100% | 0.0 B/s | 124.0 B | 00m00s [644/696] Installing texlive-collection 100% | 0.0 B/s | 124.0 B | 00m00s [645/696] Installing texlive-lualatex-m 100% | 28.7 MiB/s | 29.4 KiB | 00m00s [646/696] Installing texlive-unicode-ma 100% | 253.2 MiB/s | 518.6 KiB | 00m00s [647/696] Installing texlive-oberdiek-1 100% | 558.6 MiB/s | 12.3 MiB | 00m00s [648/696] Installing texlive-ucs-11:svn 100% | 206.5 MiB/s | 2.5 MiB | 00m00s [649/696] Installing texlive-upquote-11 100% | 15.5 MiB/s | 15.9 KiB | 00m00s [650/696] Installing texlive-ae-11:svn1 100% | 92.2 MiB/s | 471.9 KiB | 00m00s [651/696] Installing texlive-minitoc-11 100% | 79.9 MiB/s | 572.5 KiB | 00m00s [652/696] Installing texlive-ltxmisc-11 100% | 45.3 MiB/s | 46.4 KiB | 00m00s [653/696] Installing texlive-collection 100% | 0.0 B/s | 124.0 B | 00m00s [654/696] Installing texlive-dvipng-11: 100% | 289.2 MiB/s | 592.3 KiB | 00m00s [655/696] Installing texlive-dvisvgm-11 100% | 170.2 MiB/s | 2.7 MiB | 00m00s [656/696] Installing libXft-0:2.3.8-7.f 100% | 126.0 MiB/s | 258.0 KiB | 00m00s [657/696] Installing poppler-0:24.08.0- 100% | 316.7 MiB/s | 3.5 MiB | 00m00s [658/696] Installing pixman-0:0.43.4-2. 100% | 351.3 MiB/s | 719.5 KiB | 00m00s [659/696] Installing cairo-0:1.18.0-4.f 100% | 272.5 MiB/s | 1.9 MiB | 00m00s [660/696] Installing pango-0:1.54.0-2.f 100% | 318.7 MiB/s | 1.9 MiB | 00m00s [661/696] Installing poppler-glib-0:24. 100% | 217.1 MiB/s | 666.8 KiB | 00m00s [662/696] Installing lasi-0:1.1.3-14.fc 100% | 253.9 MiB/s | 260.0 KiB | 00m00s [663/696] Installing cairo-gobject-0:1. 100% | 191.5 MiB/s | 196.1 KiB | 00m00s [664/696] Installing rsvg-pixbuf-loader 100% | 195.9 MiB/s | 401.2 KiB | 00m00s [665/696] Installing librsvg2-0:2.59.1- 100% | 309.6 MiB/s | 4.3 MiB | 00m00s [666/696] Installing libubsan-0:14.2.1- 100% | 263.7 MiB/s | 540.1 KiB | 00m00s [667/696] Installing libatomic-0:14.2.1 100% | 193.1 MiB/s | 197.8 KiB | 00m00s [668/696] Installing libasan-0:14.2.1-4 100% | 267.1 MiB/s | 1.6 MiB | 00m00s [669/696] Installing tcl-1:9.0.0-1.fc42 100% | 141.6 MiB/s | 3.4 MiB | 00m00s [670/696] Installing yosyshq-abc-libs-0 100% | 285.1 MiB/s | 13.7 MiB | 00m00s [671/696] Installing libstdc++-devel-0: 100% | 282.1 MiB/s | 15.2 MiB | 00m00s [672/696] Installing make-1:4.4.1-9.fc4 100% | 205.7 MiB/s | 1.9 MiB | 00m00s [673/696] Installing gcc-0:14.2.1-4.fc4 100% | 339.9 MiB/s | 93.8 MiB | 00m00s [674/696] Installing gcc-c++-0:14.2.1-4 100% | 310.1 MiB/s | 35.0 MiB | 00m00s [675/696] Installing annobin-plugin-gcc 100% | 64.5 MiB/s | 1.1 MiB | 00m00s [676/696] Installing gcc-plugin-annobin 100% | 11.4 MiB/s | 198.6 KiB | 00m00s [677/696] Installing yosyshq-abc-0:0.46 100% | 205.2 MiB/s | 210.2 KiB | 00m00s [678/696] Installing tcl-devel-1:9.0.0- 100% | 202.5 MiB/s | 829.6 KiB | 00m00s [679/696] Installing graphviz-0:12.1.2- 100% | 363.3 MiB/s | 26.2 MiB | 00m00s [680/696] Installing pdf2svg-0:0.2.3-21 100% | 209.6 MiB/s | 214.7 KiB | 00m00s [681/696] Installing python3-sphinx-lat 100% | 0.0 B/s | 124.0 B | 00m00s [682/696] Installing texlive-standalone 100% | 81.4 MiB/s | 83.3 KiB | 00m00s [683/696] Installing latexmk-0:4.85-2.f 100% | 195.3 MiB/s | 1.0 MiB | 00m00s [684/696] Installing texlive-pgfplots-1 100% | 263.2 MiB/s | 3.4 MiB | 00m00s [685/696] Installing python3-furo-0:202 100% | 61.8 MiB/s | 379.8 KiB | 00m00s [686/696] Installing python3-sphinxcont 100% | 67.2 MiB/s | 344.2 KiB | 00m00s [687/696] Installing python3-click-0:8. 100% | 213.4 MiB/s | 1.1 MiB | 00m00s [688/696] Installing readline-devel-0:8 100% | 182.5 MiB/s | 560.5 KiB | 00m00s [689/696] Installing texlive-comment-11 100% | 22.5 MiB/s | 23.0 KiB | 00m00s [690/696] Installing bison-0:3.8.2-9.fc 100% | 257.7 MiB/s | 3.6 MiB | 00m00s [691/696] Installing flex-0:2.6.4-18.fc 100% | 173.3 MiB/s | 887.4 KiB | 00m00s [692/696] Installing txt2man-0:1.7.1-7. 100% | 47.4 MiB/s | 48.6 KiB | 00m00s [693/696] Installing rsync-0:3.3.0-4.fc 100% | 201.5 MiB/s | 825.5 KiB | 00m00s [694/696] Installing libffi-devel-0:3.4 100% | 30.5 MiB/s | 31.2 KiB | 00m00s [695/696] Installing libfaketime-0:0.9. 100% | 298.0 MiB/s | 915.4 KiB | 00m00s warning: posix.fork(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.wait(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead warning: posix.exec(): .fork(), .exec(), .wait() and .redirect2null() are deprecated, use rpm.spawn() or rpm.execute() instead [696/696] Installing iverilog-0:12.0-8. 100% | 175.8 KiB/s | 9.1 MiB | 00m53s Warning: skipped PGP checks for 2 packages from repository: copr_base Complete! Finish: build setup for yosys-0.46-2.20241011gita00137c.fc42.src.rpm Start: rpmbuild yosys-0.46-2.20241011gita00137c.fc42.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1728864000 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.EZV6WG + umask 022 + cd /builddir/build/BUILD/yosys-0.46-build + test -d /builddir/build/BUILD/yosys-0.46-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/yosys-0.46-build + /usr/bin/rm -rf /builddir/build/BUILD/yosys-0.46-build + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-0.46-build + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-0.46-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.3VF0UM + umask 022 + cd /builddir/build/BUILD/yosys-0.46-build + cd /builddir/build/BUILD/yosys-0.46-build + rm -rf yosys-a00137c2f691c02011db4d75e55c4e366f2b1938 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys-a00137c.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-a00137c2f691c02011db4d75e55c4e366f2b1938 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + echo 'Patch #1 (yosys-cfginc.patch):' Patch #1 (yosys-cfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 787 (offset 10 lines). patching file tests/various/plugin.sh + echo 'Patch #2 (yosys-mancfginc.patch):' Patch #2 (yosys-mancfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0 patching file docs/source/code_examples/extensions/Makefile Hunk #1 succeeded at 11 (offset 1 line). patching file docs/source/code_examples/extensions/my_cmd.cc patching file docs/source/code_examples/stubnets/Makefile Hunk #1 succeeded at 11 (offset 1 line). patching file docs/source/code_examples/stubnets/stubnets.cc + echo 'Patch #3 (yosys-doc-offline.patch):' Patch #3 (yosys-doc-offline.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .docoffline --fuzz=0 patching file Makefile Hunk #1 succeeded at 1047 (offset 7 lines). patching file docs/source/appendix/auxprogs.rst patching file docs/source/getting_started/installation.rst patching file docs/source/yosys_internals/extending_yosys/test_suites.rst + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD/yosys-0.46-build + cd yosys-a00137c2f691c02011db4d75e55c4e366f2b1938 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys_0.33-5.debian.tar.xz + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/xprop/test.py + touch -r ./tests/xprop/test.py ./tests/xprop/test.py.new + mv ./tests/xprop/test.py.new ./tests/xprop/test.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/xprop/generate.py + touch -r ./tests/xprop/generate.py ./tests/xprop/generate.py.new + mv ./tests/xprop/generate.py.new ./tests/xprop/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/rpc/frontend.py + touch -r ./tests/rpc/frontend.py ./tests/rpc/frontend.py.new + mv ./tests/rpc/frontend.py.new ./tests/rpc/frontend.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/opt_share/generate.py + touch -r ./tests/opt_share/generate.py ./tests/opt_share/generate.py.new + mv ./tests/opt_share/generate.py.new ./tests/opt_share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/memlib/generate.py + touch -r ./tests/memlib/generate.py ./tests/memlib/generate.py.new + mv ./tests/memlib/generate.py.new ./tests/memlib/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/functional/test_functional.py + touch -r ./tests/functional/test_functional.py ./tests/functional/test_functional.py.new + mv ./tests/functional/test_functional.py.new ./tests/functional/test_functional.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/functional/smtio.py + touch -r ./tests/functional/smtio.py ./tests/functional/smtio.py.new + mv ./tests/functional/smtio.py.new ./tests/functional/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/functional/smt_vcd.py + touch -r ./tests/functional/smt_vcd.py ./tests/functional/smt_vcd.py.new + mv ./tests/functional/smt_vcd.py.new ./tests/functional/smt_vcd.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/functional/rtlil_cells.py + touch -r ./tests/functional/rtlil_cells.py ./tests/functional/rtlil_cells.py.new + mv ./tests/functional/rtlil_cells.py.new ./tests/functional/rtlil_cells.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/functional/rkt_vcd.py + touch -r ./tests/functional/rkt_vcd.py ./tests/functional/rkt_vcd.py.new + mv ./tests/functional/rkt_vcd.py.new ./tests/functional/rkt_vcd.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/functional/conftest.py + touch -r ./tests/functional/conftest.py ./tests/functional/conftest.py.new + mv ./tests/functional/conftest.py.new ./tests/functional/conftest.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py + touch -r ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py.new + mv ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py.new ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/gatemate/gen_luttrees.py + touch -r ./tests/arch/gatemate/gen_luttrees.py ./tests/arch/gatemate/gen_luttrees.py.new + mv ./tests/arch/gatemate/gen_luttrees.py.new ./tests/arch/gatemate/gen_luttrees.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/ecp5/add_sub.py + touch -r ./tests/arch/ecp5/add_sub.py ./tests/arch/ecp5/add_sub.py.new + mv ./tests/arch/ecp5/add_sub.py.new ./tests/arch/ecp5/add_sub.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/cells_xtra.py + touch -r ./techlibs/xilinx/cells_xtra.py ./techlibs/xilinx/cells_xtra.py.new + mv ./techlibs/xilinx/cells_xtra.py.new ./techlibs/xilinx/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py + touch -r ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py.new + mv ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py.new ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/nexus/cells_xtra.py + touch -r ./techlibs/nexus/cells_xtra.py ./techlibs/nexus/cells_xtra.py.new + mv ./techlibs/nexus/cells_xtra.py.new ./techlibs/nexus/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/lattice/cells_xtra.py + touch -r ./techlibs/lattice/cells_xtra.py ./techlibs/lattice/cells_xtra.py.new + mv ./techlibs/lattice/cells_xtra.py.new ./techlibs/lattice/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gowin/cells_xtra.py + touch -r ./techlibs/gowin/cells_xtra.py ./techlibs/gowin/cells_xtra.py.new + mv ./techlibs/gowin/cells_xtra.py.new ./techlibs/gowin/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gatemate/make_lut_tree_lib.py + touch -r ./techlibs/gatemate/make_lut_tree_lib.py ./techlibs/gatemate/make_lut_tree_lib.py.new + mv ./techlibs/gatemate/make_lut_tree_lib.py.new ./techlibs/gatemate/make_lut_tree_lib.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/tests/test_diamond_ffs.py + touch -r ./techlibs/ecp5/tests/test_diamond_ffs.py ./techlibs/ecp5/tests/test_diamond_ffs.py.new + mv ./techlibs/ecp5/tests/test_diamond_ffs.py.new ./techlibs/ecp5/tests/test_diamond_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/gen_fine_ffs.py + touch -r ./techlibs/common/gen_fine_ffs.py ./techlibs/common/gen_fine_ffs.py.new + mv ./techlibs/common/gen_fine_ffs.py.new ./techlibs/common/gen_fine_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./setup.py + touch -r ./setup.py ./setup.py.new + mv ./setup.py.new ./setup.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/cmdref.py + touch -r ./docs/util/cmdref.py ./docs/util/cmdref.py.new + mv ./docs/util/cmdref.py.new ./docs/util/cmdref.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/__init__.py + touch -r ./docs/util/__init__.py ./docs/util/__init__.py.new + mv ./docs/util/__init__.py.new ./docs/util/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/YoscryptLexer.py + touch -r ./docs/util/YoscryptLexer.py ./docs/util/YoscryptLexer.py.new + mv ./docs/util/YoscryptLexer.py.new ./docs/util/YoscryptLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/RtlilLexer.py + touch -r ./docs/util/RtlilLexer.py ./docs/util/RtlilLexer.py.new + mv ./docs/util/RtlilLexer.py.new ./docs/util/RtlilLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/tests/macro_commands.py + touch -r ./docs/tests/macro_commands.py ./docs/tests/macro_commands.py.new + mv ./docs/tests/macro_commands.py.new ./docs/tests/macro_commands.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/source/conf.py + touch -r ./docs/source/conf.py ./docs/source/conf.py.new + mv ./docs/source/conf.py.new ./docs/source/conf.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/ywio.py + touch -r ./backends/smt2/ywio.py ./backends/smt2/ywio.py.new + mv ./backends/smt2/ywio.py.new ./backends/smt2/ywio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/witness.py + touch -r ./backends/smt2/witness.py ./backends/smt2/witness.py.new + mv ./backends/smt2/witness.py.new ./backends/smt2/witness.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc_incremental.py + touch -r ./backends/smt2/smtbmc_incremental.py ./backends/smt2/smtbmc_incremental.py.new + mv ./backends/smt2/smtbmc_incremental.py.new ./backends/smt2/smtbmc_incremental.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./.github/workflows/wheels/_run_cibw_linux.py + touch -r ./.github/workflows/wheels/_run_cibw_linux.py ./.github/workflows/wheels/_run_cibw_linux.py.new + mv ./.github/workflows/wheels/_run_cibw_linux.py.new ./.github/workflows/wheels/_run_cibw_linux.py + make config-gcc application-specific initialization failed: Cannot find a usable init.tcl in the following directories: {} /usr/share/tcl9.0 /usr/lib/tcl9.0 /lib/tcl9.0 /usr/library /library /tcl9.0/library /tcl9.0.0/library /tcl9.0.0/library This probably means that Tcl wasn't installed properly. application-specific initialization failed: Cannot find a usable init.tcl in the following directories: {} /usr/share/tcl9.0 /usr/lib/tcl9.0 /lib/tcl9.0 /usr/library /library /tcl9.0/library /tcl9.0.0/library /tcl9.0.0/library This probably means that Tcl wasn't installed properly. rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_a00137c2f691c02011db4d75e55c4e366f2b1938.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/microchip_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/microchip_dsp_pm.h passes/pmgen/microchip_dsp_CREG_pm.h passes/pmgen/microchip_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v yosys-abc yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/bitpattern.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/drivertools.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sexpr.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/microchip/arith_map.v share/microchip/cells_map.v share/microchip/cells_sim.v share/microchip/polarfire_dsp_map.v share/microchip/brams_defs.vh share/microchip/LSRAM_map.v share/microchip/LSRAM.txt share/microchip/uSRAM_map.v share/microchip/uSRAM.txt share/nanoxplore/arith_map.v share/nanoxplore/brams_init.vh share/nanoxplore/brams_map.v share/nanoxplore/brams.txt share/nanoxplore/cells_bb.v share/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_u.v share/nanoxplore/cells_map.v share/nanoxplore/cells_sim.v share/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_u.v share/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_u.v share/nanoxplore/io_map.v share/nanoxplore/latches_map.v share/nanoxplore/rf_init.vh share/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_u.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/microchip_dsp_pm.h passes/pmgen/microchip_dsp_CREG_pm.h passes/pmgen/microchip_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk techlibs/quicklogic/ql_dsp_macc_pm.h .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs' make -C source/code_examples/extensions clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/extensions' rm -f *.d *.so *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/extensions' make -C source/code_examples/fifo clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/fifo' rm -f *.dot rm -f fifo.out fifo.stat make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/fifo' make -C source/code_examples/intro clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/intro' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/intro' make -C source/code_examples/macc clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/macc' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/macc' make -C source/code_examples/opt clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/opt' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/opt' make -C source/code_examples/scrambler clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/scrambler' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/scrambler' make -C source/code_examples/selections clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/selections' rm -rf *.dot rm -f sumprod.out make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/selections' make -C source/code_examples/show clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/show' rm -rf *.dot rm -f example.out make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/show' make -C source/code_examples/stubnets clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log rm -f stubnets.so stubnets.d make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/stubnets' make -C source/code_examples/synth_flow clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/synth_flow' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/synth_flow' make -C source/code_examples/techmap clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/techmap' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated make -C source/_images clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/_images' rm -f **/*.log **/*.aux rm -rf code_examples rm -f **/*.pdf **/*.svg make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs/source/_images' make[1]: Leaving directory '/builddir/build/BUILD/yosys-0.46-build/yosys-a00137c2f691c02011db4d75e55c4e366f2b1938/docs' rm -rf docs/source/cmd docs/util/__pycache__ echo 'CONFIG := gcc' > Makefile.conf + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.AzNzRB + umask 022 + cd /builddir/build/BUILD/yosys-0.46-build + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-a00137c2f691c02011db4d75e55c4e366f2b1938 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j4 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc application-specific initialization failed: Cannot find a usable init.tcl in the following directories: {} /usr/share/tcl9.0 /usr/lib/tcl9.0 /lib/tcl9.0 /usr/library /library /tcl9.0/library /tcl9.0.0/library /tcl9.0.0/library This probably means that Tcl wasn't installed properly. application-specific initialization failed: Cannot find a usable init.tcl in the following directories: {} /usr/share/tcl9.0 /usr/lib/tcl9.0 /lib/tcl9.0 /usr/library /library /tcl9.0/library /tcl9.0.0/library /tcl9.0.0/library This probably means that Tcl wasn't installed properly. rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common mkdir -p techlibs/common python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.46+11 (git sha1 a00137c2f691c02011db4d75e55c4e366f2b1938, g++ 14.2.1 -O2 -fexceptions -fstack-protector-strong -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -O3)\"; }" > kernel/version_a00137c2f691c02011db4d75e55c4e366f2b1938.cc g++ -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ g++ -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ g++ -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ g++ -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ g++ -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ g++ -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ g++ -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ g++ -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ g++ -o kernel/cost.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cost.cc mkdir -p kernel/ g++ -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc mkdir -p kernel/ g++ -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ g++ -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc mkdir -p kernel/ g++ -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ g++ -o kernel/yw.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ g++ -o kernel/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ g++ -o kernel/fmt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ g++ -o kernel/sexpr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/sexpr.cc mkdir -p kernel/ g++ -o kernel/drivertools.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/drivertools.cc mkdir -p kernel/ g++ -o kernel/functional.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/functional.cc In file included from ./kernel/yosys_common.h:160, from ./kernel/rtlil.h:23, from ./kernel/drivertools.h:25, from kernel/drivertools.cc:20: In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveChunk::hash() const’: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ mkdir -p kernel/ g++ -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc In file included from ./kernel/yosys_common.h:160, from ./kernel/yosys.h:42, from ./kernel/functional.h:24, from kernel/functional.cc:21: In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ In file included from ./kernel/functional.h:26: ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveChunk::hash() const’: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ mkdir -p libs/bigint/ g++ -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘int Yosys::hashlib::pool::do_hash(const K&) const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:741:19, inlined from ‘void Yosys::hashlib::pool::do_rehash() [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:752:22, inlined from ‘Yosys::hashlib::pool::pool(const Yosys::hashlib::pool&) [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:902:12, inlined from ‘Yosys::DriveBitMultiple::DriveBitMultiple(const Yosys::DriveBitMultiple&)’ at ./kernel/drivertools.h:143:8, inlined from ‘Yosys::DriveBit& Yosys::DriveBit::operator=(const Yosys::DriveBitMultiple&)’ at ./kernel/drivertools.h:350:45, inlined from ‘Yosys::DriveBit& Yosys::DriveBit::operator=(const Yosys::DriveBit&)’ at ./kernel/drivertools.h:257:19: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In function ‘Yosys::DriveBit& Yosys::DriveBit::operator=(const Yosys::DriveBit&)’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ mkdir -p libs/sha1/ g++ -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ g++ -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc mkdir -p libs/fst/ g++ -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc In function ‘void fstWritex(fstReaderContext*, void*, int)’, inlined from ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’ at libs/fst/fstapi.cc:5444:34: libs/fst/fstapi.cc:3199:22: warning: ‘ssize_t write(int, const void*, size_t)’ reading between 65536 and 2147483647 bytes from a region of size 16 [-Wstringop-overread] 3199 | if (write(xc->writex_fd, s, len)) { | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~ libs/fst/fstapi.cc: In function ‘int fstReaderIterBlocks2(void*, void (*)(void*, uint64_t, fstHandle, const unsigned char*), void (*)(void*, uint64_t, fstHandle, const unsigned char*, uint32_t), void*, FILE*)’: libs/fst/fstapi.cc:5440:30: note: source object ‘vcd_id’ of size 16 5440 | char vcd_id[16]; | ^~~~~~ In file included from /usr/include/zconf.h:164, from /usr/include/zlib.h:41, from libs/fst/fstapi.h:58, from libs/fst/fstapi.cc:47: /usr/include/unistd.h:378:16: note: in a call to function ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘access (read_only, 2, 3)’ 378 | extern ssize_t write (int __fd, const void *__buf, size_t __n) __wur | ^~~~~ mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/aiger2/ g++ -o frontends/aiger2/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger2/xaiger.cc frontends/aiger2/xaiger.cc: In member function ‘void {anonymous}::Xaiger2Frontend::read_sc_mapping(std::istream*&, std::string, std::vector >, Yosys::RTLIL::Design*)’: frontends/aiger2/xaiger.cc:201:50: warning: variable ‘box_inputs’ set but not used [-Wunused-but-set-variable] 201 | uint32_t box_inputs, box_outputs, box_id, box_seq; | ^~~~~~~~~~ frontends/aiger2/xaiger.cc:201:75: warning: variable ‘box_id’ set but not used [-Wunused-but-set-variable] 201 | uint32_t box_inputs, box_outputs, box_id, box_seq; | ^~~~~~ frontends/aiger2/xaiger.cc:340:62: warning: variable ‘box_outputs’ set but not used [-Wunused-but-set-variable] 340 | uint32_t box_inputs, box_outputs, box_id, box_seq; | ^~~~~~~~~~~ frontends/aiger2/xaiger.cc:340:75: warning: variable ‘box_id’ set but not used [-Wunused-but-set-variable] 340 | uint32_t box_inputs, box_outputs, box_id, box_seq; | ^~~~~~ mkdir -p frontends/ast/ g++ -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ g++ -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ g++ -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ g++ -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ g++ -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ g++ -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ g++ -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ g++ -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ g++ -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ g++ -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ g++ -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ g++ -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ g++ -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ g++ -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ g++ -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ g++ -o passes/cmds/viz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ g++ -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ g++ -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitcells.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ g++ -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ g++ -o passes/cmds/internal_stats.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/internal_stats.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ g++ -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ g++ -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ g++ -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ g++ -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ g++ -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ g++ -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ g++ -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ g++ -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ g++ -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ g++ -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ g++ -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ g++ -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ g++ -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ g++ -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ g++ -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ g++ -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ g++ -o passes/cmds/xprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ g++ -o passes/cmds/dft_tag.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ g++ -o passes/cmds/future.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/cmds/ g++ -o passes/cmds/box_derive.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/box_derive.cc mkdir -p passes/cmds/ g++ -o passes/cmds/example_dt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/example_dt.cc In file included from ./kernel/yosys_common.h:160, from ./kernel/yosys.h:42, from passes/cmds/example_dt.cc:1: In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ In file included from passes/cmds/example_dt.cc:2: ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘unsigned int Yosys::hashlib::pool::hash() const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1057:23, inlined from ‘unsigned int Yosys::DriveBitMultiple::hash() const’ at ./kernel/drivertools.h:176:26, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:386:27: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveBit::hash() const’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveChunk::hash() const’: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:61:16, inlined from ‘int Yosys::hashlib::pool::do_hash(const K&) const [with K = Yosys::DriveChunk; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:741:19, inlined from ‘void Yosys::hashlib::pool::do_rehash() [with K = Yosys::DriveChunk; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:752:22: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘void Yosys::hashlib::pool::do_rehash() [with K = Yosys::DriveChunk; OPS = Yosys::hashlib::hash_ops]’: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveBit::hash() const’ at ./kernel/drivertools.h:389:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveBit]’ at ./kernel/hashlib.h:61:16, inlined from ‘int Yosys::hashlib::pool::do_hash(const K&) const [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:741:19, inlined from ‘void Yosys::hashlib::pool::do_rehash() [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:752:22, inlined from ‘Yosys::hashlib::pool::pool(const Yosys::hashlib::pool&) [with K = Yosys::DriveBit; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:902:12, inlined from ‘Yosys::DriveBitMultiple::DriveBitMultiple(const Yosys::DriveBitMultiple&)’ at ./kernel/drivertools.h:143:8, inlined from ‘Yosys::DriveBit& Yosys::DriveBit::operator=(const Yosys::DriveBitMultiple&)’ at ./kernel/drivertools.h:350:45, inlined from ‘Yosys::DriveBit& Yosys::DriveBit::operator=(const Yosys::DriveBit&)’ at ./kernel/drivertools.h:257:19: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In function ‘Yosys::DriveBit& Yosys::DriveBit::operator=(const Yosys::DriveBit&)’: ./kernel/drivertools.h:367:30: note: ‘inner’ was declared here 367 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:61:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops >::hash(std::vector) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:146:14, inlined from ‘unsigned int Yosys::DriveSpec::hash() const’ at ./kernel/drivertools.h:1145:51: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘unsigned int Yosys::DriveSpec::hash() const’: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:61:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops >::hash(std::vector) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:146:14, inlined from ‘unsigned int Yosys::DriveSpec::hash() const’ at ./kernel/drivertools.h:1145:51, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveSpec]’ at ./kernel/hashlib.h:61:16, inlined from ‘int Yosys::hashlib::pool::do_hash(const K&) const [with K = Yosys::DriveSpec; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:741:19, inlined from ‘void Yosys::hashlib::pool::do_rehash() [with K = Yosys::DriveSpec; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:752:22: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In member function ‘void Yosys::hashlib::pool::do_rehash() [with K = Yosys::DriveSpec; OPS = Yosys::hashlib::hash_ops]’: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:61:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops >::hash(std::vector) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:146:14, inlined from ‘unsigned int Yosys::DriveSpec::hash() const’ at ./kernel/drivertools.h:1145:51, inlined from ‘bool Yosys::DriveSpec::operator==(const Yosys::DriveSpec&) const’ at ./kernel/drivertools.h:1151:37, inlined from ‘static bool Yosys::hashlib::hash_ops::cmp(const T&, const T&) [with T = Yosys::DriveSpec]’ at ./kernel/hashlib.h:58:12, inlined from ‘int Yosys::hashlib::pool::do_lookup(const K&, int&) const [with K = Yosys::DriveSpec; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:815:32, inlined from ‘int Yosys::hashlib::idict::operator()(const K&) [with K = Yosys::DriveSpec; int offset = 0; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1109:29, inlined from ‘{anonymous}::ExampleDtPass::execute(std::vector >, Yosys::RTLIL::Design*)::’ at passes/cmds/example_dt.cc:74:22: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In lambda function: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ In function ‘unsigned int Yosys::hashlib::mkhash(unsigned int, unsigned int)’, inlined from ‘unsigned int Yosys::DriveChunk::hash() const’ at ./kernel/drivertools.h:937:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops::hash(const T&) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:61:16, inlined from ‘static unsigned int Yosys::hashlib::hash_ops >::hash(std::vector) [with T = Yosys::DriveChunk]’ at ./kernel/hashlib.h:146:14, inlined from ‘unsigned int Yosys::DriveSpec::hash() const’ at ./kernel/drivertools.h:1145:51, inlined from ‘bool Yosys::DriveSpec::operator==(const Yosys::DriveSpec&) const’ at ./kernel/drivertools.h:1151:53, inlined from ‘static bool Yosys::hashlib::hash_ops::cmp(const T&, const T&) [with T = Yosys::DriveSpec]’ at ./kernel/hashlib.h:58:12, inlined from ‘int Yosys::hashlib::pool::do_lookup(const K&, int&) const [with K = Yosys::DriveSpec; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:815:32, inlined from ‘int Yosys::hashlib::idict::operator()(const K&) [with K = Yosys::DriveSpec; int offset = 0; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:1109:29, inlined from ‘{anonymous}::ExampleDtPass::execute(std::vector >, Yosys::RTLIL::Design*)::’ at passes/cmds/example_dt.cc:74:22: ./kernel/hashlib.h:30:33: warning: ‘inner’ may be used uninitialized [-Wmaybe-uninitialized] 30 | return ((a << 5) + a) ^ b; | ^ ./kernel/drivertools.h: In lambda function: ./kernel/drivertools.h:915:30: note: ‘inner’ was declared here 915 | unsigned int inner; | ^~~~~ mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc In file included from /usr/include/c++/14/aarch64-redhat-linux/bits/c++allocator.h:33, from /usr/include/c++/14/bits/allocator.h:46, from /usr/include/c++/14/bits/stl_tree.h:64, from /usr/include/c++/14/map:62, from ./kernel/yosys_common.h:23, from ./kernel/yosys.h:42, from passes/equiv/equiv_make.cc:20: In member function ‘_Tp* std::__new_allocator<_Tp>::allocate(size_type, const void*) [with _Tp = Yosys::RTLIL::State]’, inlined from ‘static _Tp* std::allocator_traits >::allocate(allocator_type&, size_type) [with _Tp = Yosys::RTLIL::State]’ at /usr/include/c++/14/bits/alloc_traits.h:478:28, inlined from ‘std::_Vector_base<_Tp, _Alloc>::pointer std::_Vector_base<_Tp, _Alloc>::_M_allocate(std::size_t) [with _Tp = Yosys::RTLIL::State; _Alloc = std::allocator]’ at /usr/include/c++/14/bits/stl_vector.h:380:33, inlined from ‘void std::_Vector_base<_Tp, _Alloc>::_M_create_storage(std::size_t) [with _Tp = Yosys::RTLIL::State; _Alloc = std::allocator]’ at /usr/include/c++/14/bits/stl_vector.h:398:44, inlined from ‘std::_Vector_base<_Tp, _Alloc>::_Vector_base(std::size_t, const allocator_type&) [with _Tp = Yosys::RTLIL::State; _Alloc = std::allocator]’ at /usr/include/c++/14/bits/stl_vector.h:334:26, inlined from ‘std::vector<_Tp, _Alloc>::vector(const std::vector<_Tp, _Alloc>&) [with _Tp = Yosys::RTLIL::State; _Alloc = std::allocator]’ at /usr/include/c++/14/bits/stl_vector.h:603:61, inlined from ‘Yosys::RTLIL::Const::Const(const Yosys::RTLIL::Const&)’ at ./kernel/rtlil.h:670:2, inlined from ‘int Yosys::hashlib::dict::do_insert(std::pair<_T1, _T2>&&, int&) [with K = Yosys::RTLIL::Const; T = Yosys::RTLIL::Const; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:399:9, inlined from ‘T& Yosys::hashlib::dict::operator[](const K&) [with K = Yosys::RTLIL::Const; T = Yosys::RTLIL::Const; OPS = Yosys::hashlib::hash_ops]’ at ./kernel/hashlib.h:654:17, inlined from ‘void {anonymous}::EquivMakeWorker::read_encfiles()’ at passes/equiv/equiv_make.cc:93:21: /usr/include/c++/14/bits/new_allocator.h:151:55: warning: argument 1 range [9223372036854775808, 18446744073709551615] exceeds maximum object size 9223372036854775807 [-Walloc-size-larger-than=] 151 | return static_cast<_Tp*>(_GLIBCXX_OPERATOR_NEW(__n * sizeof(_Tp))); | ^ In file included from /usr/include/c++/14/bits/new_allocator.h:34: /usr/include/c++/14/new: In member function ‘void {anonymous}::EquivMakeWorker::read_encfiles()’: /usr/include/c++/14/new:131:26: note: in a call to allocation function ‘void* operator new(std::size_t)’ declared here 131 | _GLIBCXX_NODISCARD void* operator new(std::size_t) _GLIBCXX_THROW (std::bad_alloc) | ^~~~~~~~ mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/keep_hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/keep_hierarchy.cc mkdir -p passes/memory/ g++ -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ g++ -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ g++ -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ g++ -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ g++ -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ g++ -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ g++ -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_pm.h -p microchip_dsp passes/pmgen/microchip_dsp.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_CREG_pm.h -p microchip_dsp_CREG passes/pmgen/microchip_dsp_CREG.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/microchip_dsp_cascade_pm.h -p microchip_dsp_cascade passes/pmgen/microchip_dsp_cascade.pmg mkdir -p passes/pmgen/ g++ -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ g++ -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ g++ -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ g++ -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ g++ -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ g++ -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ g++ -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ g++ -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ g++ -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ g++ -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ g++ -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ g++ -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ g++ -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ g++ -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ g++ -o passes/sat/recover_names.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ g++ -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ g++ -o passes/sat/synthprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ g++ -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/booth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ g++ -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc_new.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc_new.cc mkdir -p passes/techmap/ g++ -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ g++ -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bwmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ g++ -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ g++ -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ g++ -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bufnorm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bufnorm.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/techmap/ g++ -o passes/techmap/cellmatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/cellmatch.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clockgate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clockgate.cc mkdir -p passes/tests/ g++ -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ g++ -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ g++ -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ g++ -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ g++ -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/aiger2/ g++ -o backends/aiger2/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger2/aiger.cc mkdir -p backends/blif/ g++ -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ g++ -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ g++ -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc backends/aiger2/aiger.cc: In member function ‘Lit {anonymous}::Index::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::XAigerAnalysis; Lit = int; Lit CFALSE = 0; Lit CTRUE = 0]’: backends/aiger2/aiger.cc:273:43: warning: ‘a’ may be used uninitialized [-Wmaybe-uninitialized] 273 | return XOR(carry, XNOR(a, b)); | ~~~^~~~~~~~~~~~~~~~~~~ backends/aiger2/aiger.cc:265:37: note: ‘a’ was declared here 265 | Lit a, b; | ^ backends/aiger2/aiger.cc:273:43: warning: ‘b’ may be used uninitialized [-Wmaybe-uninitialized] 273 | return XOR(carry, XNOR(a, b)); | ~~~^~~~~~~~~~~~~~~~~~~ backends/aiger2/aiger.cc:265:40: note: ‘b’ was declared here 265 | Lit a, b; | ^ In member function ‘Lit {anonymous}::Index::XNOR(Lit, Lit) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’, inlined from ‘Lit {anonymous}::Index::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:273:15: backends/aiger2/aiger.cc:202:27: warning: ‘a’ may be used uninitialized [-Wmaybe-uninitialized] 202 | return NOT(OR(AND(a, NOT(b)), AND(NOT(a), b))); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ backends/aiger2/aiger.cc: In member function ‘Lit {anonymous}::Index::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’: backends/aiger2/aiger.cc:265:37: note: ‘a’ was declared here 265 | Lit a, b; | ^ In static member function ‘static {anonymous}::AigerWriter::Lit {anonymous}::AigerWriter::negate(Lit)’, inlined from ‘Lit {anonymous}::Index::NOT(Lit) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:173:24, inlined from ‘Lit {anonymous}::Index::XNOR(Lit, Lit) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:202:13, inlined from ‘Lit {anonymous}::Index::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’ at backends/aiger2/aiger.cc:273:15: backends/aiger2/aiger.cc:672:30: warning: ‘b’ may be used uninitialized [-Wmaybe-uninitialized] 672 | return lit ^ 1; | ^ backends/aiger2/aiger.cc: In member function ‘Lit {anonymous}::Index::impl_op(HierCursor&, Yosys::RTLIL::Cell*, Yosys::RTLIL::IdString, int) [with Writer = {anonymous}::AigerWriter; Lit = unsigned int; Lit CFALSE = 0; Lit CTRUE = 1]’: backends/aiger2/aiger.cc:265:40: note: ‘b’ was declared here 265 | Lit a, b; | ^ mkdir -p backends/edif/ g++ -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ g++ -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/functional/ g++ -o backends/functional/cxx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/cxx.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib.cc mkdir -p backends/functional/ g++ -o backends/functional/smtlib_rosette.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/smtlib_rosette.cc mkdir -p backends/functional/ g++ -o backends/functional/test_generic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/functional/test_generic.cc mkdir -p backends/intersynth/ g++ -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ g++ -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ g++ -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ g++ -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ g++ -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ g++ -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ g++ -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ g++ -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ g++ -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ g++ -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ g++ -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ g++ -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ g++ -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ g++ -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ g++ -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ g++ -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ g++ -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ g++ -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ g++ -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/synth_lattice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/lattice_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/synth_microchip.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/synth_microchip.cc mkdir -p techlibs/microchip/ g++ -o techlibs/microchip/microchip_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/microchip/microchip_dffopt.cc mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/synth_nanoxplore.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/synth_nanoxplore.cc mkdir -p techlibs/nanoxplore/ g++ -o techlibs/nanoxplore/nx_carry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nanoxplore/nx_carry.cc mkdir -p techlibs/nexus/ g++ -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_types.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_simd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg mkdir -p techlibs/sf2/ g++ -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl9.0 -ltclstub#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ g++ -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/bitpattern.h share/include/kernel/bitpattern.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/drivertools.h share/include/kernel/drivertools.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ cp "./"/kernel/sexpr.h share/include/kernel/sexpr.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/choices cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v mkdir -p share/lattice cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v mkdir -p share/lattice cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/microchip cp "./"/techlibs/microchip/arith_map.v share/microchip/arith_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/cells_map.v share/microchip/cells_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/cells_sim.v share/microchip/cells_sim.v mkdir -p share/microchip cp "./"/techlibs/microchip/polarfire_dsp_map.v share/microchip/polarfire_dsp_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/brams_defs.vh share/microchip/brams_defs.vh mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM_map.v share/microchip/LSRAM_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/LSRAM.txt share/microchip/LSRAM.txt mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM_map.v share/microchip/uSRAM_map.v mkdir -p share/microchip cp "./"/techlibs/microchip/uSRAM.txt share/microchip/uSRAM.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/arith_map.v share/nanoxplore/arith_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_init.vh share/nanoxplore/brams_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams_map.v share/nanoxplore/brams_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/brams.txt share/nanoxplore/brams.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb.v share/nanoxplore/cells_bb.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_l.v share/nanoxplore/cells_bb_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_m.v share/nanoxplore/cells_bb_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_bb_u.v share/nanoxplore/cells_bb_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_map.v share/nanoxplore/cells_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim.v share/nanoxplore/cells_sim.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_l.v share/nanoxplore/cells_sim_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_m.v share/nanoxplore/cells_sim_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_sim_u.v share/nanoxplore/cells_sim_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap.v share/nanoxplore/cells_wrap.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_l.v share/nanoxplore/cells_wrap_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_m.v share/nanoxplore/cells_wrap_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/cells_wrap_u.v share/nanoxplore/cells_wrap_u.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/io_map.v share/nanoxplore/io_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/latches_map.v share/nanoxplore/latches_map.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_init.vh share/nanoxplore/rf_init.vh mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_l.txt share/nanoxplore/rf_rams_l.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_m.txt share/nanoxplore/rf_rams_m.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_u.txt share/nanoxplore/rf_rams_u.txt mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_l.v share/nanoxplore/rf_rams_map_l.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_m.v share/nanoxplore/rf_rams_map_m.v mkdir -p share/nanoxplore cp "./"/techlibs/nanoxplore/rf_rams_map_u.v share/nanoxplore/rf_rams_map_u.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh mkdir -p share/nexus cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v mkdir -p share/quicklogic/common cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v mkdir -p kernel/ g++ -o kernel/version_a00137c2f691c02011db4d75e55c4e366f2b1938.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_a00137c2f691c02011db4d75e55c4e366f2b1938.cc mkdir -p kernel/ g++ -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ g++ -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/microchip_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/microchip_dsp.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc mkdir -p ./ g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl9.0 -ltclstub mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p share/quicklogic/qlf_k6n10f cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++17 -O3 -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc g++ -o yosys -rdynamic kernel/version_a00137c2f691c02011db4d75e55c4e366f2b1938.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/cost.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/sexpr.o kernel/drivertools.o kernel/functional.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/aiger2/xaiger.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/internal_stats.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/cmds/example_dt.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/hierarchy/keep_hierarchy.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/microchip_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/abc_new.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/bufnorm.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/techmap/clockgate.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/aiger2/aiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/functional/cxx.o backends/functional/smtlib.o backends/functional/smtlib_rosette.o backends/functional/test_generic.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/microchip/synth_microchip.o techlibs/microchip/microchip_dffopt.o techlibs/nanoxplore/synth_nanoxplore.o techlibs/nanoxplore/nx_carry.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl9.0 -ltclstub Build successful. + make ABCEXTERNAL=/usr/bin/abc DOC_TARGET=latexpdf docs [Makefile.conf] CONFIG := gcc application-specific initialization failed: Cannot find a usable init.tcl in the following directories: {} /usr/share/tcl9.0 /usr/lib/tcl9.0 /lib/tcl9.0 /usr/library /library /tcl9.0/library /tcl9.0.0/library /tcl9.0.0/library This probably means that Tcl wasn't installed properly. application-specific initialization failed: Cannot find a usable init.tcl in the following directories: {} /usr/share/tcl9.0 /usr/lib/tcl9.0 /lib/tcl9.0 /usr/library /library /tcl9.0/library /tcl9.0.0/library /tcl9.0.0/library This probably means that Tcl wasn't installed properly. mkdir -p docs/source/cmd ./yosys -p 'help -write-rst-command-reference-manual' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2024 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.46+11 (git sha1 a00137c2f691c02011db4d75e55c4e366f2b1938, g++ 14.2.1 -O2 -fexceptions -fstack-protector-strong -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -O3) -- Running command `help -write-rst-command-reference-manual' -- abc [options] [selection] This pass uses the ABC tool [1] for technology mapping of yosys's internal gate library to a target architecture. -exe use the specified command instead of "/usr/bin/abc" to execute ABC. This can e.g. be used to call a specific version of ABC or a wrapper. -script use the specified ABC script file instead of the default script. if starts with a plus sign (+), then the rest of the filename string is interpreted as the command string to be passed to ABC. The leading plus sign is removed and all commas (,) in the string are replaced with blanks before the string is passed to ABC. if no -script parameter is given, the following scripts are used: for -liberty/-genlib without -constr: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put for -liberty/-genlib with -constr: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put; buffer; upsize {D}; dnsize {D}; stime -p for -lut/-luts (only one LUT size): strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; dch -f; if; mfs2; lutpack {S} for -lut/-luts (different LUT sizes): strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; dch -f; if; mfs2 for -sop: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; dch -f; cover {I} {P} otherwise: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put -fast use different default scripts that are slightly faster (at the cost of output quality): for -liberty/-genlib without -constr: strash; dretime; map {D} for -liberty/-genlib with -constr: strash; dretime; map {D}; buffer; upsize {D}; dnsize {D}; stime -p for -lut/-luts: strash; dretime; if for -sop: strash; dretime; cover {I} {P} otherwise: strash; dretime; map -liberty generate netlists for the specified cell library (using the liberty file format). -dont_use generate netlists for the specified cell library (using the liberty file format). -genlib generate netlists for the specified cell library (using the SIS Genlib file format). -constr pass this file with timing constraints to ABC. use with -liberty/-genlib. a constr file contains two lines: set_driving_cell set_load the set_driving_cell statement defines which cell type is assumed to drive the primary inputs and the set_load statement sets the load in femtofarads for each primary output. -D set delay target. the string {D} in the default scripts above is replaced by this option when used, and an empty string otherwise. this also replaces 'dretime' with 'dretime; retime -o {D}' in the default scripts above. -I maximum number of SOP inputs. (replaces {I} in the default scripts above) -P maximum number of SOP products. (replaces {P} in the default scripts above) -S maximum number of LUT inputs shared. (replaces {S} in the default scripts above, default: -S 1) -lut generate netlist using luts of (max) the specified width. -lut : generate netlist using luts of (max) the specified width . All luts with width <= have constant cost. for luts larger than the area cost doubles with each additional input bit. the delay cost is still constant for all lut widths. -luts ,,,:,.. generate netlist using luts. Use the specified costs for luts with 1, 2, 3, .. inputs. -sop map to sum-of-product cells and inverters -g type1,type2,... Map to the specified list of gate types. Supported gates types are: AND, NAND, OR, NOR, XOR, XNOR, ANDNOT, ORNOT, MUX, NMUX, AOI3, OAI3, AOI4, OAI4. (The NOT gate is always added to this list automatically.) The following aliases can be used to reference common sets of gate types: simple: AND OR XOR MUX cmos2: NAND NOR cmos3: NAND NOR AOI3 OAI3 cmos4: NAND NOR AOI3 OAI3 AOI4 OAI4 cmos: NAND NOR AOI3 OAI3 AOI4 OAI4 NMUX MUX XOR XNOR gates: AND NAND OR NOR XOR XNOR ANDNOT ORNOT aig: AND NAND OR NOR ANDNOT ORNOT The alias 'all' represent the full set of all gate types. Prefix a gate type with a '-' to remove it from the list. For example the arguments 'AND,OR,XOR' and 'simple,-MUX' are equivalent. The default is 'all,-NMUX,-AOI3,-OAI3,-AOI4,-OAI4'. -dff also pass $_DFF_?_ and $_DFFE_??_ cells through ABC. modules with many clock domains are automatically partitioned in clock domains and each domain is passed through ABC independently. -clk [!][,[!]] use only the specified clock domain. this is like -dff, but only FF cells that belong to the specified clock domain are used. -keepff set the "keep" attribute on flip-flop output wires. (and thus preserve them, for example for equivalence checking.) -nocleanup when this option is used, the temporary files created by this pass are not removed. this is useful for debugging. -showtmp print the temp dir name in log. usually this is suppressed so that the command output is identical across runs. -markgroups set a 'abcgroup' attribute on all objects created by ABC. The value of this attribute is a unique integer for each ABC process started. This is useful for debugging the partitioning of clock domains. -dress run the 'dress' command after all other ABC commands. This aims to preserve naming by an equivalence check between the original and post-ABC netlists (experimental). When no target cell library is specified the Yosys standard cell library is loaded into ABC before the ABC script is executed. Note that this is a logic optimization pass within Yosys that is calling ABC internally. This is not going to "run ABC on your design". It will instead run ABC on logic snippets extracted from your design. You will not get any useful output when passing an ABC script that writes a file. Instead write your full design as BLIF file with write_blif and then load that into ABC externally if you want to use ABC to convert your design into another format. [1] http://www.eecs.berkeley.edu/~alanmi/abc/ abc9 [options] [selection] This script pass performs a sequence of commands to facilitate the use of the ABC tool [1] for technology mapping of the current design to a target FPGA architecture. Only fully-selected modules are supported. -run : only run the commands between the labels (see below). an empty from label is synonymous to 'begin', and empty to label is synonymous to the end of the command list. -exe use the specified command instead of "/usr/bin/abc" to execute ABC. This can e.g. be used to call a specific version of ABC or a wrapper. -script use the specified ABC script file instead of the default script. if starts with a plus sign (+), then the rest of the filename string is interpreted as the command string to be passed to ABC. The leading plus sign is removed and all commas (,) in the string are replaced with blanks before the string is passed to ABC. if no -script parameter is given, the following scripts are used: &scorr; &sweep; &dc2; &dch -f; &ps; &if {C} {W} {D} {R} -v; &mfs -fast use different default scripts that are slightly faster (at the cost of output quality): &if {C} {W} {D} {R} -v -D set delay target. the string {D} in the default scripts above is replaced by this option when used, and an empty string otherwise (indicating best possible delay). -lut generate netlist using luts of (max) the specified width. -lut : generate netlist using luts of (max) the specified width . All luts with width <= have constant cost. for luts larger than the area cost doubles with each additional input bit. the delay cost is still constant for all lut widths. -lut pass this file with lut library to ABC. -luts ,,,:,.. generate netlist using luts. Use the specified costs for luts with 1, 2, 3, .. inputs. -maxlut when auto-generating the lut library, discard all luts equal to or greater than this size (applicable when neither -lut nor -luts is specified). -dff also pass $_DFF_[NP]_ cells through to ABC. modules with many clock domains are supported and automatically partitioned by ABC. -nocleanup when this option is used, the temporary files created by this pass are not removed. this is useful for debugging. -showtmp print the temp dir name in log. usually this is suppressed so that the command output is identical across runs. -box pass this file with box library to ABC. Note that this is a logic optimization pass within Yosys that is calling ABC internally. This is not going to "run ABC on your design". It will instead run ABC on logic snippets extracted from your design. You will not get any useful output when passing an ABC script that writes a file. Instead write your full design as an XAIGER file with `write_xaiger' and then load that into ABC externally if you want to use ABC to convert your design into another format. [1] http://www.eecs.berkeley.edu/~alanmi/abc/ check: abc9_ops -check [-dff] (option if -dff) map: abc9_ops -prep_hier [-dff] (option if -dff) scc -specify -set_attr abc9_scc_id {} abc9_ops -prep_bypass [-prep_dff] (option if -dff) design -stash $abc9 design -load $abc9_map proc wbflip techmap -wb -map %$abc9 -map +/techmap.v A:abc9_flop opt -nodffe -nosdff abc9_ops -prep_dff_submod (only if -dff) setattr -set submod "$abc9_flop" t:$_DFF_?_ %ci* %co* t:$_DFF_?_ %d (only if -dff) submod (only if -dff) setattr -mod -set whitebox 1 -set abc9_flop 1 -set abc9_box 1 *_$abc9_flop (only if -dff) foreach module in design rename _$abc9_flop _TECHMAP_REPLACE_ (only if -dff) abc9_ops -prep_dff_unmap (only if -dff) design -copy-to $abc9 =*_$abc9_flop (only if -dff) delete =*_$abc9_flop (only if -dff) design -stash $abc9_map design -load $abc9 design -delete $abc9 techmap -wb -max_iter 1 -map %$abc9_map -map +/abc9_map.v [-D DFF] (option if -dff) design -delete $abc9_map pre: read_verilog -icells -lib -specify +/abc9_model.v abc9_ops -break_scc -prep_delays -prep_xaiger [-dff] (option for -dff) abc9_ops -prep_lut (skip if -lut or -luts) abc9_ops -prep_box (skip if -box) design -stash $abc9 design -load $abc9_holes techmap -wb -map %$abc9 -map +/techmap.v opt -purge aigmap design -stash $abc9_holes design -load $abc9 design -delete $abc9 exe: aigmap foreach module in selection abc9_ops -write_lut /input.lut (skip if '-lut' or '-luts') abc9_ops -write_box /input.box (skip if '-box') write_xaiger -map /input.sym [-dff] /input.xaig abc9_exe [options] -cwd -lut [/input.lut] -box [/input.box] read_aiger -xaiger -wideports -module_name $abc9 -map /input.sym /output.aig abc9_ops -reintegrate [-dff] unmap: techmap -wb -map %$abc9_unmap -map +/abc9_unmap.v design -delete $abc9_unmap design -delete $abc9_holes delete =*_$abc9_byp setattr -mod -unset abc9_box_id abc9_exe [options] This pass uses the ABC tool [1] for technology mapping of the top module (according to the (* top *) attribute or if only one module is currently selected) to a target FPGA architecture. -exe use the specified command instead of "/usr/bin/abc" to execute ABC. This can e.g. be used to call a specific version of ABC or a wrapper. -script use the specified ABC script file instead of the default script. if starts with a plus sign (+), then the rest of the filename string is interpreted as the command string to be passed to ABC. The leading plus sign is removed and all commas (,) in the string are replaced with blanks before the string is passed to ABC. if no -script parameter is given, the following scripts are used: &scorr; &sweep; &dc2; &dch -f; &ps; &if {C} {W} {D} {R} -v; &mfs -fast use different default scripts that are slightly faster (at the cost of output quality): &if {C} {W} {D} {R} -v -constr pass this file with timing constraints to ABC. use with -liberty. a constr file contains two lines: set_driving_cell set_load the set_driving_cell statement defines which cell type is assumed to drive the primary inputs and the set_load statement sets the load in femtofarads for each primary output. -liberty read the given Liberty file as a description of the target cell library. this option can be used multiple times. -dont_use avoid usage of the technology cell when mapping the design. this option can be used multiple times. -D set delay target. the string {D} in the default scripts above is replaced by this option when used, and an empty string otherwise (indicating best possible delay). -lut generate netlist using luts of (max) the specified width. -lut : generate netlist using luts of (max) the specified width . All luts with width <= have constant cost. for luts larger than the area cost doubles with each additional input bit. the delay cost is still constant for all lut widths. -lut pass this file with lut library to ABC. -luts ,,,:,.. generate netlist using luts. Use the specified costs for luts with 1, 2, 3, .. inputs. -showtmp print the temp dir name in log. usually this is suppressed so that the command output is identical across runs. -box pass this file with box library to ABC. -cwd use this as the current working directory, inside which the 'input.xaig' file is expected. temporary files will be created in this directory, and the mapped result will be written to 'output.aig'. Note that this is a logic optimization pass within Yosys that is calling ABC internally. This is not going to "run ABC on your design". It will instead run ABC on logic snippets extracted from your design. You will not get any useful output when passing an ABC script that writes a file. Instead write your full design as BLIF file with write_blif and then load that into ABC externally if you want to use ABC to convert your design into another format. [1] http://www.eecs.berkeley.edu/~alanmi/abc/ abc9_ops [options] [selection] This pass contains a set of supporting operations for use during ABC technology mapping, and is expected to be called in conjunction with other operations from the `abc9' script pass. Only fully-selected modules are supported. -check check that the design is valid, e.g. (* abc9_box_id *) values are unique, (* abc9_carry *) is only given for one input/output port, etc. -prep_hier derive all used (* abc9_box *) or (* abc9_flop *) (if -dff option) whitebox modules. with (* abc9_flop *) modules, only those containing $dff/$_DFF_[NP]_ cells with zero initial state -- due to an ABC limitation -- will be derived. -prep_bypass create techmap rules in the '$abc9_map' and '$abc9_unmap' designs for bypassing sequential (* abc9_box *) modules using a combinatorial box (named *_$abc9_byp). bypassing is necessary if sequential elements (e.g. $dff, $mem, etc.) are discovered inside so that any combinatorial paths will be correctly captured. this bypass box will only contain ports that are referenced by a simple path declaration ($specify2 cell) inside a specify block. -prep_dff select all (* abc9_flop *) modules instantiated in the design and store in the named selection '$abc9_flops'. -prep_dff_submod within (* abc9_flop *) modules, rewrite all edge-sensitive path declarations and $setup() timing checks ($specify3 and $specrule cells) that share a 'DST' port with the $_DFF_[NP]_.Q port from this 'Q' port to the DFF's 'D' port. this is to prepare such specify cells to be moved into the flop box. -prep_dff_unmap populate the '$abc9_unmap' design with techmap rules for mapping *_$abc9_flop cells back into their derived cell types (where the rules created by -prep_hier will then map back to the original cell with parameters). -prep_delays insert `$__ABC9_DELAY' blackbox cells into the design to account for certain required times. -break_scc for an arbitrarily chosen cell in each unique SCC of each selected module (tagged with an (* abc9_scc_id = *) attribute) interrupt all wires driven by this cell's outputs with a temporary $__ABC9_SCC_BREAKER cell to break the SCC. -prep_xaiger prepare the design for XAIGER output. this includes computing the topological ordering of ABC9 boxes, as well as preparing the '$abc9_holes' design that contains the logic behaviour of ABC9 whiteboxes. -dff consider flop cells (those instantiating modules marked with (* abc9_flop *)) during -prep_{delays,xaiger,box}. -prep_lut pre-compute the lut library by analysing all modules marked with (* abc9_lut= *). -write_lut write the pre-computed lut library to . -prep_box pre-compute the box library by analysing all modules marked with (* abc9_box *). -write_box write the pre-computed box library to . -reintegrate for each selected module, re-intergrate the module '$abc9' by first recovering ABC9 boxes, and then stitching in the remaining primary inputs and outputs. abc_new [options] [selection] This command uses the ABC tool [1] to optimize the current design and map it to the target standard cell library. -run : only run the commands between the labels (see below). an empty from label is synonymous to 'begin', and empty to label is synonymous to the end of the command list. -exe -script -D -constr -dont_use -liberty these options are passed on to the 'abc9_exe' command which invokes the ABC tool on individual modules of the design. please see 'help abc9_exe' for more details [1] http://www.eecs.berkeley.edu/~alanmi/abc/ check: abc9_ops -check prep_boxes: box_derive abc9_ops -prep_box map: foreach module in selection abc9_ops -write_box /input.box write_xaiger2 -mapping_prep -map2 /input.map2 /input.xaig abc9_exe [options] -cwd -box /input.box read_xaiger2 -sc_mapping -module_name -map2 /input.map2 /output.aig WARNING: THE 'abc_new' COMMAND IS EXPERIMENTAL. add [selection] This command adds objects to the design. It operates on all fully selected modules. So e.g. 'add -wire foo' will add a wire foo to all selected modules. add {-wire|-input|-inout|-output} [selection] Add a wire (input, inout, output port) with the given name and width. The command will fail if the object exists already and has different properties than the object to be created. add -global_input [selection] Like 'add -input', but also connect the signal between instances of the selected modules. add {-assert|-assume|-live|-fair|-cover} [-if ] Add an $assert, $assume, etc. cell connected to a wire named name1, with its enable signal optionally connected to a wire named name2 (default: 1'b1). add -mod Add module[s] with the specified name[s]. aigmap [options] [selection] Replace all logic cells with circuits made of only $_AND_ and $_NOT_ cells. -nand Enable creation of $_NAND_ cells -select Overwrite replaced cells in the current selection with new $_AND_, $_NOT_, and $_NAND_, cells alumacc [selection] This pass translates arithmetic operations like $add, $mul, $lt, etc. to $alu and $macc cells. anlogic_eqn [selection] Calculate equations for luts since bitstream generator depends on it. anlogic_fixcarry [options] [selection] Add Anlogic adders to fix carry chain if needed. assertpmux [options] [selection] This command adds asserts to the design that assert that all parallel muxes ($pmux cells) have a maximum of one of their inputs enable at any time. -noinit do not enforce the pmux condition during the init state -always usually the $pmux condition is only checked when the $pmux output is used by the mux tree it drives. this option will deactivate this additional constraint and check the $pmux condition always. async2sync [options] [selection] This command replaces async FF inputs with sync circuits emulating the same behavior for when the async signals are actually synchronized to the clock. This pass assumes negative hold time for the async FF inputs. For example when a reset deasserts with the clock edge, then the FF output will still drive the reset value in the next cycle regardless of the data-in value at the time of the clock edge. -nolower Do not automatically run 'chformal -lower' to lower $check cells. attrmap [options] [selection] This command renames attributes and/or maps key/value pairs to other key/value pairs. -tocase Match attribute names case-insensitively and set it to the specified name. -rename Rename attributes as specified -map = = Map key/value pairs as indicated. -imap = = Like -map, but use case-insensitive match for when it is a string value. -remove = Remove attributes matching this pattern. -modattr Operate on module attributes instead of attributes on wires and cells. For example, mapping Xilinx-style "keep" attributes to Yosys-style: attrmap -tocase keep -imap keep="true" keep=1 \ -imap keep="false" keep=0 -remove keep=0 attrmvcp [options] [selection] Move or copy attributes on wires to the cells driving them. -copy By default, attributes are moved. This will only add the attribute to the cell, without removing it from the wire. -purge If no selected cell consumes the attribute, then it is left on the wire by default. This option will cause the attribute to be removed from the wire, even if no selected cell takes it. -driven By default, attriburtes are moved to the cell driving the wire. With this option set it will be moved to the cell driven by the wire instead. -attr Move or copy this attribute. This option can be used multiple times. autoname [selection] Assign auto-generated public names to objects with private names (the ones with $-prefix). blackbox [options] [selection] Convert modules into blackbox modules (remove contents and set the blackbox module attribute). bmuxmap [selection] This pass transforms $bmux cells to trees of $mux cells. -pmux transform to $pmux instead of $mux cells. booth [selection] This pass replaces multiplier cells with a radix-4 Booth-encoded implementation. It operates on $mul cells whose width of operands is at least 4x4 and whose width of result is at least 8. -lowpower use an alternative low-power architecture for the generated multiplier (signed multipliers only) box_derive [-base ] [-naming_attr ] [selection] As part of the assembly of the design hierarchy done by the 'hierarchy' command, specializations of parametric modules are derived on demand: for each choice of parameter values appearing in the design, a copy of the parametric module is derived which is specialized to that choice. This derivation process ignores blackboxes and whiteboxes (boxes). To supplement, this 'box_derive' command can be used to request the derivation of modules based on box instances appearing in the design, which is desirable in certain use cases. Only the selected cells are considered as the instances that steer the derivation process. -base instead of deriving the module that directly corresponds to each box instance, derive a specialization of (this option applies to all selected box cells) -naming_attr once a specialization is derived, use the value of the module attribute for a name which should be used for the derived module (this replaces the internal Yosys naming scheme in which the names of derived modules start with '$paramod$') bufnorm [options] [selection] Insert buffer cells into the design as needed, to make sure that each wire has exactly one driving cell port, and aliasing wires are buffered using buffer cells, than can be chained in a canonical order. Running 'bufnorm' on the whole design enters 'buffered-normalized mode'. -buf Create $buf cells for all buffers. The default is to use $_BUF_ cells for sigle-bit buffers and $buf cells only for multi-bit buffers. -chain Chain all alias wires. By default only wires with positive-valued 'chain' or 'keep' attribute on them are chained. -output Enable chaining of ouput ports wires. -public Enable chaining of wires wth public names. -nochain Disable chaining of wires with 'chain' attribute. -nokeep Disable chaining of wires with 'keep' attribute. -flat Alias for -nokeep and -nochain. -nosticky Disable 'sticky' behavior of output ports already driving whole wires, and always enforce canonical sort order instead. -alphasort Strictly use alphanumeric sort for chain-order. (Default is to chain 'keep' wires first, then ports in declaration order, and then the other wires in alphanumeric sort order.) Run 'bufnorm' with -pos, -bits, or -conn on the whole design to remove all $buf buffer cells and exit 'buffered-normalized mode' again. -pos Create (multi- and single-bit) $pos cells instead $buf and $_BUF_. -bits Create arrays of $_BUF_ cells instead of multi-bit $buf cells. -conn Create 'direct connections' instead of buffer cells. -nomode Do not automatically enter or leave 'buffered-normalized mode'. The 'bufnorm' command can also be used to just switch in and out of 'buffered-normalized mode' and run the low-level re-normalizer. -update Enter 'buffered-normalized mode' and (re-)normalize. -reset Leave 'buffered-normalized mode' without changing the netlist. WARNING: THE 'bufnorm' COMMAND IS EXPERIMENTAL. bugpoint [options] [-script | -command ""] This command minimizes the current design that is known to crash Yosys with the given script into a smaller testcase. It does this by removing an arbitrary part of the design and recursively invokes a new Yosys process with this modified design and the same script, repeating these steps while it can find a smaller design that still causes a crash. Once this command finishes, it replaces the current design with the smallest testcase it was able to produce. In order to save the reduced testcase you must write this out to a file with another command after `bugpoint` like `write_rtlil` or `write_verilog`. -script | -command "" use this script file or command to crash Yosys. required. -yosys use this Yosys binary. if not specified, `yosys` is used. -grep "" only consider crashes that place this string in the log file. -fast run `proc_clean; clean -purge` after each minimization step. converges faster, but produces larger testcases, and may fail to produce any testcase at all if the crash is related to dangling wires. -clean run `proc_clean; clean -purge` before checking testcase and after finishing. produces smaller and more useful testcases, but may fail to produce any testcase at all if the crash is related to dangling wires. It is possible to constrain which parts of the design will be considered for removal. Unless one or more of the following options are specified, all parts will be considered. -modules try to remove modules. modules with a (* bugpoint_keep *) attribute will be skipped. -ports try to remove module ports. ports with a (* bugpoint_keep *) attribute will be skipped (useful for clocks, resets, etc.) -cells try to remove cells. cells with a (* bugpoint_keep *) attribute will be skipped. -connections try to reconnect ports to 'x. -processes try to remove processes. processes with a (* bugpoint_keep *) attribute will be skipped. -assigns try to remove process assigns from cases. -updates try to remove process updates from syncs. -runner "" child process wrapping command, e.g., "timeout 30", or valgrind. bwmxumap [options] [selection] This pass replaces $bwmux cells with equivalent logic cd This is just a shortcut for 'select -module '. cd When no module with the specified name is found, but there is a cell with the specified name in the current module, then this is equivalent to 'cd '. cd .. Remove trailing substrings that start with '.' in current module name until the name of a module in the current design is generated, then switch to that module. Otherwise clear the current selection. cd This is just a shortcut for 'select -clear'. cellmatch -lib [module selection] This pass identifies functionally equivalent counterparts between each of the selected modules and a module from the secondary design . For every such correspondence found, a techmap rule is generated for mapping instances of the former to instances of the latter. This techmap rule is saved in yet another design called '$cellmatch', which is created if non-existent. This pass restricts itself to combinational modules. Modules are functionally equivalent as long as their truth tables are identical upto a permutation of inputs and outputs. The supported number of inputs is limited to 6. check [options] [selection] This pass identifies the following problems in the current design: - combinatorial loops - two or more conflicting drivers for one wire - used wires that do not have a driver Options: -noinit also check for wires which have the 'init' attribute set -initdrv also check for wires that have the 'init' attribute set and are not driven by an FF cell type -mapped also check for internal cells that have not been mapped to cells of the target architecture -allow-tbuf modify the -mapped behavior to still allow $_TBUF_ cells -assert produce a runtime error if any problems are found in the current design -force-detailed-loop-check for the detection of combinatorial loops, use a detailed connectivity model for all internal cells for which it is available. This disables falling back to a simpler overapproximating model for those cells for which the detailed model is expected costly. chformal [types] [mode] [options] [selection] Make changes to the formal constraints of the design. The [types] options the type of constraint to operate on. If none of the following options are given, the command will operate on all constraint types: -assert $assert cells, representing assert(...) constraints -assume $assume cells, representing assume(...) constraints -live $live cells, representing assert(s_eventually ...) -fair $fair cells, representing assume(s_eventually ...) -cover $cover cells, representing cover() statements Additionally chformal will operate on $check cells corresponding to the selected constraint types. Exactly one of the following modes must be specified: -remove remove the cells and thus constraints from the design -early bypass FFs that only delay the activation of a constraint. When inputs of the bypassed FFs do not remain stable between clock edges, this may result in unexpected behavior. -delay delay activation of the constraint by clock cycles -skip ignore activation of the constraint in the first clock cycles -coverenable add cover statements for the enable signals of the constraints -assert2assume -assume2assert -live2fair -fair2live change the roles of cells as indicated. these options can be combined -lower convert each $check cell into an $assert, $assume, $live, $fair or $cover cell. If the $check cell contains a message, also produce a $print cell. chparam [ -set name value ]... [selection] Re-evaluate the selected modules with new parameters. String values must be passed in double quotes ("). chparam -list [selection] List the available parameters of the selected modules. chtype [options] [selection] Change the types of cells in the design. -set set the cell type to the given type -map change cells types that match to clean [options] [selection] This is identical to 'opt_clean', but less verbose. When commands are separated using the ';;' token, this command will be executed between the commands. When commands are separated using the ';;;' token, this command will be executed in -purge mode between the commands. clean_zerowidth [selection] Fixes the selected cells and processes to contain no zero-width connections. Depending on the cell type, this may be implemented by removing the connection, widening it to 1-bit, or removing the cell altogether. clk2fflogic [options] [selection] This command replaces clocked flip-flops with generic $ff cells that use the implicit global clock. This is useful for formal verification of designs with multiple clocks. This pass assumes negative hold time for the async FF inputs. For example when a reset deasserts with the clock edge, then the FF output will still drive the reset value in the next cycle regardless of the data-in value at the time of the clock edge. -nolower Do not automatically run 'chformal -lower' to lower $check cells. clkbufmap [options] [selection] Inserts clock buffers between nets connected to clock inputs and their drivers. In the absence of any selection, all wires without the 'clkbuf_inhibit' attribute will be considered for clock buffer insertion. Alternatively, to consider all wires without the 'buffer_type' attribute set to 'none' or 'bufr' one would specify: 'w:* a:buffer_type=none a:buffer_type=bufr %u %d' as the selection. -buf : Specifies the cell type to use for the clock buffers and its port names. The first port will be connected to the clock network sinks, and the second will be connected to the actual clock source. -inpad : If specified, a PAD cell of the given type is inserted on clock nets that are also top module's inputs (in addition to the clock buffer, if any). At least one of -buf or -inpad should be specified. clockgate [options] [selection] This pass transforms each set of FFs sharing the same clock and enable signal into a clock-gating cell and a set of enable-less FFs. Primarily a power-saving transformation on ASIC designs. -pos :: If specified, rising-edge FFs will have CE inputs removed and a gated clock will be created by the user-specified ICG (integrated clock gating) cell with ports named , , . The ICG's clock enable pin must be active high. -neg :: If specified, falling-edge FFs will have CE inputs removed and a gated clock will be created by the user-specified ICG (integrated clock gating) cell with ports named , , . The ICG's clock enable pin must be active high. -tie_lo Port of the ICG will be tied to zero. Intended for DFT scan-enable pins. -min_net_size Only transform sets of at least eligible FFs. connect [-nomap] [-nounset] -set [selection] Create a connection. This is equivalent to adding the statement 'assign = ;' to the Verilog input. Per default, all existing drivers for are unconnected. This can be overwritten by using the -nounset option. connect [-nomap] -unset [selection] Unconnect all existing drivers for the specified expression. connect [-nomap] [-assert] -port [selection] Connect the specified cell port to the specified cell port. Per default signal alias names are resolved and all signal names are mapped the the signal name of the primary driver. Using the -nomap option deactivates this behavior. The connect command operates in one module only. Either only one module must be selected or an active module must be set using the 'cd' command. The -assert option verifies that the connection already exists, instead of making it. This command does not operate on module with processes. connect_rpc -exec [args...] connect_rpc -path Load modules using an out-of-process frontend. -exec [args...] run with arguments [args...]. send requests on stdin, read responses from stdout. -path connect to Unix domain socket at . (Unix) connect to bidirectional byte-type named pipe at . (Windows) A simple JSON-based, newline-delimited protocol is used for communicating with the frontend. Yosys requests data from the frontend by sending exactly 1 line of JSON. Frontend responds with data or error message by replying with exactly 1 line of JSON as well. -> {"method": "modules"} <- {"modules": ["", ...]} <- {"error": ""} request for the list of modules that can be derived by this frontend. the 'hierarchy' command will call back into this frontend if a cell with type is instantiated in the design. -> {"method": "derive", "module": ", "parameters": { "": {"type": "[unsigned|signed|string|real]", "value": ""}, ...}} <- {"frontend": "[rtlil|verilog|...]","source": ""}} <- {"error": ""} request for the module to be derived for a specific set of parameters. starts with \ for named parameters, and with $ for unnamed parameters, which are numbered starting at 1. for integer parameters is always specified as a binary string of unlimited precision. the returned by the frontend is hygienically parsedby a built-in Yosys , allowing the RPC frontend to return anyconvenient representation of the module. the derived module is cached,so the response should be the same whenever the same set of parameters is provided. connwrappers [options] [selection] Wrappers are used in coarse-grain synthesis to wrap cells with smaller ports in wrapper cells with a (larger) constant port size. I.e. the upper bits of the wrapper output are signed/unsigned bit extended. This command uses this knowledge to rewire the inputs of the driven cells to match the output of the driving cell. -signed -unsigned consider the specified signed/unsigned wrapper output -port use the specified parameter to decide if signed or unsigned The options -signed, -unsigned, and -port can be specified multiple times. coolrunner2_fixup [options] [selection] Insert necessary buffer cells for CoolRunner-II architecture. coolrunner2_sop [options] [selection] Break $sop cells into ANDTERM/ORTERM cells. copy old_name new_name Copy the specified module. Note that selection patterns are not supported by this command. cover [options] [pattern] Print the code coverage counters collected using the cover() macro in the Yosys C++ code. This is useful to figure out what parts of Yosys are utilized by a test bench. -q Do not print output to the normal destination (console and/or log file) -o file Write output to this file, truncate if exists. -a file Write output to this file, append if exists. -d dir Write output to a newly created file in the specified directory. When one or more pattern (shell wildcards) are specified, then only counters matching at least one pattern are printed. It is also possible to instruct Yosys to print the coverage counters on program exit to a file using environment variables: YOSYS_COVER_DIR="{dir-name}" yosys {args} This will create a file (with an auto-generated name) in this directory and write the coverage counters to it. YOSYS_COVER_FILE="{file-name}" yosys {args} This will append the coverage counters to the specified file. Hint: Use the following AWK command to consolidate Yosys coverage files: gawk '{ p[$3] = $1; c[$3] += $2; } END { for (i in p) printf "%-60s %10d %s\n", p[i], c[i], i; }' {files} | sort -k3 Coverage counters are only available in Yosys for Linux. cutpoint [options] [selection] This command adds formal cut points to the design. -undef set cupoint nets to undef (x). the default behavior is to create a $anyseq cell and drive the cutpoint net from that debug cmd Execute the specified command with debug log messages enabled delete [selection] Deletes the selected objects. This will also remove entire modules, if the whole module is selected. delete {-input|-output|-port} [selection] Does not delete any object but removes the input and/or output flag on the selected wires, thus 'deleting' module ports. deminout [options] [selection] "Demote" inout ports to input or output ports, if possible. demuxmap [selection] This pass transforms $demux cells to a bunch of equality comparisons. design -reset Clear the current design. design -save Save the current design under the given name. design -stash Save the current design under the given name and then clear the current design. design -push Push the current design to the stack and then clear the current design. design -push-copy Push the current design to the stack without clearing the current design. design -pop Reset the current design and pop the last design from the stack. design -load Reset the current design and load the design previously saved under the given name. design -copy-from [-as ] Copy modules from the specified design into the current one. The selection is evaluated in the other design. design -copy-to [-as ] [selection] Copy modules from the current design into the specified one. design -import [-as ] [selection] Import the specified design into the current design. The source design must either have a selected top module or the selection must contain exactly one module that is then used as top module for this command. design -reset-vlog The Verilog front-end remembers defined macros and top-level declarations between calls to 'read_verilog'. This command resets this memory. design -delete Delete the design previously saved under the given name. dffinit [options] [selection] This pass sets an FF cell parameter to the the initial value of the net it drives. (This is primarily used in FPGA flows.) -ff operate on the specified cell type. this option can be used multiple times. -highlow use the string values "high" and "low" to represent a single-bit initial value of 1 or 0. (multi-bit values are not supported in this mode.) -strinit use string values in the command line to represent a single-bit initial value of 1 or 0. (multi-bit values are not supported in this mode.) -noreinit fail if the FF cell has already a defined initial value set in other passes and the initial value of the net it drives is not equal to the already defined initial value. dfflegalize [options] [selection] Converts FFs to types supported by the target. -cell specifies a supported group of FF cells. is a yosys internal fine cell name, where ? characters can be as a wildcard matching any character. specifies which initialization values these FF cells can support, and can be one of: - x (no init value supported) - 0 - 1 - r (init value has to match reset value, only for some FF types) - 01 (both 0 and 1 supported). -mince specifies a minimum number of FFs that should be using any given clock enable signal. If a clock enable signal doesn't meet this threshold, it is unmapped into soft logic. -minsrst specifies a minimum number of FFs that should be using any given sync set/reset signal. If a sync set/reset signal doesn't meet this threshold, it is unmapped into soft logic. The following cells are supported by this pass (ie. will be ingested, and can be specified as allowed targets): - $_DFF_[NP]_ - $_DFFE_[NP][NP]_ - $_DFF_[NP][NP][01]_ - $_DFFE_[NP][NP][01][NP]_ - $_ALDFF_[NP][NP]_ - $_ALDFFE_[NP][NP][NP]_ - $_DFFSR_[NP][NP][NP]_ - $_DFFSRE_[NP][NP][NP][NP]_ - $_SDFF_[NP][NP][01]_ - $_SDFFE_[NP][NP][01][NP]_ - $_SDFFCE_[NP][NP][01][NP]_ - $_SR_[NP][NP]_ - $_DLATCH_[NP]_ - $_DLATCH_[NP][NP][01]_ - $_DLATCHSR_[NP][NP][NP]_ The following transformations are performed by this pass: - upconversion from a less capable cell to a more capable cell, if the less capable cell is not supported (eg. dff -> dffe, or adff -> dffsr) - unmapping FFs with clock enable (due to unsupported cell type or -mince) - unmapping FFs with sync reset (due to unsupported cell type or -minsrst) - adding inverters on the control pins (due to unsupported polarity) - adding inverters on the D and Q pins and inverting the init/reset values (due to unsupported init or reset value) - converting sr into adlatch (by tying D to 1 and using E as set input) - emulating unsupported dffsr cell by adff + adff + sr + mux - emulating unsupported dlatchsr cell by adlatch + adlatch + sr + mux - emulating adff when the (reset, init) value combination is unsupported by dff + adff + dlatch + mux - emulating adlatch when the (reset, init) value combination is unsupported by - dlatch + adlatch + dlatch + mux If the pass is unable to realize a given cell type (eg. adff when only plain dff is available), an error is raised. dfflibmap [-prepare] [-map-only] [-info] [-dont_use ] -liberty [selection] Map internal flip-flop cells to the flip-flop cells in the technology library specified in the given liberty file. This pass may add inverters as needed. Therefore it is recommended to first run this pass and then map the logic paths to the target technology. When called with -prepare, this command will convert the internal FF cells to the internal cell types that best match the cells found in the given liberty file, but won't actually map them to the target cells. When called with -map-only, this command will only map internal cell types that are already of exactly the right type to match the target cells, leaving remaining internal cells untouched. When called with -info, this command will only print the target cell list, along with their associated internal cell types, and the arguments that would be passed to the dfflegalize pass. The design will not be changed. When called with -dont_use, this command will not map to the specified cell name as an alternative to setting the dont_use property in the Liberty file. This argument can be called multiple times with different cell names. This argument also supports simple glob patterns in the cell name. dffunmap [options] [selection] This pass transforms FF types with clock enable and/or synchronous reset into their base type (with neither clock enable nor sync reset) by emulating the clock enable and synchronous reset with multiplexers on the cell input. -ce-only unmap only clock enables, leave synchronous resets alone. -srst-only unmap only synchronous resets, leave clock enables alone. dft_tag [options] [selection] This pass... TODO -overwrite-only Only process $overwrite_tag and $original_tag cells. -tag-public For each public wire that may carry tagged data, create a new public wire (named :) that carries the tag bits. Note that without this, tagging logic will only be emitted as required for uses of $get_tag. dump [options] [selection] Write the selected parts of the design to the console or specified file in RTLIL format. -m also dump the module headers, even if only parts of a single module is selected -n only dump the module headers if the entire module is selected -o write to the specified file. -a like -outfile but append instead of overwrite echo on Print all commands to log before executing them. echo off Do not print all commands to log before executing them. (default) edgetypes [options] [selection] This command lists all unique types of 'edges' found in the selection. An 'edge' is a 4-tuple of source and sink cell type and port name. efinix_fixcarry [options] [selection] Add Efinix adders to fix carry chain if needed. equiv_add [-try] gold_sig gate_sig This command adds an $equiv cell for the specified signals. equiv_add [-try] -cell gold_cell gate_cell This command adds $equiv cells for the ports of the specified cells. equiv_induct [options] [selection] Uses a version of temporal induction to prove $equiv cells. Only selected $equiv cells are proven and only selected cells are used to perform the proof. -undef enable modelling of undef states -seq the max. number of time steps to be considered (default = 4) This command is very effective in proving complex sequential circuits, when the internal state of the circuit quickly propagates to $equiv cells. However, this command uses a weak definition of 'equivalence': This command proves that the two circuits will not diverge after they produce equal outputs (observable points via $equiv) for at least cycles (the specified via -seq). Combined with simulation this is very powerful because simulation can give you confidence that the circuits start out synced for at least cycles after reset. equiv_make [options] gold_module gate_module equiv_module This creates a module annotated with $equiv cells from two presumably equivalent modules. Use commands such as 'equiv_simple' and 'equiv_status' to work with the created equivalent checking module. -inames Also match cells and wires with $... names. -blacklist Do not match cells or signals that match the names in the file. -encfile Match FSM encodings using the description from the file. See 'help fsm_recode' for details. -make_assert Check equivalence with $assert cells instead of $equiv. $eqx (===) is used to compare signals. Note: The circuit created by this command is not a miter (with something like a trigger output), but instead uses $equiv cells to encode the equivalence checking problem. Use 'miter -equiv' if you want to create a miter circuit. equiv_mark [options] [selection] This command marks the regions in an equivalence checking module. Region 0 is the proven part of the circuit. Regions with higher numbers are connected unproven subcricuits. The integer attribute 'equiv_region' is set on all wires and cells. equiv_miter [options] miter_module [selection] This creates a miter module for further analysis of the selected $equiv cells. -trigger Create a trigger output -cmp Create cmp_* outputs for individual unproven $equiv cells -assert Create a $assert cell for each unproven $equiv cell -undef Create compare logic that handles undefs correctly equiv_opt [options] [command] This command uses temporal induction to check circuit equivalence before and after an optimization pass. -run : only run the commands between the labels (see below). an empty from label is synonymous to the start of the command list, and empty to label is synonymous to the end of the command list. -map expand the modules in this file before proving equivalence. this is useful for handling architecture-specific primitives. -blacklist Do not match cells or signals that match the names in the file (passed to equiv_make). -assert produce an error if the circuits are not equivalent. -multiclock run clk2fflogic before equivalence checking. -async2sync run async2sync before equivalence checking. -undef enable modelling of undef states during equiv_induct. -nocheck disable running check before and after the command under test. The following commands are executed by this verification command: run_pass: hierarchy -auto-top design -save preopt check -assert (unless -nocheck) [command] check -assert (unless -nocheck) design -stash postopt prepare: design -copy-from preopt -as gold A:top design -copy-from postopt -as gate A:top techmap: (only with -map) techmap -wb -D EQUIV -autoproc -map ... prove: clk2fflogic (only with -multiclock) async2sync (only with -async2sync) equiv_make -blacklist ... gold gate equiv equiv_induct [-undef] equiv equiv_status [-assert] equiv restore: design -load preopt equiv_purge [options] [selection] This command removes the proven part of an equivalence checking module, leaving only the unproven segments in the design. This will also remove and add module ports as needed. equiv_remove [options] [selection] This command removes the selected $equiv cells. If neither -gold nor -gate is used then only proven cells are removed. -gold keep gold circuit -gate keep gate circuit equiv_simple [options] [selection] This command tries to prove $equiv cells using a simple direct SAT approach. -v verbose output -undef enable modelling of undef states -short create shorter input cones that stop at shared nodes. This yields simpler SAT problems but sometimes fails to prove equivalence. -nogroup disabling grouping of $equiv cells by output wire -seq the max. number of time steps to be considered (default = 1) equiv_status [options] [selection] This command prints status information for all selected $equiv cells. -assert produce an error if any unproven $equiv cell is found equiv_struct [options] [selection] This command adds additional $equiv cells based on the assumption that the gold and gate circuit are structurally equivalent. Note that this can introduce bad $equiv cells in cases where the netlists are not structurally equivalent, for example when analyzing circuits with cells with commutative inputs. This command will also de-duplicate gates. -fwd by default this command performans forward sweeps until nothing can be merged by forwards sweeps, then backward sweeps until forward sweeps are effective again. with this option set only forward sweeps are performed. -fwonly add the specified cell type to the list of cell types that are only merged in forward sweeps and never in backward sweeps. $equiv is in this list automatically. -icells by default, the internal RTL and gate cell types are ignored. add this option to also process those cell types with this command. -maxiter maximum number of iterations to run before aborting eval [options] [selection] This command evaluates the value of a signal given the value of all required inputs. -set set the specified signal to the specified value. -set-undef set all unspecified source signals to undef (x) -table create a truth table using the specified input signals -show show the value for the specified signal. if no -show option is passed then all output ports of the current module are used. TODO: add help message exec [options] -- [command] Execute a command in the operating system shell. All supplied arguments are concatenated and passed as a command to popen(3). Whitespace is not guaranteed to be preserved, even if quoted. stdin and stderr are not connected, while stdout is logged unless the "-q" option is specified. -q Suppress stdout and stderr from subprocess -expect-return Generate an error if popen() does not return specified value. May only be specified once; the final specified value is controlling if specified multiple times. -expect-stdout Generate an error if the specified regex does not match any line in subprocess's stdout. May be specified multiple times. -not-expect-stdout Generate an error if the specified regex matches any line in subprocess's stdout. May be specified multiple times. Example: exec -q -expect-return 0 -- echo "bananapie" | grep "nana" expose [options] [selection] This command exposes all selected internal signals of a module as additional outputs. -dff only consider wires that are directly driven by register cell. -cut when exposing a wire, create an input/output pair and cut the internal signal path at that wire. -input when exposing a wire, create an input port and disconnect the internal driver. -shared only expose those signals that are shared among the selected modules. this is useful for preparing modules for equivalence checking. -evert also turn connections to instances of other modules to additional inputs and outputs and remove the module instances. -evert-dff turn flip-flops to sets of inputs and outputs. -sep when creating new wire/port names, the original object name is suffixed with this separator (default: '.') and the port name or a type designator for the exposed signal. extract -map [options] [selection] extract -mine [options] [selection] This pass looks for subcircuits that are isomorphic to any of the modules in the given map file and replaces them with instances of this modules. The map file can be a Verilog source file (*.v) or an RTLIL source file (*.il). -map use the modules in this file as reference. This option can be used multiple times. -map % use the modules in this in-memory design as reference. This option can be used multiple times. -verbose print debug output while analyzing -constports also find instances with constant drivers. this may be much slower than the normal operation. -nodefaultswaps normally builtin port swapping rules for internal cells are used per default. This turns that off, so e.g. 'a^b' does not match 'b^a' when this option is used. -compat Per default, the cells in the map file (needle) must have the type as the cells in the active design (haystack). This option can be used to register additional pairs of types that should match. This option can be used multiple times. -swap ,[,...] Register a set of swappable ports for a needle cell type. This option can be used multiple times. -perm ,[,...] ,[,...] Register a valid permutation of swappable ports for a needle cell type. This option can be used multiple times. -cell_attr Attributes on cells with the given name must match. -wire_attr Attributes on wires with the given name must match. -ignore_parameters Do not use parameters when matching cells. -ignore_param Do not use this parameter when matching cells. This pass does not operate on modules with unprocessed processes in it. (I.e. the 'proc' pass should be used first to convert processes to netlists.) This pass can also be used for mining for frequent subcircuits. In this mode the following options are to be used instead of the -map option. -mine mine for frequent subcircuits and write them to the given RTLIL file -mine_cells_span only mine for subcircuits with the specified number of cells default value: 3 5 -mine_min_freq only mine for subcircuits with at least the specified number of matches default value: 10 -mine_limit_matches_per_module when calculating the number of matches for a subcircuit, don't count more than the specified number of matches per module -mine_max_fanout don't consider internal signals with more than connections The modules in the map file may have the attribute 'extract_order' set to an integer value. Then this value is used to determine the order in which the pass tries to map the modules to the design (ascending, default value is 0). See 'help techmap' for a pass that does the opposite thing. extract_counter [options] [selection] This pass converts non-resettable or async resettable counters to counter cells. Use a target-specific 'techmap' map file to convert those cells to the actual target cells. -maxwidth N Only extract counters up to N bits wide (default 64) -minwidth N Only extract counters at least N bits wide (default 2) -allow_arst yes|no Allow counters to have async reset (default yes) -dir up|down|both Look for up-counters, down-counters, or both (default down) -pout X,Y,... Only allow parallel output from the counter to the listed cell types (if not specified, parallel outputs are not restricted) extract_fa [options] [selection] This pass extracts full/half adders from a gate-level design. -fa, -ha Enable cell types (fa=full adder, ha=half adder) All types are enabled if none of this options is used -d Set maximum depth for extracted logic cones (default=20) -b Set maximum breadth for extracted logic cones (default=6) -v Verbose output extract_reduce [options] [selection] converts gate chains into $reduce_* cells This command finds chains of $_AND_, $_OR_, and $_XOR_ cells and replaces them with their corresponding $reduce_* cells. Because this command only operates on these cell types, it is recommended to map the design to only these cell types using the `abc -g` command. Note that, in some cases, it may be more effective to map the design to only $_AND_ cells, run extract_reduce, map the remaining parts of the design to AND/OR/XOR cells, and run extract_reduce a second time. -allow-off-chain Allows matching of cells that have loads outside the chain. These cells will be replicated and folded into the $reduce_* cell, but the original cell will remain, driving its original loads. extractinv [options] [selection] Searches the design for all cells with invertible pins controlled by a cell parameter (eg. IS_CLK_INVERTED on many Xilinx cells) and removes the parameter. If the parameter was set to 1, inserts an explicit inverter cell in front of the pin instead. Normally used for output to ISE, which does not support the inversion parameters. To mark a cell port as invertible, use (* invertible_pin = "param_name" *) on the wire in the blackbox module. The parameter value should have the same width as the port, and will be effectively XORed with it. -inv : Specifies the cell type to use for the inverters and its port names. This option is required. flatten [options] [selection] This pass flattens the design by replacing cells by their implementation. This pass is very similar to the 'techmap' pass. The only difference is that this pass is using the current design as mapping library. Cells and/or modules with the 'keep_hierarchy' attribute set will not be flattened by this command. -wb Ignore the 'whitebox' attribute on cell implementations. -noscopeinfo Do not create '$scopeinfo' cells that preserve attributes of cells and modules that were removed during flattening. With this option, the 'src' attribute of a given cell is merged into all objects replacing that cell, with multiple distinct 'src' locations separated by '|'. Without this option these 'src' locations can be found via the cell_src' and 'module_src' attribute of '$scopeinfo' cells. -scopename Create 'scopename' attributes for objects with a private name. This attribute records the 'hdlname' of the enclosing scope. For objects with a public name the enclosing scope can be found via their 'hdlname' attribute. flowmap [options] [selection] This pass uses the FlowMap technology mapping algorithm to pack logic gates into k-LUTs with optimal depth. It allows mapping any circuit elements that can be evaluated with the `eval` pass, including cells with multiple output ports and multi-bit input and output ports. -maxlut k perform technology mapping for a k-LUT architecture. if not specified, defaults to 3. -minlut n only produce n-input or larger LUTs. if not specified, defaults to 1. -cells [,,...] map specified cells. if not specified, maps $_NOT_, $_AND_, $_OR_, $_XOR_ and $_MUX_, which are the outputs of the `simplemap` pass. -relax perform depth relaxation and area minimization. -r-alpha n, -r-beta n, -r-gamma n parameters of depth relaxation heuristic potential function. if not specified, alpha=8, beta=2, gamma=1. -optarea n optimize for area by trading off at most n logic levels for fewer LUTs. n may be zero, to optimize for area without increasing depth. implies -relax. -debug dump intermediate graphs. -debug-relax explain decisions performed during depth relaxation. fmcombine [options] module_name gold_cell gate_cell This pass takes two cells, which are instances of the same module, and replaces them with one instance of a special 'combined' module, that effectively contains two copies of the original module, plus some formal properties. This is useful for formal test benches that check what differences in behavior a slight difference in input causes in a module. -initeq Insert assumptions that initially all FFs in both circuits have the same initial values. -anyeq Do not duplicate $anyseq/$anyconst cells. -fwd Insert forward hint assumptions into the combined module. -bwd Insert backward hint assumptions into the combined module. (Backward hints are logically equivalend to fordward hits, but some solvers are faster with bwd hints, or even both -bwd and -fwd.) -nop Don't insert hint assumptions into the combined module. (This should not provide any speedup over the original design, but strangely sometimes it does.) If none of -fwd, -bwd, and -nop is given, then -fwd is used as default. fminit [options] This pass creates init constraints (for example for reset sequences) in a formal model. -seq Set sequence using comma-separated list of values, use 'z for unconstrained bits. The last value is used for the remainder of the trace. -set Add constant value constraint -posedge -negedge Set clock for init sequences formalff [options] [selection] This pass transforms clocked flip-flops to prepare a design for formal verification. If a design contains latches and/or multiple different clocks run the async2sync or clk2fflogic passes before using this pass. -clk2ff Replace all clocked flip-flops with $ff cells that use the implicit global clock. This assumes, without checking, that the design uses a single global clock. If that is not the case, the clk2fflogic pass should be used instead. -ff2anyinit Replace uninitialized bits of $ff cells with $anyinit cells. An $anyinit cell behaves exactly like an $ff cell with an undefined initialization value. The difference is that $anyinit inhibits don't-care optimizations and is used to track solver-provided values in witness traces. If combined with -clk2ff this also affects newly created $ff cells. -anyinit2ff Replaces $anyinit cells with uninitialized $ff cells. This performs the reverse of -ff2anyinit and can be used, before running a backend pass (or similar) that is not yet aware of $anyinit cells. Note that after running -anyinit2ff, in general, performing don't-care optimizations is not sound in a formal verification setting. -fine Emit fine-grained $_FF_ cells instead of coarse-grained $ff cells for -anyinit2ff. Cannot be combined with -clk2ff or -ff2anyinit. -setundef Find FFs with undefined initialization values for which changing the initialization does not change the observable behavior and initialize them. For -ff2anyinit, this reduces the number of generated $anyinit cells that drive wires with private names. -hierarchy Propagates the 'replaced_by_gclk' attribute set by clk2ff upwards through the design hierarchy towards the toplevel inputs. This option works on the whole design and ignores the selection. -assume Add assumptions that constrain wires with the 'replaced_by_gclk' attribute to the value they would have before an active clock edge. freduce [options] [selection] This pass performs functional reduction in the circuit. I.e. if two nodes are equivalent, they are merged to one node and one of the redundant drivers is disconnected. A subsequent call to 'clean' will remove the redundant drivers. -v, -vv enable verbose or very verbose output -inv enable explicit handling of inverted signals -stop stop after reduction operations. this is mostly used for debugging the freduce command itself. -dump dump the design to __.il after each reduction operation. this is mostly used for debugging the freduce command. This pass is undef-aware, i.e. it considers don't-care values for detecting equivalent nodes. All selected wires are considered for rewiring. The selected cells cover the circuit that is analyzed. fsm [options] [selection] This pass calls all the other fsm_* passes in a useful order. This performs FSM extraction and optimization. It also calls opt_clean as needed: fsm_detect unless got option -nodetect fsm_extract fsm_opt opt_clean fsm_opt fsm_expand if got option -expand opt_clean if got option -expand fsm_opt if got option -expand fsm_recode unless got option -norecode fsm_info fsm_export if got option -export fsm_map unless got option -nomap Options: -expand, -norecode, -export, -nomap enable or disable passes as indicated above -fullexpand call expand with -full option -encoding type -fm_set_fsm_file file -encfile file passed through to fsm_recode pass This pass uses a subset of FF types to detect FSMs. Run 'opt -nosdff -nodffe' before this pass to prepare the design. fsm_detect [options] [selection] This pass detects finite state machines by identifying the state signal. The state signal is then marked by setting the attribute 'fsm_encoding' on the state signal to "auto". -ignore-self-reset Mark FSMs even if they are self-resetting Existing 'fsm_encoding' attributes are not changed by this pass. Signals can be protected from being detected by this pass by setting the 'fsm_encoding' attribute to "none". This pass uses a subset of FF types to detect FSMs. Run 'opt -nosdff -nodffe' before this pass to prepare the design for fsm_detect. fsm_expand [-full] [selection] The fsm_extract pass is conservative about the cells that belong to a finite state machine. This pass can be used to merge additional auxiliary gates into the finite state machine. By default, fsm_expand is still a bit conservative regarding merging larger word-wide cells. Call with -full to consider all cells for merging. fsm_export [-noauto] [-o filename] [-origenc] [selection] This pass creates a KISS2 file for every selected FSM. For FSMs with the 'fsm_export' attribute set, the attribute value is used as filename, otherwise the module and cell name is used as filename. If the parameter '-o' is given, the first exported FSM is written to the specified filename. This overwrites the setting as specified with the 'fsm_export' attribute. All other FSMs are exported to the default name as mentioned above. -noauto only export FSMs that have the 'fsm_export' attribute set -o filename filename of the first exported FSM -origenc use binary state encoding as state names instead of s0, s1, ... fsm_extract [selection] This pass operates on all signals marked as FSM state signals using the 'fsm_encoding' attribute. It consumes the logic that creates the state signal and uses the state signal to generate control signal and replaces it with an FSM cell. The generated FSM cell still generates the original state signal with its original encoding. The 'fsm_opt' pass can be used in combination with the 'opt_clean' pass to eliminate this signal. fsm_info [selection] This pass dumps all internal information on FSM cells. It can be useful for analyzing the synthesis process and is called automatically by the 'fsm' pass so that this information is included in the synthesis log file. fsm_map [selection] This pass translates FSM cells to flip-flops and logic. fsm_opt [selection] This pass optimizes FSM cells. It detects which output signals are actually not used and removes them from the FSM. This pass is usually used in combination with the 'opt_clean' pass (see also 'help fsm'). fsm_recode [options] [selection] This pass reassign the state encodings for FSM cells. At the moment only one-hot encoding and binary encoding is supported. -encoding specify the encoding scheme used for FSMs without the 'fsm_encoding' attribute or with the attribute set to `auto'. -fm_set_fsm_file generate a file containing the mapping from old to new FSM encoding in form of Synopsys Formality set_fsm_* commands. -encfile write the mappings from old to new FSM encoding to a file in the following format: .fsm .map fst2tb [options] [top-level] This command generates testbench for the circuit using the given top-level module and simulus signal from FST file -tb generated testbench name. files .v and .txt are created as result. -r read simulation FST file -clock name of top-level clock input -clockn name of top-level clock input (inverse polarity) -scope scope of simulation top model -start