Warning: Permanently added '172.25.94.134' (ECDSA) to the list of known hosts. Running: /usr/bin/copr-rpmbuild --verbose --drop-resultdir --build-id 870523 --chroot fedora-rawhide-i386 --detached default Version: 0.24 Task: {'build_id': 870523, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-i386', 'enable_net': False, 'fetch_sources_only': True, 'git_hash': '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd', 'git_repo': 'lkundrak/varia/nextpnr', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '0-0.1.20190319gitcadbf42.fc30', 'project_dirname': 'varia', 'project_name': 'varia', 'project_owner': 'lkundrak', 'repos': [{'baseurl': 'https://copr-be.cloud.fedoraproject.org/results/lkundrak/varia/fedora-rawhide-i386/', 'id': 'copr_base', 'name': 'Copr repository'}], 'source_json': {'clone_url': 'https://copr-dist-git.fedorainfracloud.org/git/lkundrak/varia/nextpnr.git', 'committish': '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd'}, 'source_type': 8, 'submitter': 'lkundrak', 'task_id': '870523-fedora-rawhide-i386', 'timeout': 64800, 'use_bootstrap_container': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/lkundrak/varia/nextpnr.git /tmp/tmpax_y60_z/nextpnr --depth 500 --no-single-branch cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/lkundrak/varia/nextpnr.git', '/tmp/tmpax_y60_z/nextpnr', '--depth', '500', '--no-single-branch'] cwd: . rc: 0 stdout: stderr: Cloning into '/tmp/tmpax_y60_z/nextpnr'... Running: git checkout 5eb2a54b660d8d36e9276f6f43a70220de0f7ffd cmd: ['git', 'checkout', '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd'] cwd: /tmp/tmpax_y60_z/nextpnr rc: 0 stdout: stderr: Note: checking out '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by performing another checkout. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -b with the checkout command again. Example: git checkout -b HEAD is now at 5eb2a54 automatic import of nextpnr Running: cp -r . /tmp/tmp5cvf79vu cmd: ['cp', '-r', '.', '/tmp/tmp5cvf79vu'] cwd: /tmp/tmpax_y60_z/nextpnr rc: 0 stdout: stderr: Generated rpkg config: [rpkg] preprocess_spec = True # auto-packing is deprecated: auto_pack = True [git] lookaside = https://copr-dist-git.fedorainfracloud.org/repo/pkgs/%(ns2)s/%(ns1)s/%(name)s/%(filename)s/%(hashtype)s/%(hash)s/%(filename)s anongiturl = https://copr-dist-git.fedorainfracloud.org/git/%(module)s Writing config into /tmp/tmpax_y60_z/.config/rpkg.conf Running: rpkg -C /tmp/tmpax_y60_z/.config/rpkg.conf sources --outdir /tmp/tmp5cvf79vu cmd: ['rpkg', '-C', '/tmp/tmpax_y60_z/.config/rpkg.conf', 'sources', '--outdir', '/tmp/tmp5cvf79vu'] cwd: /tmp/tmpax_y60_z/nextpnr rc: 0 stdout: Downloading nextpnr-cadbf42.tar.gz from lookaside cache at copr-dist-git.fedorainfracloud.org stderr: /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Running (timeout=64800): unbuffer /usr/bin/mock --buildsrpm --spec /tmp/tmp5cvf79vu/nextpnr.spec --sources /tmp/tmp5cvf79vu --configdir /var/lib/copr-rpmbuild/results/configs --resultdir /var/lib/copr-rpmbuild/results --define '%_disable_source_fetch 0' --uniqueext 1552998169.188696 -r child WARNING: Could not find required logging config file: /var/lib/copr-rpmbuild/results/configs/logging.ini. Using default... INFO: mock.py version 1.4.14 starting (python version = 3.6.5)... Start: init plugins INFO: tmpfs initialized INFO: selinux disabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins Start: run INFO: Start(/tmp/tmp5cvf79vu/nextpnr.spec) Config(870523-fedora-rawhide-i386) Start: clean chroot Finish: clean chroot Start: chroot init INFO: mounting tmpfs at /var/lib/mock/870523-fedora-rawhide-i386-1552998169.188696/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled HW Info plugin Mock Version: 1.4.14 INFO: Mock Version: 1.4.14 Start: dnf install 'Copr repository' 915 kB/s | 66 kB 00:00 fedora 66 MB/s | 55 MB 00:00 Last metadata expiration check: 0:00:00 ago on Tue 19 Mar 2019 12:22:53 PM UTC. Dependencies resolved. ================================================================================ Group Packages ================================================================================ Marking packages as installed by the group: @Buildsystem building group cpio sed tar gzip bzip2 gawk bash shadow-utils which unzip rpm-build info diffutils make grep util-linux fedora-release glibc-minimal-langpack coreutils findutils patch redhat-rpm-config xz ================================================================================ Package Arch Version Repository Size ================================================================================ Installing group packages: bash i686 5.0.2-1.fc30 fedora 1.7 M bzip2 i686 1.0.6-29.fc30 fedora 50 k coreutils i686 8.31-1.fc31 fedora 1.3 M cpio i686 2.12-10.fc30 fedora 255 k diffutils i686 3.7-2.fc30 fedora 395 k fedora-release noarch 31-0.1 fedora 10 k findutils i686 1:4.6.0-22.fc30 fedora 515 k gawk i686 4.2.1-6.fc30 fedora 1.1 M glibc-minimal-langpack i686 2.29.9000-6.fc31 fedora 54 k grep i686 3.1-9.fc30 fedora 256 k gzip i686 1.9-8.fc30 fedora 153 k info i686 6.6-1.fc30 fedora 220 k make i686 1:4.2.1-13.fc30 fedora 490 k patch i686 2.7.6-9.fc31 fedora 138 k redhat-rpm-config noarch 128-1.fc30 fedora 60 k rpm-build i686 4.14.2.1-4.fc30.1 fedora 114 k sed i686 4.5-3.fc30 fedora 289 k shadow-utils i686 2:4.6-9.fc31 fedora 1.1 M tar i686 2:1.32-1.fc31 fedora 889 k unzip i686 6.0-43.fc30 fedora 175 k util-linux i686 2.33.1-4.fc31 fedora 2.4 M which i686 2.21-14.fc30 fedora 41 k xz i686 5.2.4-5.fc30 fedora 148 k Installing dependencies: alternatives i686 1.11-4.fc31 fedora 34 k audit-libs i686 3.0-0.6.20181218gitbdb72c0.fc30 fedora 112 k basesystem noarch 11-7.fc30 fedora 6.9 k binutils i686 2.32-9.fc31 fedora 6.0 M binutils-gold i686 2.32-9.fc31 fedora 820 k boost-regex i686 1.69.0-6.fc30 fedora 312 k brotli i686 1.0.7-3.fc30 fedora 313 k bzip2-libs i686 1.0.6-29.fc30 fedora 38 k ca-certificates noarch 2018.2.26-3.fc30 fedora 385 k coreutils-common i686 8.31-1.fc31 fedora 2.0 M cracklib i686 2.9.6-19.fc30 fedora 77 k crypto-policies noarch 20190211-2.gite3eacfc.fc30 fedora 51 k ctags i686 5.8-25.fc30 fedora 163 k curl i686 7.64.0-4.fc31 fedora 324 k cyrus-sasl-lib i686 2.1.27-0.6rc7.fc30 fedora 97 k dwz i686 0.12-10.fc30 fedora 105 k efi-srpm-macros noarch 4-2.fc30 fedora 23 k elfutils i686 0.176-1.fc30 fedora 309 k elfutils-default-yama-scope noarch 0.176-1.fc30 fedora 16 k elfutils-libelf i686 0.176-1.fc30 fedora 184 k elfutils-libs i686 0.176-1.fc30 fedora 297 k expat i686 2.2.6-2.fc30 fedora 94 k fedora-gpg-keys noarch 31-0.2 fedora 102 k fedora-release-common noarch 31-0.1 fedora 18 k fedora-repos noarch 31-0.2 fedora 9.2 k fedora-repos-rawhide noarch 31-0.2 fedora 8.4 k file i686 5.36-2.fc31 fedora 49 k file-libs i686 5.36-2.fc31 fedora 552 k filesystem i686 3.10-1.fc30 fedora 1.1 M fpc-srpm-macros noarch 1.2-1.fc31 fedora 7.5 k gc i686 7.6.4-5.fc30 fedora 97 k gdb-headless i686 8.3.50.20190304-1.fc31 fedora 3.7 M gdbm-libs i686 1:1.18-4.fc30 fedora 53 k ghc-srpm-macros noarch 1.4.2-9.fc30 fedora 7.6 k glib2 i686 2.60.0-3.fc31 fedora 2.6 M glibc i686 2.29.9000-6.fc31 fedora 3.7 M glibc-common i686 2.29.9000-6.fc31 fedora 826 k gmp i686 1:6.1.2-10.fc31 fedora 259 k gnat-srpm-macros noarch 4-9.fc30 fedora 8.5 k go-srpm-macros noarch 2-19.fc30 fedora 11 k guile22 i686 2.2.4-3.fc30 fedora 6.5 M keyutils-libs i686 1.6-2.fc30 fedora 30 k krb5-libs i686 1.17-6.fc31 fedora 769 k libacl i686 2.2.53-3.fc30 fedora 25 k libarchive i686 3.3.3-4.fc31 fedora 381 k libatomic_ops i686 7.6.10-1.fc31 fedora 34 k libattr i686 2.4.48-5.fc30 fedora 17 k libbabeltrace i686 1.5.6-2.fc30 fedora 208 k libblkid i686 2.33.1-4.fc31 fedora 161 k libcap i686 2.26-5.fc30 fedora 47 k libcap-ng i686 0.7.9-7.fc31 fedora 27 k libcom_err i686 1.45.0-1.fc31 fedora 27 k libcurl i686 7.64.0-4.fc31 fedora 282 k libdb i686 5.3.28-37.fc30 fedora 809 k libdb-utils i686 5.3.28-37.fc30 fedora 135 k libfdisk i686 2.33.1-4.fc31 fedora 213 k libffi i686 3.1-19.fc30 fedora 30 k libgcc i686 9.0.1-0.10.fc30 fedora 89 k libgcrypt i686 1.8.4-3.fc30 fedora 416 k libgpg-error i686 1.33-2.fc30 fedora 244 k libicu i686 63.1-2.fc30 fedora 9.1 M libidn2 i686 2.1.1a-1.fc30 fedora 90 k libipt i686 2.0-2.fc30 fedora 61 k libmetalink i686 0.1.3-8.fc30 fedora 30 k libmount i686 2.33.1-4.fc31 fedora 181 k libnghttp2 i686 1.37.0-1.fc31 fedora 77 k libnsl2 i686 1.2.0-4.20180605git4a062cf.fc30 fedora 57 k libpkgconf i686 1.6.0-1.fc30 fedora 36 k libpsl i686 0.20.2-6.fc30 fedora 58 k libpwquality i686 1.4.0-12.fc30 fedora 97 k libselinux i686 2.9-0.rc2.1.fc31 fedora 85 k libsemanage i686 2.9-0.rc2.1.fc31 fedora 122 k libsepol i686 2.9-0.rc2.1.fc31 fedora 308 k libsigsegv i686 2.11-7.fc30 fedora 25 k libsmartcols i686 2.33.1-4.fc31 fedora 115 k libssh i686 0.8.7-1.fc31 fedora 230 k libstdc++ i686 9.0.1-0.10.fc30 fedora 609 k libtasn1 i686 4.13-7.fc30 fedora 71 k libtirpc i686 1.1.4-2.rc2.fc30.1 fedora 105 k libtool-ltdl i686 2.4.6-29.fc30 fedora 36 k libunistring i686 0.9.10-5.fc30 fedora 423 k libutempter i686 1.1.6-16.fc30 fedora 24 k libuuid i686 2.33.1-4.fc31 fedora 27 k libverto i686 0.3.0-7.fc30 fedora 21 k libxcrypt i686 4.4.4-2.fc31 fedora 126 k libxml2 i686 2.9.9-2.fc30 fedora 696 k libzstd i686 1.3.8-2.fc30 fedora 251 k lua-libs i686 5.3.5-5.fc30 fedora 119 k lz4-libs i686 1.8.3-2.fc30 fedora 61 k mpfr i686 3.1.6-4.fc30 fedora 220 k ncurses i686 6.1-10.20180923.fc30 fedora 363 k ncurses-base noarch 6.1-10.20180923.fc30 fedora 59 k ncurses-libs i686 6.1-10.20180923.fc30 fedora 308 k nim-srpm-macros noarch 1-4.fc30 fedora 8.1 k ocaml-srpm-macros noarch 5-5.fc30 fedora 7.7 k openblas-srpm-macros noarch 2-5.fc30 fedora 7.3 k openldap i686 2.4.47-1.fc30 fedora 298 k openssl-libs i686 1:1.1.1b-3.fc31 fedora 1.3 M p11-kit i686 0.23.15-3.fc30 fedora 237 k p11-kit-trust i686 0.23.15-3.fc30 fedora 129 k pam i686 1.3.1-17.fc30 fedora 643 k pcre i686 8.43-1.fc31 fedora 186 k pcre2 i686 10.33-0.3.RC1.fc31 fedora 252 k perl-srpm-macros noarch 1-29.fc30 fedora 8.2 k pkgconf i686 1.6.0-1.fc30 fedora 38 k pkgconf-m4 noarch 1.6.0-1.fc30 fedora 15 k pkgconf-pkg-config i686 1.6.0-1.fc30 fedora 11 k popt i686 1.16-17.fc30 fedora 57 k publicsuffix-list-dafsa noarch 20190128-2.fc30 fedora 54 k python-pip-wheel noarch 19.0.3-1.fc31 fedora 1.1 M python-setuptools-wheel noarch 40.8.0-1.fc30 fedora 279 k python-srpm-macros noarch 3-42.fc30 fedora 11 k python3-libs i686 3.7.2-7.fc30 fedora 7.8 M qt5-srpm-macros noarch 5.12.1-1.fc31 fedora 9.1 k readline i686 8.0-2.fc30 fedora 198 k rpm i686 4.14.2.1-4.fc30.1 fedora 485 k rpm-build-libs i686 4.14.2.1-4.fc30.1 fedora 100 k rpm-libs i686 4.14.2.1-4.fc30.1 fedora 299 k rust-srpm-macros noarch 6-4.fc30 fedora 9.0 k setup noarch 2.13.2-1.fc31 fedora 149 k source-highlight i686 3.1.8-23.fc31 fedora 679 k sqlite-libs i686 3.27.2-3.fc31 fedora 580 k systemd-libs i686 241-2.gita09c170.fc31 fedora 507 k tzdata noarch 2018i-2.fc30 fedora 424 k xz-libs i686 5.2.4-5.fc30 fedora 92 k zip i686 3.0-24.fc30 fedora 271 k zlib i686 1.2.11-15.fc30 fedora 91 k zstd i686 1.3.8-2.fc30 fedora 365 k Transaction Summary ================================================================================ Install 151 Packages Total download size: 78 M Installed size: 328 M Downloading Packages: (1/151): diffutils-3.7-2.fc30.i686.rpm 4.9 MB/s | 395 kB 00:00 (2/151): shadow-utils-4.6-9.fc31.i686.rpm 13 MB/s | 1.1 MB 00:00 (3/151): gzip-1.9-8.fc30.i686.rpm 14 MB/s | 153 kB 00:00 (4/151): gawk-4.2.1-6.fc30.i686.rpm 11 MB/s | 1.1 MB 00:00 (5/151): make-4.2.1-13.fc30.i686.rpm 17 MB/s | 490 kB 00:00 (6/151): redhat-rpm-config-128-1.fc30.noarch.rp 11 MB/s | 60 kB 00:00 (7/151): glibc-minimal-langpack-2.29.9000-6.fc3 8.3 MB/s | 54 kB 00:00 (8/151): util-linux-2.33.1-4.fc31.i686.rpm 36 MB/s | 2.4 MB 00:00 (9/151): fedora-release-31-0.1.noarch.rpm 3.0 MB/s | 10 kB 00:00 (10/151): bash-5.0.2-1.fc30.i686.rpm 25 MB/s | 1.7 MB 00:00 (11/151): findutils-4.6.0-22.fc30.i686.rpm 13 MB/s | 515 kB 00:00 (12/151): rpm-build-4.14.2.1-4.fc30.1.i686.rpm 19 MB/s | 114 kB 00:00 (13/151): sed-4.5-3.fc30.i686.rpm 14 MB/s | 289 kB 00:00 (14/151): which-2.21-14.fc30.i686.rpm 7.4 MB/s | 41 kB 00:00 (15/151): grep-3.1-9.fc30.i686.rpm 22 MB/s | 256 kB 00:00 (16/151): patch-2.7.6-9.fc31.i686.rpm 15 MB/s | 138 kB 00:00 (17/151): info-6.6-1.fc30.i686.rpm 21 MB/s | 220 kB 00:00 (18/151): unzip-6.0-43.fc30.i686.rpm 17 MB/s | 175 kB 00:00 (19/151): tar-1.32-1.fc31.i686.rpm 37 MB/s | 889 kB 00:00 (20/151): cpio-2.12-10.fc30.i686.rpm 15 MB/s | 255 kB 00:00 (21/151): xz-5.2.4-5.fc30.i686.rpm 15 MB/s | 148 kB 00:00 (22/151): bzip2-1.0.6-29.fc30.i686.rpm 10 MB/s | 50 kB 00:00 (23/151): coreutils-8.31-1.fc31.i686.rpm 37 MB/s | 1.3 MB 00:00 (24/151): gmp-6.1.2-10.fc31.i686.rpm 23 MB/s | 259 kB 00:00 (25/151): filesystem-3.10-1.fc30.i686.rpm 29 MB/s | 1.1 MB 00:00 (26/151): libsigsegv-2.11-7.fc30.i686.rpm 2.9 MB/s | 25 kB 00:00 (27/151): mpfr-3.1.6-4.fc30.i686.rpm 19 MB/s | 220 kB 00:00 (28/151): readline-8.0-2.fc30.i686.rpm 15 MB/s | 198 kB 00:00 (29/151): audit-libs-3.0-0.6.20181218gitbdb72c0 15 MB/s | 112 kB 00:00 (30/151): libacl-2.2.53-3.fc30.i686.rpm 3.8 MB/s | 25 kB 00:00 (31/151): libattr-2.4.48-5.fc30.i686.rpm 2.9 MB/s | 17 kB 00:00 (32/151): libselinux-2.9-0.rc2.1.fc31.i686.rpm 12 MB/s | 85 kB 00:00 (33/151): libsemanage-2.9-0.rc2.1.fc31.i686.rpm 12 MB/s | 122 kB 00:00 (34/151): libxcrypt-4.4.4-2.fc31.i686.rpm 13 MB/s | 126 kB 00:00 (35/151): setup-2.13.2-1.fc31.noarch.rpm 24 MB/s | 149 kB 00:00 (36/151): libblkid-2.33.1-4.fc31.i686.rpm 17 MB/s | 161 kB 00:00 (37/151): libcap-ng-0.7.9-7.fc31.i686.rpm 4.2 MB/s | 27 kB 00:00 (38/151): libfdisk-2.33.1-4.fc31.i686.rpm 18 MB/s | 213 kB 00:00 (39/151): libmount-2.33.1-4.fc31.i686.rpm 15 MB/s | 181 kB 00:00 (40/151): libsmartcols-2.33.1-4.fc31.i686.rpm 15 MB/s | 115 kB 00:00 (41/151): libutempter-1.1.6-16.fc30.i686.rpm 3.4 MB/s | 24 kB 00:00 (42/151): glibc-2.29.9000-6.fc31.i686.rpm 30 MB/s | 3.7 MB 00:00 (43/151): libuuid-2.33.1-4.fc31.i686.rpm 1.3 MB/s | 27 kB 00:00 (44/151): ncurses-libs-6.1-10.20180923.fc30.i68 13 MB/s | 308 kB 00:00 (45/151): zlib-1.2.11-15.fc30.i686.rpm 6.4 MB/s | 91 kB 00:00 (46/151): pam-1.3.1-17.fc30.i686.rpm 25 MB/s | 643 kB 00:00 (47/151): systemd-libs-241-2.gita09c170.fc31.i6 18 MB/s | 507 kB 00:00 (48/151): dwz-0.12-10.fc30.i686.rpm 14 MB/s | 105 kB 00:00 (49/151): efi-srpm-macros-4-2.fc30.noarch.rpm 2.9 MB/s | 23 kB 00:00 (50/151): file-5.36-2.fc31.i686.rpm 8.1 MB/s | 49 kB 00:00 (51/151): fpc-srpm-macros-1.2-1.fc31.noarch.rpm 2.4 MB/s | 7.5 kB 00:00 (52/151): ghc-srpm-macros-1.4.2-9.fc30.noarch.r 1.5 MB/s | 7.6 kB 00:00 (53/151): gnat-srpm-macros-4-9.fc30.noarch.rpm 1.3 MB/s | 8.5 kB 00:00 (54/151): go-srpm-macros-2-19.fc30.noarch.rpm 1.9 MB/s | 11 kB 00:00 (55/151): nim-srpm-macros-1-4.fc30.noarch.rpm 1.6 MB/s | 8.1 kB 00:00 (56/151): ocaml-srpm-macros-5-5.fc30.noarch.rpm 1.3 MB/s | 7.7 kB 00:00 (57/151): openblas-srpm-macros-2-5.fc30.noarch. 2.0 MB/s | 7.3 kB 00:00 (58/151): perl-srpm-macros-1-29.fc30.noarch.rpm 3.0 MB/s | 8.2 kB 00:00 (59/151): python-srpm-macros-3-42.fc30.noarch.r 1.7 MB/s | 11 kB 00:00 (60/151): qt5-srpm-macros-5.12.1-1.fc31.noarch. 1.0 MB/s | 9.1 kB 00:00 (61/151): rust-srpm-macros-6-4.fc30.noarch.rpm 1.3 MB/s | 9.0 kB 00:00 (62/151): rpm-4.14.2.1-4.fc30.1.i686.rpm 19 MB/s | 485 kB 00:00 (63/151): zip-3.0-24.fc30.i686.rpm 13 MB/s | 271 kB 00:00 (64/151): fedora-release-common-31-0.1.noarch.r 1.6 MB/s | 18 kB 00:00 (65/151): glibc-common-2.29.9000-6.fc31.i686.rp 20 MB/s | 826 kB 00:00 (66/151): elfutils-0.176-1.fc30.i686.rpm 17 MB/s | 309 kB 00:00 (67/151): elfutils-libelf-0.176-1.fc30.i686.rpm 15 MB/s | 184 kB 00:00 (68/151): elfutils-libs-0.176-1.fc30.i686.rpm 15 MB/s | 297 kB 00:00 (69/151): guile22-2.2.4-3.fc30.i686.rpm 30 MB/s | 6.5 MB 00:00 (70/151): pkgconf-pkg-config-1.6.0-1.fc30.i686. 1.2 MB/s | 11 kB 00:00 (71/151): popt-1.16-17.fc30.i686.rpm 3.8 MB/s | 57 kB 00:00 (72/151): rpm-build-libs-4.14.2.1-4.fc30.1.i686 7.6 MB/s | 100 kB 00:00 (73/151): rpm-libs-4.14.2.1-4.fc30.1.i686.rpm 17 MB/s | 299 kB 00:00 (74/151): zstd-1.3.8-2.fc30.i686.rpm 20 MB/s | 365 kB 00:00 (75/151): gdb-headless-8.3.50.20190304-1.fc31.i 23 MB/s | 3.7 MB 00:00 (76/151): pcre-8.43-1.fc31.i686.rpm 6.2 MB/s | 186 kB 00:00 (77/151): bzip2-libs-1.0.6-29.fc30.i686.rpm 5.1 MB/s | 38 kB 00:00 (78/151): libcap-2.26-5.fc30.i686.rpm 4.5 MB/s | 47 kB 00:00 (79/151): ncurses-6.1-10.20180923.fc30.i686.rpm 19 MB/s | 363 kB 00:00 (80/151): coreutils-common-8.31-1.fc31.i686.rpm 30 MB/s | 2.0 MB 00:00 (81/151): xz-libs-5.2.4-5.fc30.i686.rpm 10 MB/s | 92 kB 00:00 (82/151): openssl-libs-1.1.1b-3.fc31.i686.rpm 27 MB/s | 1.3 MB 00:00 (83/151): basesystem-11-7.fc30.noarch.rpm 944 kB/s | 6.9 kB 00:00 (84/151): libgcc-9.0.1-0.10.fc30.i686.rpm 13 MB/s | 89 kB 00:00 (85/151): binutils-2.32-9.fc31.i686.rpm 17 MB/s | 6.0 MB 00:00 (86/151): libsepol-2.9-0.rc2.1.fc31.i686.rpm 7.5 MB/s | 308 kB 00:00 (87/151): pcre2-10.33-0.3.RC1.fc31.i686.rpm 6.8 MB/s | 252 kB 00:00 (88/151): ncurses-base-6.1-10.20180923.fc30.noa 4.9 MB/s | 59 kB 00:00 (89/151): cracklib-2.9.6-19.fc30.i686.rpm 6.1 MB/s | 77 kB 00:00 (90/151): libnsl2-1.2.0-4.20180605git4a062cf.fc 7.7 MB/s | 57 kB 00:00 (91/151): libdb-5.3.28-37.fc30.i686.rpm 40 MB/s | 809 kB 00:00 (92/151): libpwquality-1.4.0-12.fc30.i686.rpm 9.1 MB/s | 97 kB 00:00 (93/151): libtirpc-1.1.4-2.rc2.fc30.1.i686.rpm 7.0 MB/s | 105 kB 00:00 (94/151): lz4-libs-1.8.3-2.fc30.i686.rpm 7.1 MB/s | 61 kB 00:00 (95/151): gc-7.6.4-5.fc30.i686.rpm 13 MB/s | 97 kB 00:00 (96/151): libffi-3.1-19.fc30.i686.rpm 4.5 MB/s | 30 kB 00:00 (97/151): libgcrypt-1.8.4-3.fc30.i686.rpm 18 MB/s | 416 kB 00:00 (98/151): libtool-ltdl-2.4.6-29.fc30.i686.rpm 4.2 MB/s | 36 kB 00:00 (99/151): libunistring-0.9.10-5.fc30.i686.rpm 31 MB/s | 423 kB 00:00 (100/151): libdb-utils-5.3.28-37.fc30.i686.rpm 11 MB/s | 135 kB 00:00 (101/151): libarchive-3.3.3-4.fc31.i686.rpm 18 MB/s | 381 kB 00:00 (102/151): file-libs-5.36-2.fc31.i686.rpm 20 MB/s | 552 kB 00:00 (103/151): fedora-repos-31-0.2.noarch.rpm 1.4 MB/s | 9.2 kB 00:00 (104/151): tzdata-2018i-2.fc30.noarch.rpm 27 MB/s | 424 kB 00:00 (105/151): alternatives-1.11-4.fc31.i686.rpm 4.0 MB/s | 34 kB 00:00 (106/151): elfutils-default-yama-scope-0.176-1. 3.8 MB/s | 16 kB 00:00 (107/151): expat-2.2.6-2.fc30.i686.rpm 8.2 MB/s | 94 kB 00:00 (108/151): binutils-gold-2.32-9.fc31.i686.rpm 26 MB/s | 820 kB 00:00 (109/151): libstdc++-9.0.1-0.10.fc30.i686.rpm 20 MB/s | 609 kB 00:00 (110/151): libipt-2.0-2.fc30.i686.rpm 10 MB/s | 61 kB 00:00 (111/151): libbabeltrace-1.5.6-2.fc30.i686.rpm 11 MB/s | 208 kB 00:00 (112/151): pkgconf-1.6.0-1.fc30.i686.rpm 4.0 MB/s | 38 kB 00:00 (113/151): pkgconf-m4-1.6.0-1.fc30.noarch.rpm 2.6 MB/s | 15 kB 00:00 (114/151): source-highlight-3.1.8-23.fc31.i686. 23 MB/s | 679 kB 00:00 (115/151): libzstd-1.3.8-2.fc30.i686.rpm 16 MB/s | 251 kB 00:00 (116/151): lua-libs-5.3.5-5.fc30.i686.rpm 8.6 MB/s | 119 kB 00:00 (117/151): ca-certificates-2018.2.26-3.fc30.noa 19 MB/s | 385 kB 00:00 (118/151): crypto-policies-20190211-2.gite3eacf 3.6 MB/s | 51 kB 00:00 (119/151): libcom_err-1.45.0-1.fc31.i686.rpm 3.4 MB/s | 27 kB 00:00 (120/151): libgpg-error-1.33-2.fc30.i686.rpm 19 MB/s | 244 kB 00:00 (121/151): krb5-libs-1.17-6.fc31.i686.rpm 25 MB/s | 769 kB 00:00 (122/151): libatomic_ops-7.6.10-1.fc31.i686.rpm 3.3 MB/s | 34 kB 00:00 (123/151): fedora-gpg-keys-31-0.2.noarch.rpm 11 MB/s | 102 kB 00:00 (124/151): fedora-repos-rawhide-31-0.2.noarch.r 1.0 MB/s | 8.4 kB 00:00 (125/151): libxml2-2.9.9-2.fc30.i686.rpm 18 MB/s | 696 kB 00:00 (126/151): gdbm-libs-1.18-4.fc30.i686.rpm 6.6 MB/s | 53 kB 00:00 (127/151): glib2-2.60.0-3.fc31.i686.rpm 26 MB/s | 2.6 MB 00:00 (128/151): python-setuptools-wheel-40.8.0-1.fc3 17 MB/s | 279 kB 00:00 (129/151): python-pip-wheel-19.0.3-1.fc31.noarc 12 MB/s | 1.1 MB 00:00 (130/151): sqlite-libs-3.27.2-3.fc31.i686.rpm 20 MB/s | 580 kB 00:00 (131/151): boost-regex-1.69.0-6.fc30.i686.rpm 12 MB/s | 312 kB 00:00 (132/151): ctags-5.8-25.fc30.i686.rpm 17 MB/s | 163 kB 00:00 (133/151): libpkgconf-1.6.0-1.fc30.i686.rpm 4.8 MB/s | 36 kB 00:00 (134/151): p11-kit-0.23.15-3.fc30.i686.rpm 25 MB/s | 237 kB 00:00 (135/151): p11-kit-trust-0.23.15-3.fc30.i686.rp 12 MB/s | 129 kB 00:00 (136/151): keyutils-libs-1.6-2.fc30.i686.rpm 6.0 MB/s | 30 kB 00:00 (137/151): libverto-0.3.0-7.fc30.i686.rpm 3.5 MB/s | 21 kB 00:00 (138/151): libtasn1-4.13-7.fc30.i686.rpm 7.5 MB/s | 71 kB 00:00 (139/151): python3-libs-3.7.2-7.fc30.i686.rpm 23 MB/s | 7.8 MB 00:00 (140/151): curl-7.64.0-4.fc31.i686.rpm 5.8 MB/s | 324 kB 00:00 (141/151): libmetalink-0.1.3-8.fc30.i686.rpm 4.8 MB/s | 30 kB 00:00 (142/151): brotli-1.0.7-3.fc30.i686.rpm 19 MB/s | 313 kB 00:00 (143/151): libcurl-7.64.0-4.fc31.i686.rpm 12 MB/s | 282 kB 00:00 (144/151): libidn2-2.1.1a-1.fc30.i686.rpm 10 MB/s | 90 kB 00:00 (145/151): libnghttp2-1.37.0-1.fc31.i686.rpm 8.9 MB/s | 77 kB 00:00 (146/151): libpsl-0.20.2-6.fc30.i686.rpm 8.9 MB/s | 58 kB 00:00 (147/151): libssh-0.8.7-1.fc31.i686.rpm 14 MB/s | 230 kB 00:00 (148/151): openldap-2.4.47-1.fc30.i686.rpm 19 MB/s | 298 kB 00:00 (149/151): publicsuffix-list-dafsa-20190128-2.f 7.8 MB/s | 54 kB 00:00 (150/151): cyrus-sasl-lib-2.1.27-0.6rc7.fc30.i6 12 MB/s | 97 kB 00:00 (151/151): libicu-63.1-2.fc30.i686.rpm 38 MB/s | 9.1 MB 00:00 -------------------------------------------------------------------------------- Total 45 MB/s | 78 MB 00:01 warning: /var/lib/mock/870523-fedora-rawhide-i386-1552998169.188696/root/var/cache/dnf/fedora-6cb74b5c20b9e175/packages/gawk-4.2.1-6.fc30.i686.rpm: Header V3 RSA/SHA256 Signature, key ID 3c3359c4: NOKEY Importing GPG key 0x3C3359C4: Userid : "Fedora (31) " Fingerprint: 7D22 D586 7F2A 4236 474B F7B8 50CB 390B 3C33 59C4 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-31-primary Key imported successfully Importing GPG key 0xCFC659B9: Userid : "Fedora (30) " Fingerprint: F1D8 EC98 F241 AAF2 0DF6 9420 EF3C 111F CFC6 59B9 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-30-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.10-1.fc30.i686 1/1 Preparing : 1/1 Installing : publicsuffix-list-dafsa-20190128-2.fc30.noarch 1/151 Installing : python-setuptools-wheel-40.8.0-1.fc30.noarch 2/151 Installing : fedora-gpg-keys-31-0.2.noarch 3/151 Installing : fedora-release-common-31-0.1.noarch 4/151 Installing : fedora-release-31-0.1.noarch 5/151 Installing : fedora-repos-rawhide-31-0.2.noarch 6/151 Installing : fedora-repos-31-0.2.noarch 7/151 Installing : setup-2.13.2-1.fc31.noarch 8/151 Running scriptlet: setup-2.13.2-1.fc31.noarch 8/151 warning: /etc/hosts created as /etc/hosts.rpmnew Installing : filesystem-3.10-1.fc30.i686 9/151 Installing : basesystem-11-7.fc30.noarch 10/151 Installing : pkgconf-m4-1.6.0-1.fc30.noarch 11/151 Installing : tzdata-2018i-2.fc30.noarch 12/151 Installing : ncurses-base-6.1-10.20180923.fc30.noarch 13/151 Installing : coreutils-common-8.31-1.fc31.i686 14/151 Installing : rust-srpm-macros-6-4.fc30.noarch 15/151 Installing : qt5-srpm-macros-5.12.1-1.fc31.noarch 16/151 Installing : python-srpm-macros-3-42.fc30.noarch 17/151 Installing : perl-srpm-macros-1-29.fc30.noarch 18/151 Installing : openblas-srpm-macros-2-5.fc30.noarch 19/151 Installing : ocaml-srpm-macros-5-5.fc30.noarch 20/151 Installing : nim-srpm-macros-1-4.fc30.noarch 21/151 Installing : go-srpm-macros-2-19.fc30.noarch 22/151 Installing : gnat-srpm-macros-4-9.fc30.noarch 23/151 Installing : ghc-srpm-macros-1.4.2-9.fc30.noarch 24/151 Installing : fpc-srpm-macros-1.2-1.fc31.noarch 25/151 Installing : libgcc-9.0.1-0.10.fc30.i686 26/151 Running scriptlet: libgcc-9.0.1-0.10.fc30.i686 26/151 Installing : pcre2-10.33-0.3.RC1.fc31.i686 27/151 Installing : libselinux-2.9-0.rc2.1.fc31.i686 28/151 Installing : glibc-minimal-langpack-2.29.9000-6.fc31.i686 29/151 Installing : ncurses-libs-6.1-10.20180923.fc30.i686 30/151 Installing : glibc-common-2.29.9000-6.fc31.i686 31/151 Running scriptlet: glibc-2.29.9000-6.fc31.i686 32/151 Installing : glibc-2.29.9000-6.fc31.i686 32/151 Running scriptlet: glibc-2.29.9000-6.fc31.i686 32/151 Installing : bash-5.0.2-1.fc30.i686 33/151 Running scriptlet: bash-5.0.2-1.fc30.i686 33/151 Installing : libsepol-2.9-0.rc2.1.fc31.i686 34/151 Running scriptlet: libsepol-2.9-0.rc2.1.fc31.i686 34/151 Installing : zlib-1.2.11-15.fc30.i686 35/151 Installing : bzip2-libs-1.0.6-29.fc30.i686 36/151 Installing : xz-libs-5.2.4-5.fc30.i686 37/151 Installing : libstdc++-9.0.1-0.10.fc30.i686 38/151 Installing : elfutils-libelf-0.176-1.fc30.i686 39/151 Installing : libxcrypt-4.4.4-2.fc31.i686 40/151 Installing : libuuid-2.33.1-4.fc31.i686 41/151 Installing : popt-1.16-17.fc30.i686 42/151 Installing : libdb-5.3.28-37.fc30.i686 43/151 Installing : gmp-1:6.1.2-10.fc31.i686 44/151 Installing : readline-8.0-2.fc30.i686 45/151 Installing : libattr-2.4.48-5.fc30.i686 46/151 Installing : libacl-2.2.53-3.fc30.i686 47/151 Installing : sed-4.5-3.fc30.i686 48/151 Installing : libffi-3.1-19.fc30.i686 49/151 Installing : libcom_err-1.45.0-1.fc31.i686 50/151 Installing : libcap-2.26-5.fc30.i686 51/151 Installing : libunistring-0.9.10-5.fc30.i686 52/151 Installing : expat-2.2.6-2.fc30.i686 53/151 Installing : libzstd-1.3.8-2.fc30.i686 54/151 Installing : elfutils-default-yama-scope-0.176-1.fc30.noarch 55/151 Running scriptlet: elfutils-default-yama-scope-0.176-1.fc30.noarch 55/151 Installing : elfutils-libs-0.176-1.fc30.i686 56/151 Installing : libidn2-2.1.1a-1.fc30.i686 57/151 Installing : p11-kit-0.23.15-3.fc30.i686 58/151 Installing : mpfr-3.1.6-4.fc30.i686 59/151 Installing : unzip-6.0-43.fc30.i686 60/151 Installing : file-libs-5.36-2.fc31.i686 61/151 Installing : file-5.36-2.fc31.i686 62/151 Installing : findutils-1:4.6.0-22.fc30.i686 63/151 Installing : libcap-ng-0.7.9-7.fc31.i686 64/151 Installing : audit-libs-3.0-0.6.20181218gitbdb72c0.fc30.i686 65/151 Installing : pcre-8.43-1.fc31.i686 66/151 Installing : grep-3.1-9.fc30.i686 67/151 Installing : lz4-libs-1.8.3-2.fc30.i686 68/151 Installing : alternatives-1.11-4.fc31.i686 69/151 Installing : lua-libs-5.3.5-5.fc30.i686 70/151 Installing : xz-5.2.4-5.fc30.i686 71/151 Installing : libsemanage-2.9-0.rc2.1.fc31.i686 72/151 Installing : shadow-utils-2:4.6-9.fc31.i686 73/151 Running scriptlet: libutempter-1.1.6-16.fc30.i686 74/151 Installing : libutempter-1.1.6-16.fc30.i686 74/151 Installing : zip-3.0-24.fc30.i686 75/151 Installing : libpsl-0.20.2-6.fc30.i686 76/151 Installing : elfutils-0.176-1.fc30.i686 77/151 Installing : libmetalink-0.1.3-8.fc30.i686 78/151 Installing : tar-2:1.32-1.fc31.i686 79/151 Installing : patch-2.7.6-9.fc31.i686 80/151 Installing : libdb-utils-5.3.28-37.fc30.i686 81/151 Installing : dwz-0.12-10.fc30.i686 82/151 Installing : zstd-1.3.8-2.fc30.i686 83/151 Installing : libicu-63.1-2.fc30.i686 84/151 Installing : boost-regex-1.69.0-6.fc30.i686 85/151 Installing : libxml2-2.9.9-2.fc30.i686 86/151 Installing : bzip2-1.0.6-29.fc30.i686 87/151 Installing : sqlite-libs-3.27.2-3.fc31.i686 88/151 Installing : diffutils-3.7-2.fc30.i686 89/151 Installing : cpio-2.12-10.fc30.i686 90/151 Installing : libsigsegv-2.11-7.fc30.i686 91/151 Installing : gawk-4.2.1-6.fc30.i686 92/151 Installing : libsmartcols-2.33.1-4.fc31.i686 93/151 Installing : ncurses-6.1-10.20180923.fc30.i686 94/151 Installing : libtool-ltdl-2.4.6-29.fc30.i686 95/151 Installing : libipt-2.0-2.fc30.i686 96/151 Installing : libgpg-error-1.33-2.fc30.i686 97/151 Installing : libgcrypt-1.8.4-3.fc30.i686 98/151 Installing : libatomic_ops-7.6.10-1.fc31.i686 99/151 Installing : gc-7.6.4-5.fc30.i686 100/151 Installing : gdbm-libs-1:1.18-4.fc30.i686 101/151 Installing : ctags-5.8-25.fc30.i686 102/151 Installing : source-highlight-3.1.8-23.fc31.i686 103/151 Installing : libpkgconf-1.6.0-1.fc30.i686 104/151 Installing : pkgconf-1.6.0-1.fc30.i686 105/151 Installing : pkgconf-pkg-config-1.6.0-1.fc30.i686 106/151 Installing : keyutils-libs-1.6-2.fc30.i686 107/151 Installing : libverto-0.3.0-7.fc30.i686 108/151 Installing : libtasn1-4.13-7.fc30.i686 109/151 Installing : p11-kit-trust-0.23.15-3.fc30.i686 110/151 Running scriptlet: p11-kit-trust-0.23.15-3.fc30.i686 110/151 Installing : openssl-libs-1:1.1.1b-3.fc31.i686 111/151 Installing : coreutils-8.31-1.fc31.i686 112/151 Running scriptlet: ca-certificates-2018.2.26-3.fc30.noarch 113/151 Installing : ca-certificates-2018.2.26-3.fc30.noarch 113/151 Running scriptlet: ca-certificates-2018.2.26-3.fc30.noarch 113/151 Installing : crypto-policies-20190211-2.gite3eacfc.fc30.noarc 114/151 Running scriptlet: crypto-policies-20190211-2.gite3eacfc.fc30.noarc 114/151 Installing : krb5-libs-1.17-6.fc31.i686 115/151 Installing : libtirpc-1.1.4-2.rc2.fc30.1.i686 116/151 Installing : libblkid-2.33.1-4.fc31.i686 117/151 Running scriptlet: libblkid-2.33.1-4.fc31.i686 117/151 Installing : libmount-2.33.1-4.fc31.i686 118/151 Installing : libnsl2-1.2.0-4.20180605git4a062cf.fc30.i686 119/151 Installing : gzip-1.9-8.fc30.i686 120/151 Installing : cracklib-2.9.6-19.fc30.i686 121/151 Installing : libpwquality-1.4.0-12.fc30.i686 122/151 Installing : pam-1.3.1-17.fc30.i686 123/151 Installing : binutils-gold-2.32-9.fc31.i686 124/151 Installing : binutils-2.32-9.fc31.i686 125/151 Running scriptlet: binutils-2.32-9.fc31.i686 125/151 Installing : python-pip-wheel-19.0.3-1.fc31.noarch 126/151 Installing : python3-libs-3.7.2-7.fc30.i686 127/151 Installing : glib2-2.60.0-3.fc31.i686 128/151 Installing : libbabeltrace-1.5.6-2.fc30.i686 129/151 Installing : libfdisk-2.33.1-4.fc31.i686 130/151 Installing : libssh-0.8.7-1.fc31.i686 131/151 Installing : cyrus-sasl-lib-2.1.27-0.6rc7.fc30.i686 132/151 Installing : openldap-2.4.47-1.fc30.i686 133/151 Installing : systemd-libs-241-2.gita09c170.fc31.i686 134/151 Running scriptlet: systemd-libs-241-2.gita09c170.fc31.i686 134/151 Installing : guile22-2.2.4-3.fc30.i686 135/151 Running scriptlet: guile22-2.2.4-3.fc30.i686 135/151 Installing : libarchive-3.3.3-4.fc31.i686 136/151 Installing : brotli-1.0.7-3.fc30.i686 137/151 Installing : libnghttp2-1.37.0-1.fc31.i686 138/151 Installing : libcurl-7.64.0-4.fc31.i686 139/151 Installing : curl-7.64.0-4.fc31.i686 140/151 Installing : rpm-libs-4.14.2.1-4.fc30.1.i686 141/151 Installing : rpm-4.14.2.1-4.fc30.1.i686 142/151 Installing : efi-srpm-macros-4-2.fc30.noarch 143/151 Installing : redhat-rpm-config-128-1.fc30.noarch 144/151 Installing : gdb-headless-8.3.50.20190304-1.fc31.i686 145/151 Installing : rpm-build-libs-4.14.2.1-4.fc30.1.i686 146/151 Installing : rpm-build-4.14.2.1-4.fc30.1.i686 147/151 Installing : make-1:4.2.1-13.fc30.i686 148/151 Installing : util-linux-2.33.1-4.fc31.i686 149/151 Running scriptlet: util-linux-2.33.1-4.fc31.i686 149/151 Installing : info-6.6-1.fc30.i686 150/151 Installing : which-2.21-14.fc30.i686 151/151 Running scriptlet: filesystem-3.10-1.fc30.i686 151/151 Running scriptlet: glibc-common-2.29.9000-6.fc31.i686 151/151 Running scriptlet: glib2-2.60.0-3.fc31.i686 151/151 Running scriptlet: info-6.6-1.fc30.i686 151/151 Verifying : gawk-4.2.1-6.fc30.i686 1/151 Verifying : shadow-utils-2:4.6-9.fc31.i686 2/151 Verifying : diffutils-3.7-2.fc30.i686 3/151 Verifying : gzip-1.9-8.fc30.i686 4/151 Verifying : util-linux-2.33.1-4.fc31.i686 5/151 Verifying : make-1:4.2.1-13.fc30.i686 6/151 Verifying : bash-5.0.2-1.fc30.i686 7/151 Verifying : redhat-rpm-config-128-1.fc30.noarch 8/151 Verifying : glibc-minimal-langpack-2.29.9000-6.fc31.i686 9/151 Verifying : findutils-1:4.6.0-22.fc30.i686 10/151 Verifying : fedora-release-31-0.1.noarch 11/151 Verifying : sed-4.5-3.fc30.i686 12/151 Verifying : rpm-build-4.14.2.1-4.fc30.1.i686 13/151 Verifying : grep-3.1-9.fc30.i686 14/151 Verifying : which-2.21-14.fc30.i686 15/151 Verifying : patch-2.7.6-9.fc31.i686 16/151 Verifying : tar-2:1.32-1.fc31.i686 17/151 Verifying : info-6.6-1.fc30.i686 18/151 Verifying : unzip-6.0-43.fc30.i686 19/151 Verifying : cpio-2.12-10.fc30.i686 20/151 Verifying : coreutils-8.31-1.fc31.i686 21/151 Verifying : xz-5.2.4-5.fc30.i686 22/151 Verifying : bzip2-1.0.6-29.fc30.i686 23/151 Verifying : filesystem-3.10-1.fc30.i686 24/151 Verifying : glibc-2.29.9000-6.fc31.i686 25/151 Verifying : gmp-1:6.1.2-10.fc31.i686 26/151 Verifying : libsigsegv-2.11-7.fc30.i686 27/151 Verifying : mpfr-3.1.6-4.fc30.i686 28/151 Verifying : readline-8.0-2.fc30.i686 29/151 Verifying : audit-libs-3.0-0.6.20181218gitbdb72c0.fc30.i686 30/151 Verifying : libacl-2.2.53-3.fc30.i686 31/151 Verifying : libattr-2.4.48-5.fc30.i686 32/151 Verifying : libselinux-2.9-0.rc2.1.fc31.i686 33/151 Verifying : libsemanage-2.9-0.rc2.1.fc31.i686 34/151 Verifying : libxcrypt-4.4.4-2.fc31.i686 35/151 Verifying : setup-2.13.2-1.fc31.noarch 36/151 Verifying : libblkid-2.33.1-4.fc31.i686 37/151 Verifying : libcap-ng-0.7.9-7.fc31.i686 38/151 Verifying : libfdisk-2.33.1-4.fc31.i686 39/151 Verifying : libmount-2.33.1-4.fc31.i686 40/151 Verifying : libsmartcols-2.33.1-4.fc31.i686 41/151 Verifying : libutempter-1.1.6-16.fc30.i686 42/151 Verifying : libuuid-2.33.1-4.fc31.i686 43/151 Verifying : ncurses-libs-6.1-10.20180923.fc30.i686 44/151 Verifying : pam-1.3.1-17.fc30.i686 45/151 Verifying : systemd-libs-241-2.gita09c170.fc31.i686 46/151 Verifying : zlib-1.2.11-15.fc30.i686 47/151 Verifying : guile22-2.2.4-3.fc30.i686 48/151 Verifying : dwz-0.12-10.fc30.i686 49/151 Verifying : efi-srpm-macros-4-2.fc30.noarch 50/151 Verifying : file-5.36-2.fc31.i686 51/151 Verifying : fpc-srpm-macros-1.2-1.fc31.noarch 52/151 Verifying : ghc-srpm-macros-1.4.2-9.fc30.noarch 53/151 Verifying : gnat-srpm-macros-4-9.fc30.noarch 54/151 Verifying : go-srpm-macros-2-19.fc30.noarch 55/151 Verifying : nim-srpm-macros-1-4.fc30.noarch 56/151 Verifying : ocaml-srpm-macros-5-5.fc30.noarch 57/151 Verifying : openblas-srpm-macros-2-5.fc30.noarch 58/151 Verifying : perl-srpm-macros-1-29.fc30.noarch 59/151 Verifying : python-srpm-macros-3-42.fc30.noarch 60/151 Verifying : qt5-srpm-macros-5.12.1-1.fc31.noarch 61/151 Verifying : rpm-4.14.2.1-4.fc30.1.i686 62/151 Verifying : rust-srpm-macros-6-4.fc30.noarch 63/151 Verifying : zip-3.0-24.fc30.i686 64/151 Verifying : glibc-common-2.29.9000-6.fc31.i686 65/151 Verifying : fedora-release-common-31-0.1.noarch 66/151 Verifying : binutils-2.32-9.fc31.i686 67/151 Verifying : elfutils-0.176-1.fc30.i686 68/151 Verifying : elfutils-libelf-0.176-1.fc30.i686 69/151 Verifying : elfutils-libs-0.176-1.fc30.i686 70/151 Verifying : gdb-headless-8.3.50.20190304-1.fc31.i686 71/151 Verifying : pkgconf-pkg-config-1.6.0-1.fc30.i686 72/151 Verifying : popt-1.16-17.fc30.i686 73/151 Verifying : rpm-build-libs-4.14.2.1-4.fc30.1.i686 74/151 Verifying : rpm-libs-4.14.2.1-4.fc30.1.i686 75/151 Verifying : zstd-1.3.8-2.fc30.i686 76/151 Verifying : pcre-8.43-1.fc31.i686 77/151 Verifying : bzip2-libs-1.0.6-29.fc30.i686 78/151 Verifying : coreutils-common-8.31-1.fc31.i686 79/151 Verifying : libcap-2.26-5.fc30.i686 80/151 Verifying : ncurses-6.1-10.20180923.fc30.i686 81/151 Verifying : openssl-libs-1:1.1.1b-3.fc31.i686 82/151 Verifying : xz-libs-5.2.4-5.fc30.i686 83/151 Verifying : basesystem-11-7.fc30.noarch 84/151 Verifying : libgcc-9.0.1-0.10.fc30.i686 85/151 Verifying : libsepol-2.9-0.rc2.1.fc31.i686 86/151 Verifying : pcre2-10.33-0.3.RC1.fc31.i686 87/151 Verifying : ncurses-base-6.1-10.20180923.fc30.noarch 88/151 Verifying : cracklib-2.9.6-19.fc30.i686 89/151 Verifying : libdb-5.3.28-37.fc30.i686 90/151 Verifying : libnsl2-1.2.0-4.20180605git4a062cf.fc30.i686 91/151 Verifying : libpwquality-1.4.0-12.fc30.i686 92/151 Verifying : libtirpc-1.1.4-2.rc2.fc30.1.i686 93/151 Verifying : libgcrypt-1.8.4-3.fc30.i686 94/151 Verifying : lz4-libs-1.8.3-2.fc30.i686 95/151 Verifying : gc-7.6.4-5.fc30.i686 96/151 Verifying : libffi-3.1-19.fc30.i686 97/151 Verifying : libtool-ltdl-2.4.6-29.fc30.i686 98/151 Verifying : libunistring-0.9.10-5.fc30.i686 99/151 Verifying : file-libs-5.36-2.fc31.i686 100/151 Verifying : libarchive-3.3.3-4.fc31.i686 101/151 Verifying : libdb-utils-5.3.28-37.fc30.i686 102/151 Verifying : tzdata-2018i-2.fc30.noarch 103/151 Verifying : fedora-repos-31-0.2.noarch 104/151 Verifying : alternatives-1.11-4.fc31.i686 105/151 Verifying : binutils-gold-2.32-9.fc31.i686 106/151 Verifying : libstdc++-9.0.1-0.10.fc30.i686 107/151 Verifying : elfutils-default-yama-scope-0.176-1.fc30.noarch 108/151 Verifying : expat-2.2.6-2.fc30.i686 109/151 Verifying : libbabeltrace-1.5.6-2.fc30.i686 110/151 Verifying : libipt-2.0-2.fc30.i686 111/151 Verifying : python3-libs-3.7.2-7.fc30.i686 112/151 Verifying : source-highlight-3.1.8-23.fc31.i686 113/151 Verifying : pkgconf-1.6.0-1.fc30.i686 114/151 Verifying : pkgconf-m4-1.6.0-1.fc30.noarch 115/151 Verifying : libzstd-1.3.8-2.fc30.i686 116/151 Verifying : lua-libs-5.3.5-5.fc30.i686 117/151 Verifying : ca-certificates-2018.2.26-3.fc30.noarch 118/151 Verifying : crypto-policies-20190211-2.gite3eacfc.fc30.noarc 119/151 Verifying : krb5-libs-1.17-6.fc31.i686 120/151 Verifying : libcom_err-1.45.0-1.fc31.i686 121/151 Verifying : libgpg-error-1.33-2.fc30.i686 122/151 Verifying : libatomic_ops-7.6.10-1.fc31.i686 123/151 Verifying : libxml2-2.9.9-2.fc30.i686 124/151 Verifying : fedora-gpg-keys-31-0.2.noarch 125/151 Verifying : fedora-repos-rawhide-31-0.2.noarch 126/151 Verifying : glib2-2.60.0-3.fc31.i686 127/151 Verifying : gdbm-libs-1:1.18-4.fc30.i686 128/151 Verifying : python-pip-wheel-19.0.3-1.fc31.noarch 129/151 Verifying : python-setuptools-wheel-40.8.0-1.fc30.noarch 130/151 Verifying : sqlite-libs-3.27.2-3.fc31.i686 131/151 Verifying : boost-regex-1.69.0-6.fc30.i686 132/151 Verifying : ctags-5.8-25.fc30.i686 133/151 Verifying : libpkgconf-1.6.0-1.fc30.i686 134/151 Verifying : p11-kit-0.23.15-3.fc30.i686 135/151 Verifying : p11-kit-trust-0.23.15-3.fc30.i686 136/151 Verifying : keyutils-libs-1.6-2.fc30.i686 137/151 Verifying : libverto-0.3.0-7.fc30.i686 138/151 Verifying : libicu-63.1-2.fc30.i686 139/151 Verifying : libtasn1-4.13-7.fc30.i686 140/151 Verifying : curl-7.64.0-4.fc31.i686 141/151 Verifying : libmetalink-0.1.3-8.fc30.i686 142/151 Verifying : libcurl-7.64.0-4.fc31.i686 143/151 Verifying : brotli-1.0.7-3.fc30.i686 144/151 Verifying : libidn2-2.1.1a-1.fc30.i686 145/151 Verifying : libnghttp2-1.37.0-1.fc31.i686 146/151 Verifying : libpsl-0.20.2-6.fc30.i686 147/151 Verifying : libssh-0.8.7-1.fc31.i686 148/151 Verifying : openldap-2.4.47-1.fc30.i686 149/151 Verifying : publicsuffix-list-dafsa-20190128-2.fc30.noarch 150/151 Verifying : cyrus-sasl-lib-2.1.27-0.6rc7.fc30.i686 151/151 Installed: bash.i686 5.0.2-1.fc30 bzip2.i686 1.0.6-29.fc30 coreutils.i686 8.31-1.fc31 cpio.i686 2.12-10.fc30 diffutils.i686 3.7-2.fc30 fedora-release.noarch 31-0.1 findutils.i686 1:4.6.0-22.fc30 gawk.i686 4.2.1-6.fc30 glibc-minimal-langpack.i686 2.29.9000-6.fc31 grep.i686 3.1-9.fc30 gzip.i686 1.9-8.fc30 info.i686 6.6-1.fc30 make.i686 1:4.2.1-13.fc30 patch.i686 2.7.6-9.fc31 redhat-rpm-config.noarch 128-1.fc30 rpm-build.i686 4.14.2.1-4.fc30.1 sed.i686 4.5-3.fc30 shadow-utils.i686 2:4.6-9.fc31 tar.i686 2:1.32-1.fc31 unzip.i686 6.0-43.fc30 util-linux.i686 2.33.1-4.fc31 which.i686 2.21-14.fc30 xz.i686 5.2.4-5.fc30 alternatives.i686 1.11-4.fc31 audit-libs.i686 3.0-0.6.20181218gitbdb72c0.fc30 basesystem.noarch 11-7.fc30 binutils.i686 2.32-9.fc31 binutils-gold.i686 2.32-9.fc31 boost-regex.i686 1.69.0-6.fc30 brotli.i686 1.0.7-3.fc30 bzip2-libs.i686 1.0.6-29.fc30 ca-certificates.noarch 2018.2.26-3.fc30 coreutils-common.i686 8.31-1.fc31 cracklib.i686 2.9.6-19.fc30 crypto-policies.noarch 20190211-2.gite3eacfc.fc30 ctags.i686 5.8-25.fc30 curl.i686 7.64.0-4.fc31 cyrus-sasl-lib.i686 2.1.27-0.6rc7.fc30 dwz.i686 0.12-10.fc30 efi-srpm-macros.noarch 4-2.fc30 elfutils.i686 0.176-1.fc30 elfutils-default-yama-scope.noarch 0.176-1.fc30 elfutils-libelf.i686 0.176-1.fc30 elfutils-libs.i686 0.176-1.fc30 expat.i686 2.2.6-2.fc30 fedora-gpg-keys.noarch 31-0.2 fedora-release-common.noarch 31-0.1 fedora-repos.noarch 31-0.2 fedora-repos-rawhide.noarch 31-0.2 file.i686 5.36-2.fc31 file-libs.i686 5.36-2.fc31 filesystem.i686 3.10-1.fc30 fpc-srpm-macros.noarch 1.2-1.fc31 gc.i686 7.6.4-5.fc30 gdb-headless.i686 8.3.50.20190304-1.fc31 gdbm-libs.i686 1:1.18-4.fc30 ghc-srpm-macros.noarch 1.4.2-9.fc30 glib2.i686 2.60.0-3.fc31 glibc.i686 2.29.9000-6.fc31 glibc-common.i686 2.29.9000-6.fc31 gmp.i686 1:6.1.2-10.fc31 gnat-srpm-macros.noarch 4-9.fc30 go-srpm-macros.noarch 2-19.fc30 guile22.i686 2.2.4-3.fc30 keyutils-libs.i686 1.6-2.fc30 krb5-libs.i686 1.17-6.fc31 libacl.i686 2.2.53-3.fc30 libarchive.i686 3.3.3-4.fc31 libatomic_ops.i686 7.6.10-1.fc31 libattr.i686 2.4.48-5.fc30 libbabeltrace.i686 1.5.6-2.fc30 libblkid.i686 2.33.1-4.fc31 libcap.i686 2.26-5.fc30 libcap-ng.i686 0.7.9-7.fc31 libcom_err.i686 1.45.0-1.fc31 libcurl.i686 7.64.0-4.fc31 libdb.i686 5.3.28-37.fc30 libdb-utils.i686 5.3.28-37.fc30 libfdisk.i686 2.33.1-4.fc31 libffi.i686 3.1-19.fc30 libgcc.i686 9.0.1-0.10.fc30 libgcrypt.i686 1.8.4-3.fc30 libgpg-error.i686 1.33-2.fc30 libicu.i686 63.1-2.fc30 libidn2.i686 2.1.1a-1.fc30 libipt.i686 2.0-2.fc30 libmetalink.i686 0.1.3-8.fc30 libmount.i686 2.33.1-4.fc31 libnghttp2.i686 1.37.0-1.fc31 libnsl2.i686 1.2.0-4.20180605git4a062cf.fc30 libpkgconf.i686 1.6.0-1.fc30 libpsl.i686 0.20.2-6.fc30 libpwquality.i686 1.4.0-12.fc30 libselinux.i686 2.9-0.rc2.1.fc31 libsemanage.i686 2.9-0.rc2.1.fc31 libsepol.i686 2.9-0.rc2.1.fc31 libsigsegv.i686 2.11-7.fc30 libsmartcols.i686 2.33.1-4.fc31 libssh.i686 0.8.7-1.fc31 libstdc++.i686 9.0.1-0.10.fc30 libtasn1.i686 4.13-7.fc30 libtirpc.i686 1.1.4-2.rc2.fc30.1 libtool-ltdl.i686 2.4.6-29.fc30 libunistring.i686 0.9.10-5.fc30 libutempter.i686 1.1.6-16.fc30 libuuid.i686 2.33.1-4.fc31 libverto.i686 0.3.0-7.fc30 libxcrypt.i686 4.4.4-2.fc31 libxml2.i686 2.9.9-2.fc30 libzstd.i686 1.3.8-2.fc30 lua-libs.i686 5.3.5-5.fc30 lz4-libs.i686 1.8.3-2.fc30 mpfr.i686 3.1.6-4.fc30 ncurses.i686 6.1-10.20180923.fc30 ncurses-base.noarch 6.1-10.20180923.fc30 ncurses-libs.i686 6.1-10.20180923.fc30 nim-srpm-macros.noarch 1-4.fc30 ocaml-srpm-macros.noarch 5-5.fc30 openblas-srpm-macros.noarch 2-5.fc30 openldap.i686 2.4.47-1.fc30 openssl-libs.i686 1:1.1.1b-3.fc31 p11-kit.i686 0.23.15-3.fc30 p11-kit-trust.i686 0.23.15-3.fc30 pam.i686 1.3.1-17.fc30 pcre.i686 8.43-1.fc31 pcre2.i686 10.33-0.3.RC1.fc31 perl-srpm-macros.noarch 1-29.fc30 pkgconf.i686 1.6.0-1.fc30 pkgconf-m4.noarch 1.6.0-1.fc30 pkgconf-pkg-config.i686 1.6.0-1.fc30 popt.i686 1.16-17.fc30 publicsuffix-list-dafsa.noarch 20190128-2.fc30 python-pip-wheel.noarch 19.0.3-1.fc31 python-setuptools-wheel.noarch 40.8.0-1.fc30 python-srpm-macros.noarch 3-42.fc30 python3-libs.i686 3.7.2-7.fc30 qt5-srpm-macros.noarch 5.12.1-1.fc31 readline.i686 8.0-2.fc30 rpm.i686 4.14.2.1-4.fc30.1 rpm-build-libs.i686 4.14.2.1-4.fc30.1 rpm-libs.i686 4.14.2.1-4.fc30.1 rust-srpm-macros.noarch 6-4.fc30 setup.noarch 2.13.2-1.fc31 source-highlight.i686 3.1.8-23.fc31 sqlite-libs.i686 3.27.2-3.fc31 systemd-libs.i686 241-2.gita09c170.fc31 tzdata.noarch 2018i-2.fc30 xz-libs.i686 5.2.4-5.fc30 zip.i686 3.0-24.fc30 zlib.i686 1.2.11-15.fc30 zstd.i686 1.3.8-2.fc30 Complete! Finish: dnf install Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: Start: buildsrpm Start: rpmbuild -bs Building target platforms: i686 Building for target i686 Wrote: /builddir/build/SRPMS/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/870523-fedora-rawhide-i386-1552998169.188696/root/var/log/dnf.rpm.log /var/lib/mock/870523-fedora-rawhide-i386-1552998169.188696/root/var/log/dnf.librepo.log /var/lib/mock/870523-fedora-rawhide-i386-1552998169.188696/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/tmp/tmp5cvf79vu/nextpnr.spec) Config(child) 0 minutes 57 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running (timeout=64800): unbuffer /usr/bin/mock --rebuild /var/lib/copr-rpmbuild/results/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm --configdir /var/lib/copr-rpmbuild/results/configs --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1552998228.703190 -r child WARNING: Could not find required logging config file: /var/lib/copr-rpmbuild/results/configs/logging.ini. Using default... INFO: mock.py version 1.4.14 starting (python version = 3.6.5)... Start: init plugins INFO: tmpfs initialized INFO: selinux disabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins Start: run INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm) Config(870523-fedora-rawhide-i386) Start: clean chroot Finish: clean chroot Start: chroot init INFO: mounting tmpfs at /var/lib/mock/870523-fedora-rawhide-i386-1552998228.703190/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled HW Info plugin Mock Version: 1.4.14 INFO: Mock Version: 1.4.14 Start: dnf update 'Copr repository' 946 kB/s | 66 kB 00:00 fedora 68 MB/s | 55 MB 00:00 Last metadata expiration check: 0:00:00 ago on Tue 19 Mar 2019 12:23:54 PM UTC. Dependencies resolved. Nothing to do. Complete! Finish: dnf update Finish: chroot init Start: build phase for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Start: build setup for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Building target platforms: i686 Building for target i686 Wrote: /builddir/build/SRPMS/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Last metadata expiration check: 0:00:00 ago on Tue 19 Mar 2019 12:24:19 PM UTC. Package make-1:4.2.1-13.fc30.i686 is already installed, skipping. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: boost-filesystem i686 1.69.0-6.fc30 fedora 57 k boost-iostreams i686 1.69.0-6.fc30 fedora 46 k boost-program-options i686 1.69.0-6.fc30 fedora 153 k boost-python3-devel i686 1.69.0-6.fc30 fedora 15 k boost-thread i686 1.69.0-6.fc30 fedora 67 k cmake i686 3.14.0-1.fc31 fedora 9.0 M gcc-c++ i686 9.0.1-0.10.fc30 fedora 11 M icestorm i686 0-0.7.20190311gitfa1c932.fc31 copr_base 13 M libglvnd-devel i686 1:1.1.1-4.fc31 fedora 12 k python3-devel i686 3.7.2-7.fc30 fedora 212 k qt5-qtconfiguration-devel i686 0.3.1-8.fc30 fedora 14 k Installing dependencies: acl i686 2.2.53-3.fc30 fedora 70 k annobin i686 8.71-1.fc31 fedora 176 k avahi-libs i686 0.7-18.fc30 fedora 63 k boost i686 1.69.0-6.fc30 fedora 16 k boost-atomic i686 1.69.0-6.fc30 fedora 17 k boost-chrono i686 1.69.0-6.fc30 fedora 31 k boost-container i686 1.69.0-6.fc30 fedora 45 k boost-context i686 1.69.0-6.fc30 fedora 20 k boost-contract i686 1.69.0-6.fc30 fedora 55 k boost-coroutine i686 1.69.0-6.fc30 fedora 35 k boost-date-time i686 1.69.0-6.fc30 fedora 34 k boost-devel i686 1.69.0-6.fc30 fedora 11 M boost-fiber i686 1.69.0-6.fc30 fedora 44 k boost-graph i686 1.69.0-6.fc30 fedora 120 k boost-locale i686 1.69.0-6.fc30 fedora 272 k boost-log i686 1.69.0-6.fc30 fedora 472 k boost-math i686 1.69.0-6.fc30 fedora 247 k boost-numpy3 i686 1.69.0-6.fc30 fedora 29 k boost-python3 i686 1.69.0-6.fc30 fedora 94 k boost-random i686 1.69.0-6.fc30 fedora 29 k boost-serialization i686 1.69.0-6.fc30 fedora 135 k boost-stacktrace i686 1.69.0-6.fc30 fedora 30 k boost-system i686 1.69.0-6.fc30 fedora 17 k boost-test i686 1.69.0-6.fc30 fedora 264 k boost-timer i686 1.69.0-6.fc30 fedora 28 k boost-type_erasure i686 1.69.0-6.fc30 fedora 38 k boost-wave i686 1.69.0-6.fc30 fedora 231 k cmake-data noarch 3.14.0-1.fc31 fedora 1.4 M cmake-filesystem i686 3.14.0-1.fc31 fedora 16 k cmake-rpm-macros noarch 3.14.0-1.fc31 fedora 15 k cpp i686 9.0.1-0.10.fc30 fedora 9.4 M cryptsetup-libs i686 2.1.0-1.fc30 fedora 358 k cups-devel i686 1:2.2.10-5.fc31 fedora 52 k cups-libs i686 1:2.2.10-5.fc31 fedora 270 k dbus i686 1:1.12.12-5.fc31 fedora 11 k dbus-broker i686 18-1.fc31 fedora 162 k dbus-common noarch 1:1.12.12-5.fc31 fedora 17 k dbus-libs i686 1:1.12.12-5.fc31 fedora 160 k dconf i686 0.32.0-1.fc30 fedora 99 k dejavu-fonts-common noarch 2.37-1.fc30 fedora 67 k dejavu-sans-fonts noarch 2.37-1.fc30 fedora 1.5 M device-mapper i686 1.02.154-4.fc31 fedora 151 k device-mapper-libs i686 1.02.154-4.fc31 fedora 193 k emacs-filesystem noarch 1:26.1-8.fc30 fedora 9.8 k fontconfig i686 2.13.1-6.fc31 fedora 247 k fontpackages-filesystem noarch 1.44-24.fc30 fedora 8.2 k freetype i686 2.9.1-7.fc30 fedora 372 k gcc i686 9.0.1-0.10.fc30 fedora 21 M glibc-devel i686 2.29.9000-6.fc31 fedora 1.0 M glibc-headers i686 2.29.9000-6.fc31 fedora 481 k glx-utils i686 8.4.0-3.20181118git1830dcb.fc30 fedora 37 k gmp-c++ i686 1:6.1.2-10.fc31 fedora 18 k gmp-devel i686 1:6.1.2-10.fc31 fedora 173 k gnutls i686 3.6.6-1.fc30 fedora 891 k gnutls-c++ i686 3.6.6-1.fc30 fedora 27 k gnutls-dane i686 3.6.6-1.fc30 fedora 25 k gnutls-devel i686 3.6.6-1.fc30 fedora 2.1 M graphite2 i686 1.3.10-7.fc30 fedora 116 k groff-base i686 1.22.3-19.fc30 fedora 966 k harfbuzz i686 2.3.1-1.fc30 fedora 567 k hwdata noarch 0.321-1.fc31 fedora 1.5 M iptables-libs i686 1.8.0-5.fc30 fedora 52 k isl i686 0.16.1-8.fc30 fedora 971 k json-c i686 0.13.1-4.fc30 fedora 37 k jsoncpp i686 1.8.4-6.fc30 fedora 94 k kernel-headers i686 5.1.0-0.rc0.git9.1.fc31 fedora 1.2 M keyutils-libs-devel i686 1.6-2.fc30 fedora 49 k kmod-libs i686 26-3.fc31 fedora 64 k krb5-devel i686 1.17-6.fc31 fedora 438 k libICE i686 1.0.9-15.fc30 fedora 67 k libSM i686 1.2.3-2.fc30 fedora 40 k libX11 i686 1.6.7-2.fc31 fedora 621 k libX11-common noarch 1.6.7-2.fc31 fedora 155 k libX11-devel i686 1.6.7-2.fc31 fedora 974 k libX11-xcb i686 1.6.7-2.fc31 fedora 10 k libXau i686 1.0.9-1.fc30 fedora 30 k libXau-devel i686 1.0.9-1.fc30 fedora 14 k libXdamage i686 1.1.4-16.fc30 fedora 20 k libXdamage-devel i686 1.1.4-16.fc30 fedora 9.5 k libXext i686 1.3.3-11.fc30 fedora 38 k libXext-devel i686 1.3.3-11.fc30 fedora 73 k libXfixes i686 5.0.3-9.fc30 fedora 18 k libXfixes-devel i686 5.0.3-9.fc30 fedora 12 k libXrender i686 0.9.10-9.fc30 fedora 26 k libXxf86vm i686 1.1.4-11.fc30 fedora 18 k libXxf86vm-devel i686 1.1.4-11.fc30 fedora 18 k libargon2 i686 20161029-7.fc30 fedora 30 k libasan i686 9.0.1-0.10.fc30 fedora 441 k libatomic i686 9.0.1-0.10.fc30 fedora 26 k libcom_err-devel i686 1.45.0-1.fc31 fedora 17 k libdrm i686 2.4.97-2.fc30 fedora 156 k libdrm-devel i686 2.4.97-2.fc30 fedora 144 k libevdev i686 1.6.0-2.fc30 fedora 37 k libevent i686 2.1.8-5.fc30 fedora 249 k libftdi i686 1.3-16.fc31 fedora 47 k libgfortran i686 9.0.1-0.10.fc30 fedora 636 k libglvnd i686 1:1.1.1-4.fc31 fedora 57 k libglvnd-core-devel i686 1:1.1.1-4.fc31 fedora 20 k libglvnd-egl i686 1:1.1.1-4.fc31 fedora 43 k libglvnd-gles i686 1:1.1.1-4.fc31 fedora 30 k libglvnd-glx i686 1:1.1.1-4.fc31 fedora 108 k libglvnd-opengl i686 1:1.1.1-4.fc31 fedora 35 k libgomp i686 9.0.1-0.10.fc30 fedora 217 k libgudev i686 232-5.fc30 fedora 32 k libicu-devel i686 63.1-2.fc30 fedora 934 k libinput i686 1.12.901-2.fc31 fedora 183 k libjpeg-turbo i686 2.0.2-1.fc31 fedora 168 k libkadm5 i686 1.17-6.fc31 fedora 80 k libmpc i686 1.1.0-3.fc30 fedora 62 k libpcap i686 14:1.9.0-3.fc30 fedora 156 k libpciaccess i686 0.14-3.fc30 fedora 27 k libpng i686 2:1.6.36-1.fc31 fedora 115 k libquadmath i686 9.0.1-0.10.fc30 fedora 234 k libquadmath-devel i686 9.0.1-0.10.fc30 fedora 30 k libseccomp i686 2.4.0-0.fc31 fedora 58 k libselinux-devel i686 2.9-0.rc2.1.fc31 fedora 117 k libsepol-devel i686 2.9-0.rc2.1.fc31 fedora 45 k libstdc++-devel i686 9.0.1-0.10.fc30 fedora 2.1 M libtasn1-devel i686 4.13-7.fc30 fedora 328 k libtasn1-tools i686 4.13-7.fc30 fedora 25 k libubsan i686 9.0.1-0.10.fc30 fedora 170 k libusbx i686 1.0.22-3.fc31 fedora 68 k libuv i686 1:1.26.0-1.fc30 fedora 132 k libverto-devel i686 0.3.0-7.fc30 fedora 15 k libwacom i686 0.32-3.fc30 fedora 32 k libwacom-data noarch 0.32-3.fc30 fedora 74 k libwayland-client i686 1.16.92-1.fc30 fedora 31 k libwayland-server i686 1.16.92-1.fc30 fedora 38 k libxcb i686 1.13.1-2.fc30 fedora 220 k libxcb-devel i686 1.13.1-2.fc30 fedora 1.1 M libxcrypt-devel i686 4.4.4-2.fc31 fedora 35 k libxkbcommon i686 0.8.3-1.fc30 fedora 114 k libxkbcommon-x11 i686 0.8.3-1.fc30 fedora 21 k libxshmfence i686 1.3-4.fc30 fedora 11 k mesa-khr-devel i686 19.0.0-1.fc31 fedora 19 k mesa-libEGL i686 19.0.0-1.fc31 fedora 121 k mesa-libEGL-devel i686 19.0.0-1.fc31 fedora 33 k mesa-libGL i686 19.0.0-1.fc31 fedora 163 k mesa-libGL-devel i686 19.0.0-1.fc31 fedora 163 k mesa-libgbm i686 19.0.0-1.fc31 fedora 41 k mesa-libglapi i686 19.0.0-1.fc31 fedora 37 k mtdev i686 1.1.5-14.fc30 fedora 20 k nettle i686 3.4.1rc1-2.fc30 fedora 314 k nettle-devel i686 3.4.1rc1-2.fc30 fedora 632 k openblas i686 0.3.5-5.fc31 fedora 28 k openblas-threads i686 0.3.5-5.fc31 fedora 3.7 M p11-kit-devel i686 0.23.15-3.fc30 fedora 80 k pcre2-devel i686 10.33-0.3.RC1.fc31 fedora 620 k pcre2-utf16 i686 10.33-0.3.RC1.fc31 fedora 232 k pcre2-utf32 i686 10.33-0.3.RC1.fc31 fedora 221 k perl-Carp noarch 1.50-418.fc30 fedora 29 k perl-Encode i686 4:3.01-10.fc31 fedora 1.1 M perl-Errno i686 1.29-434.fc31 fedora 24 k perl-Exporter noarch 5.73-419.fc30 fedora 32 k perl-File-Path noarch 2.16-2.fc30 fedora 36 k perl-File-Temp noarch 1:0.230.900-2.fc30 fedora 61 k perl-Getopt-Long noarch 1:2.50-418.fc30 fedora 61 k perl-HTTP-Tiny noarch 0.076-2.fc30 fedora 55 k perl-IO i686 1.39-434.fc31 fedora 89 k perl-MIME-Base64 i686 3.15-418.fc30 fedora 29 k perl-PathTools i686 3.75-2.fc30 fedora 86 k perl-Pod-Escapes noarch 1:1.07-418.fc30 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-419.fc30 fedora 84 k perl-Pod-Simple noarch 1:3.35-418.fc30 fedora 211 k perl-Pod-Usage noarch 4:1.69-418.fc30 fedora 32 k perl-Scalar-List-Utils i686 3:1.50-418.fc30 fedora 65 k perl-Socket i686 4:2.029-1.fc31 fedora 54 k perl-Storable i686 1:3.11-7.fc30 fedora 96 k perl-Term-ANSIColor noarch 4.06-419.fc30 fedora 45 k perl-Term-Cap noarch 1.17-418.fc30 fedora 22 k perl-Text-ParseWords noarch 3.30-418.fc30 fedora 16 k perl-Text-Tabs+Wrap noarch 2013.0523-418.fc30 fedora 23 k perl-Time-Local noarch 2:1.280-4.fc30 fedora 31 k perl-Unicode-Normalize i686 1.26-418.fc30 fedora 73 k perl-constant noarch 1.33-419.fc30 fedora 23 k perl-interpreter i686 4:5.28.1-434.fc31 fedora 6.4 M perl-libs i686 4:5.28.1-434.fc31 fedora 1.7 M perl-macros i686 4:5.28.1-434.fc31 fedora 20 k perl-parent noarch 1:0.237-3.fc30 fedora 14 k perl-podlators noarch 1:4.11-4.fc30 fedora 115 k perl-threads i686 1:2.22-418.fc30 fedora 58 k perl-threads-shared i686 1.59-2.fc30 fedora 43 k python-rpm-macros noarch 3-42.fc30 fedora 11 k python3 i686 3.7.2-7.fc30 fedora 38 k python3-numpy i686 1:1.16.2-1.fc31 fedora 4.0 M python3-rpm-generators noarch 7-2.fc30 fedora 23 k python3-rpm-macros noarch 3-42.fc30 fedora 10 k python3-setuptools noarch 40.8.0-1.fc30 fedora 636 k qrencode-libs i686 3.4.4-8.fc30 fedora 55 k qt-settings noarch 30.0-1.fc31 fedora 9.6 k qt5-qtbase i686 5.12.1-2.fc31 fedora 3.5 M qt5-qtbase-common noarch 5.12.1-2.fc31 fedora 14 k qt5-qtbase-devel i686 5.12.1-2.fc31 fedora 4.2 M qt5-qtbase-gui i686 5.12.1-2.fc31 fedora 6.4 M qt5-qtconfiguration i686 0.3.1-8.fc30 fedora 65 k qt5-qtdeclarative i686 5.12.1-1.fc31 fedora 3.9 M qt5-rpm-macros noarch 5.12.1-1.fc31 fedora 10 k rhash i686 1.3.8-1.fc30 fedora 186 k systemd i686 241-2.gita09c170.fc31 fedora 3.7 M systemd-pam i686 241-2.gita09c170.fc31 fedora 156 k systemd-rpm-macros noarch 241-2.gita09c170.fc31 fedora 21 k unbound-libs i686 1.8.3-4.fc30 fedora 504 k xcb-util i686 0.4.0-12.fc30 fedora 17 k xcb-util-image i686 0.4.0-12.fc30 fedora 18 k xcb-util-keysyms i686 0.4.0-10.fc30 fedora 13 k xcb-util-renderutil i686 0.3.9-13.fc30 fedora 16 k xcb-util-wm i686 0.4.1-15.fc30 fedora 31 k xkeyboard-config noarch 2.24-5.fc30 fedora 822 k xorg-x11-proto-devel noarch 2018.4-3.fc30 fedora 280 k zlib-devel i686 1.2.11-15.fc30 fedora 46 k Transaction Summary ================================================================================ Install 221 Packages Total download size: 151 M Installed size: 674 M Downloading Packages: (1/221): boost-filesystem-1.69.0-6.fc30.i686.rp 1.2 MB/s | 57 kB 00:00 (2/221): boost-python3-devel-1.69.0-6.fc30.i686 2.6 MB/s | 15 kB 00:00 (3/221): boost-iostreams-1.69.0-6.fc30.i686.rpm 759 kB/s | 46 kB 00:00 (4/221): boost-thread-1.69.0-6.fc30.i686.rpm 7.7 MB/s | 67 kB 00:00 (5/221): qt5-qtconfiguration-devel-0.3.1-8.fc30 2.2 MB/s | 14 kB 00:00 (6/221): boost-program-options-1.69.0-6.fc30.i6 2.0 MB/s | 153 kB 00:00 (7/221): cmake-3.14.0-1.fc31.i686.rpm 32 MB/s | 9.0 MB 00:00 (8/221): libglvnd-devel-1.1.1-4.fc31.i686.rpm 1.9 MB/s | 12 kB 00:00 (9/221): python3-devel-3.7.2-7.fc30.i686.rpm 16 MB/s | 212 kB 00:00 (10/221): boost-system-1.69.0-6.fc30.i686.rpm 3.2 MB/s | 17 kB 00:00 (11/221): gcc-c++-9.0.1-0.10.fc30.i686.rpm 29 MB/s | 11 MB 00:00 (12/221): boost-numpy3-1.69.0-6.fc30.i686.rpm 2.8 MB/s | 29 kB 00:00 (13/221): boost-python3-1.69.0-6.fc30.i686.rpm 7.9 MB/s | 94 kB 00:00 (14/221): cmake-data-3.14.0-1.fc31.noarch.rpm 25 MB/s | 1.4 MB 00:00 (15/221): cmake-filesystem-3.14.0-1.fc31.i686.r 2.2 MB/s | 16 kB 00:00 (16/221): cmake-rpm-macros-3.14.0-1.fc31.noarch 1.8 MB/s | 15 kB 00:00 (17/221): jsoncpp-1.8.4-6.fc30.i686.rpm 9.2 MB/s | 94 kB 00:00 (18/221): libuv-1.26.0-1.fc30.i686.rpm 9.3 MB/s | 132 kB 00:00 (19/221): rhash-1.3.8-1.fc30.i686.rpm 14 MB/s | 186 kB 00:00 (20/221): boost-devel-1.69.0-6.fc30.i686.rpm 31 MB/s | 11 MB 00:00 (21/221): qt5-qtconfiguration-0.3.1-8.fc30.i686 6.0 MB/s | 65 kB 00:00 (22/221): qt5-qtbase-devel-5.12.1-2.fc31.i686.r 24 MB/s | 4.2 MB 00:00 (23/221): libmpc-1.1.0-3.fc30.i686.rpm 5.3 MB/s | 62 kB 00:00 (24/221): libstdc++-devel-9.0.1-0.10.fc30.i686. 29 MB/s | 2.1 MB 00:00 (25/221): libftdi-1.3-16.fc31.i686.rpm 6.0 MB/s | 47 kB 00:00 (26/221): python3-3.7.2-7.fc30.i686.rpm 4.2 MB/s | 38 kB 00:00 (27/221): libglvnd-1.1.1-4.fc31.i686.rpm 5.5 MB/s | 57 kB 00:00 (28/221): libglvnd-core-devel-1.1.1-4.fc31.i686 2.1 MB/s | 20 kB 00:00 (29/221): libglvnd-egl-1.1.1-4.fc31.i686.rpm 5.0 MB/s | 43 kB 00:00 (30/221): libglvnd-gles-1.1.1-4.fc31.i686.rpm 2.9 MB/s | 30 kB 00:00 (31/221): libglvnd-glx-1.1.1-4.fc31.i686.rpm 10 MB/s | 108 kB 00:00 (32/221): libglvnd-opengl-1.1.1-4.fc31.i686.rpm 4.2 MB/s | 35 kB 00:00 (33/221): python-rpm-macros-3-42.fc30.noarch.rp 1.2 MB/s | 11 kB 00:00 (34/221): icestorm-0-0.7.20190311gitfa1c932.fc3 14 MB/s | 13 MB 00:00 (35/221): python3-rpm-generators-7-2.fc30.noarc 339 kB/s | 23 kB 00:00 (36/221): python3-rpm-macros-3-42.fc30.noarch.r 2.0 MB/s | 10 kB 00:00 (37/221): boost-1.69.0-6.fc30.i686.rpm 1.7 MB/s | 16 kB 00:00 (38/221): boost-atomic-1.69.0-6.fc30.i686.rpm 1.3 MB/s | 17 kB 00:00 (39/221): boost-chrono-1.69.0-6.fc30.i686.rpm 2.3 MB/s | 31 kB 00:00 (40/221): boost-container-1.69.0-6.fc30.i686.rp 4.7 MB/s | 45 kB 00:00 (41/221): boost-context-1.69.0-6.fc30.i686.rpm 1.5 MB/s | 20 kB 00:00 (42/221): boost-contract-1.69.0-6.fc30.i686.rpm 3.9 MB/s | 55 kB 00:00 (43/221): boost-coroutine-1.69.0-6.fc30.i686.rp 4.0 MB/s | 35 kB 00:00 (44/221): boost-date-time-1.69.0-6.fc30.i686.rp 3.6 MB/s | 34 kB 00:00 (45/221): boost-fiber-1.69.0-6.fc30.i686.rpm 4.7 MB/s | 44 kB 00:00 (46/221): boost-graph-1.69.0-6.fc30.i686.rpm 7.6 MB/s | 120 kB 00:00 (47/221): python3-setuptools-40.8.0-1.fc30.noar 4.8 MB/s | 636 kB 00:00 (48/221): boost-locale-1.69.0-6.fc30.i686.rpm 16 MB/s | 272 kB 00:00 (49/221): boost-math-1.69.0-6.fc30.i686.rpm 15 MB/s | 247 kB 00:00 (50/221): boost-random-1.69.0-6.fc30.i686.rpm 1.8 MB/s | 29 kB 00:00 (51/221): boost-serialization-1.69.0-6.fc30.i68 8.2 MB/s | 135 kB 00:00 (52/221): boost-stacktrace-1.69.0-6.fc30.i686.r 2.0 MB/s | 30 kB 00:00 (53/221): boost-log-1.69.0-6.fc30.i686.rpm 5.8 MB/s | 472 kB 00:00 (54/221): gcc-9.0.1-0.10.fc30.i686.rpm 36 MB/s | 21 MB 00:00 (55/221): boost-timer-1.69.0-6.fc30.i686.rpm 265 kB/s | 28 kB 00:00 (56/221): boost-test-1.69.0-6.fc30.i686.rpm 2.2 MB/s | 264 kB 00:00 (57/221): boost-type_erasure-1.69.0-6.fc30.i686 4.5 MB/s | 38 kB 00:00 (58/221): boost-wave-1.69.0-6.fc30.i686.rpm 22 MB/s | 231 kB 00:00 (59/221): libquadmath-devel-9.0.1-0.10.fc30.i68 3.2 MB/s | 30 kB 00:00 (60/221): emacs-filesystem-26.1-8.fc30.noarch.r 1.4 MB/s | 9.8 kB 00:00 (61/221): libicu-devel-63.1-2.fc30.i686.rpm 39 MB/s | 934 kB 00:00 (62/221): cups-devel-2.2.10-5.fc31.i686.rpm 5.2 MB/s | 52 kB 00:00 (63/221): mesa-libEGL-devel-19.0.0-1.fc31.i686. 4.8 MB/s | 33 kB 00:00 (64/221): perl-File-Path-2.16-2.fc30.noarch.rpm 5.9 MB/s | 36 kB 00:00 (65/221): mesa-libGL-devel-19.0.0-1.fc31.i686.r 14 MB/s | 163 kB 00:00 (66/221): perl-Getopt-Long-2.50-418.fc30.noarch 6.0 MB/s | 61 kB 00:00 (67/221): perl-IO-1.39-434.fc31.i686.rpm 7.6 MB/s | 89 kB 00:00 (68/221): perl-PathTools-3.75-2.fc30.i686.rpm 7.7 MB/s | 86 kB 00:00 (69/221): perl-libs-5.28.1-434.fc31.i686.rpm 22 MB/s | 1.7 MB 00:00 (70/221): perl-interpreter-5.28.1-434.fc31.i686 30 MB/s | 6.4 MB 00:00 (71/221): qt5-qtbase-5.12.1-2.fc31.i686.rpm 19 MB/s | 3.5 MB 00:00 (72/221): qt5-rpm-macros-5.12.1-1.fc31.noarch.r 1.2 MB/s | 10 kB 00:00 (73/221): dconf-0.32.0-1.fc30.i686.rpm 6.7 MB/s | 99 kB 00:00 (74/221): qt5-qtbase-gui-5.12.1-2.fc31.i686.rpm 26 MB/s | 6.4 MB 00:00 (75/221): qt5-qtdeclarative-5.12.1-1.fc31.i686. 21 MB/s | 3.9 MB 00:00 (76/221): python3-numpy-1.16.2-1.fc31.i686.rpm 7.3 MB/s | 4.0 MB 00:00 (77/221): glibc-devel-2.29.9000-6.fc31.i686.rpm 22 MB/s | 1.0 MB 00:00 (78/221): libasan-9.0.1-0.10.fc30.i686.rpm 21 MB/s | 441 kB 00:00 (79/221): libatomic-9.0.1-0.10.fc30.i686.rpm 2.7 MB/s | 26 kB 00:00 (80/221): libgomp-9.0.1-0.10.fc30.i686.rpm 18 MB/s | 217 kB 00:00 (81/221): libubsan-9.0.1-0.10.fc30.i686.rpm 13 MB/s | 170 kB 00:00 (82/221): isl-0.16.1-8.fc30.i686.rpm 10 MB/s | 971 kB 00:00 (83/221): libusbx-1.0.22-3.fc31.i686.rpm 5.9 MB/s | 68 kB 00:00 (84/221): mesa-libEGL-19.0.0-1.fc31.i686.rpm 11 MB/s | 121 kB 00:00 (85/221): libX11-1.6.7-2.fc31.i686.rpm 25 MB/s | 621 kB 00:00 (86/221): libXext-1.3.3-11.fc30.i686.rpm 5.8 MB/s | 38 kB 00:00 (87/221): mesa-libGL-19.0.0-1.fc31.i686.rpm 16 MB/s | 163 kB 00:00 (88/221): libquadmath-9.0.1-0.10.fc30.i686.rpm 19 MB/s | 234 kB 00:00 (89/221): cpp-9.0.1-0.10.fc30.i686.rpm 36 MB/s | 9.4 MB 00:00 (90/221): cups-libs-2.2.10-5.fc31.i686.rpm 15 MB/s | 270 kB 00:00 (91/221): openblas-threads-0.3.5-5.fc31.i686.rp 25 MB/s | 3.7 MB 00:00 (92/221): gnutls-devel-3.6.6-1.fc30.i686.rpm 22 MB/s | 2.1 MB 00:00 (93/221): krb5-devel-1.17-6.fc31.i686.rpm 16 MB/s | 438 kB 00:00 (94/221): zlib-devel-1.2.11-15.fc30.i686.rpm 7.8 MB/s | 46 kB 00:00 (95/221): libXdamage-devel-1.1.4-16.fc30.i686.r 1.6 MB/s | 9.5 kB 00:00 (96/221): libXext-devel-1.3.3-11.fc30.i686.rpm 6.5 MB/s | 73 kB 00:00 (97/221): libX11-devel-1.6.7-2.fc31.i686.rpm 32 MB/s | 974 kB 00:00 (98/221): libXfixes-devel-5.0.3-9.fc30.i686.rpm 1.2 MB/s | 12 kB 00:00 (99/221): systemd-241-2.gita09c170.fc31.i686.rp 13 MB/s | 3.7 MB 00:00 (100/221): libXxf86vm-devel-1.1.4-11.fc30.i686. 976 kB/s | 18 kB 00:00 (101/221): libdrm-devel-2.4.97-2.fc30.i686.rpm 6.5 MB/s | 144 kB 00:00 (102/221): mesa-khr-devel-19.0.0-1.fc31.i686.rp 4.2 MB/s | 19 kB 00:00 (103/221): mesa-libglapi-19.0.0-1.fc31.i686.rpm 6.1 MB/s | 37 kB 00:00 (104/221): perl-Carp-1.50-418.fc30.noarch.rpm 4.9 MB/s | 29 kB 00:00 (105/221): perl-Exporter-5.73-419.fc30.noarch.r 6.4 MB/s | 32 kB 00:00 (106/221): perl-Pod-Usage-1.69-418.fc30.noarch. 6.4 MB/s | 32 kB 00:00 (107/221): perl-Text-ParseWords-3.30-418.fc30.n 3.8 MB/s | 16 kB 00:00 (108/221): perl-constant-1.33-419.fc30.noarch.r 4.1 MB/s | 23 kB 00:00 (109/221): libxcb-devel-1.13.1-2.fc30.i686.rpm 35 MB/s | 1.1 MB 00:00 (110/221): perl-Errno-1.29-434.fc31.i686.rpm 2.2 MB/s | 24 kB 00:00 (111/221): perl-Socket-2.029-1.fc31.i686.rpm 4.2 MB/s | 54 kB 00:00 (112/221): perl-Scalar-List-Utils-1.50-418.fc30 7.7 MB/s | 65 kB 00:00 (113/221): perl-Text-Tabs+Wrap-2013.0523-418.fc 2.7 MB/s | 23 kB 00:00 (114/221): perl-Unicode-Normalize-1.26-418.fc30 9.7 MB/s | 73 kB 00:00 (115/221): perl-parent-0.237-3.fc30.noarch.rpm 2.8 MB/s | 14 kB 00:00 (116/221): perl-macros-5.28.1-434.fc31.i686.rpm 3.0 MB/s | 20 kB 00:00 (117/221): perl-threads-2.22-418.fc30.i686.rpm 12 MB/s | 58 kB 00:00 (118/221): perl-threads-shared-1.59-2.fc30.i686 8.3 MB/s | 43 kB 00:00 (119/221): dbus-libs-1.12.12-5.fc31.i686.rpm 18 MB/s | 160 kB 00:00 (120/221): qt-settings-30.0-1.fc31.noarch.rpm 1.8 MB/s | 9.6 kB 00:00 (121/221): pcre2-utf16-10.33-0.3.RC1.fc31.i686. 21 MB/s | 232 kB 00:00 (122/221): qt5-qtbase-common-5.12.1-2.fc31.noar 2.2 MB/s | 14 kB 00:00 (123/221): fontconfig-2.13.1-6.fc31.i686.rpm 25 MB/s | 247 kB 00:00 (124/221): glx-utils-8.4.0-3.20181118git1830dcb 6.5 MB/s | 37 kB 00:00 (125/221): freetype-2.9.1-7.fc30.i686.rpm 31 MB/s | 372 kB 00:00 (126/221): libICE-1.0.9-15.fc30.i686.rpm 6.4 MB/s | 67 kB 00:00 (127/221): libSM-1.2.3-2.fc30.i686.rpm 6.1 MB/s | 40 kB 00:00 (128/221): libX11-xcb-1.6.7-2.fc31.i686.rpm 2.0 MB/s | 10 kB 00:00 (129/221): libXrender-0.9.10-9.fc30.i686.rpm 4.7 MB/s | 26 kB 00:00 (130/221): harfbuzz-2.3.1-1.fc30.i686.rpm 24 MB/s | 567 kB 00:00 (131/221): libdrm-2.4.97-2.fc30.i686.rpm 17 MB/s | 156 kB 00:00 (132/221): libjpeg-turbo-2.0.2-1.fc31.i686.rpm 19 MB/s | 168 kB 00:00 (133/221): libpng-1.6.36-1.fc31.i686.rpm 16 MB/s | 115 kB 00:00 (134/221): libxcb-1.13.1-2.fc30.i686.rpm 27 MB/s | 220 kB 00:00 (135/221): libxkbcommon-0.8.3-1.fc30.i686.rpm 14 MB/s | 114 kB 00:00 (136/221): libxkbcommon-x11-0.8.3-1.fc30.i686.r 3.4 MB/s | 21 kB 00:00 (137/221): xcb-util-keysyms-0.4.0-10.fc30.i686. 2.9 MB/s | 13 kB 00:00 (138/221): xcb-util-image-0.4.0-12.fc30.i686.rp 2.9 MB/s | 18 kB 00:00 (139/221): mesa-libgbm-19.0.0-1.fc31.i686.rpm 4.8 MB/s | 41 kB 00:00 (140/221): xcb-util-wm-0.4.1-15.fc30.i686.rpm 6.4 MB/s | 31 kB 00:00 (141/221): xcb-util-renderutil-0.3.9-13.fc30.i6 2.5 MB/s | 16 kB 00:00 (142/221): dbus-1.12.12-5.fc31.i686.rpm 2.0 MB/s | 11 kB 00:00 (143/221): acl-2.2.53-3.fc30.i686.rpm 12 MB/s | 70 kB 00:00 (144/221): libxcrypt-devel-4.4.4-2.fc31.i686.rp 4.3 MB/s | 35 kB 00:00 (145/221): glibc-headers-2.29.9000-6.fc31.i686. 30 MB/s | 481 kB 00:00 (146/221): iptables-libs-1.8.0-5.fc30.i686.rpm 6.6 MB/s | 52 kB 00:00 (147/221): cryptsetup-libs-2.1.0-1.fc30.i686.rp 19 MB/s | 358 kB 00:00 (148/221): kmod-libs-26-3.fc31.i686.rpm 9.9 MB/s | 64 kB 00:00 (149/221): libseccomp-2.4.0-0.fc31.i686.rpm 6.8 MB/s | 58 kB 00:00 (150/221): gnutls-3.6.6-1.fc30.i686.rpm 28 MB/s | 891 kB 00:00 (151/221): qrencode-libs-3.4.4-8.fc30.i686.rpm 4.8 MB/s | 55 kB 00:00 (152/221): systemd-pam-241-2.gita09c170.fc31.i6 17 MB/s | 156 kB 00:00 (153/221): systemd-rpm-macros-241-2.gita09c170. 1.8 MB/s | 21 kB 00:00 (154/221): libwayland-client-1.16.92-1.fc30.i68 2.9 MB/s | 31 kB 00:00 (155/221): libwayland-server-1.16.92-1.fc30.i68 4.0 MB/s | 38 kB 00:00 (156/221): libxshmfence-1.3-4.fc30.i686.rpm 1.9 MB/s | 11 kB 00:00 (157/221): libXdamage-1.1.4-16.fc30.i686.rpm 3.4 MB/s | 20 kB 00:00 (158/221): libX11-common-1.6.7-2.fc31.noarch.rp 11 MB/s | 155 kB 00:00 (159/221): libXfixes-5.0.3-9.fc30.i686.rpm 1.3 MB/s | 18 kB 00:00 (160/221): libXxf86vm-1.1.4-11.fc30.i686.rpm 1.4 MB/s | 18 kB 00:00 (161/221): openblas-0.3.5-5.fc31.i686.rpm 4.0 MB/s | 28 kB 00:00 (162/221): avahi-libs-0.7-18.fc30.i686.rpm 8.0 MB/s | 63 kB 00:00 (163/221): libgfortran-9.0.1-0.10.fc30.i686.rpm 28 MB/s | 636 kB 00:00 (164/221): gnutls-c++-3.6.6-1.fc30.i686.rpm 1.9 MB/s | 27 kB 00:00 (165/221): gnutls-dane-3.6.6-1.fc30.i686.rpm 1.8 MB/s | 25 kB 00:00 (166/221): libtasn1-devel-4.13-7.fc30.i686.rpm 23 MB/s | 328 kB 00:00 (167/221): p11-kit-devel-0.23.15-3.fc30.i686.rp 6.7 MB/s | 80 kB 00:00 (168/221): keyutils-libs-devel-1.6-2.fc30.i686. 6.7 MB/s | 49 kB 00:00 (169/221): libcom_err-devel-1.45.0-1.fc31.i686. 2.7 MB/s | 17 kB 00:00 (170/221): libkadm5-1.17-6.fc31.i686.rpm 12 MB/s | 80 kB 00:00 (171/221): nettle-devel-3.4.1rc1-2.fc30.i686.rp 20 MB/s | 632 kB 00:00 (172/221): libverto-devel-0.3.0-7.fc30.i686.rpm 1.8 MB/s | 15 kB 00:00 (173/221): libselinux-devel-2.9-0.rc2.1.fc31.i6 6.8 MB/s | 117 kB 00:00 (174/221): libXau-devel-1.0.9-1.fc30.i686.rpm 2.0 MB/s | 14 kB 00:00 (175/221): xorg-x11-proto-devel-2018.4-3.fc30.n 17 MB/s | 280 kB 00:00 (176/221): perl-Pod-Perldoc-3.28.01-419.fc30.no 11 MB/s | 84 kB 00:00 (177/221): perl-podlators-4.11-4.fc30.noarch.rp 11 MB/s | 115 kB 00:00 (178/221): fontpackages-filesystem-1.44-24.fc30 1.0 MB/s | 8.2 kB 00:00 (179/221): kernel-headers-5.1.0-0.rc0.git9.1.fc 30 MB/s | 1.2 MB 00:00 (180/221): libpciaccess-0.14-3.fc30.i686.rpm 1.9 MB/s | 27 kB 00:00 (181/221): graphite2-1.3.10-7.fc30.i686.rpm 6.8 MB/s | 116 kB 00:00 (182/221): libXau-1.0.9-1.fc30.i686.rpm 3.5 MB/s | 30 kB 00:00 (183/221): xcb-util-0.4.0-12.fc30.i686.rpm 1.9 MB/s | 17 kB 00:00 (184/221): dbus-broker-18-1.fc31.i686.rpm 13 MB/s | 162 kB 00:00 (185/221): device-mapper-libs-1.02.154-4.fc31.i 12 MB/s | 193 kB 00:00 (186/221): json-c-0.13.1-4.fc30.i686.rpm 4.9 MB/s | 37 kB 00:00 (187/221): xkeyboard-config-2.24-5.fc30.noarch. 22 MB/s | 822 kB 00:00 (188/221): libargon2-20161029-7.fc30.i686.rpm 2.8 MB/s | 30 kB 00:00 (189/221): nettle-3.4.1rc1-2.fc30.i686.rpm 19 MB/s | 314 kB 00:00 (190/221): libpcap-1.9.0-3.fc30.i686.rpm 12 MB/s | 156 kB 00:00 (191/221): libtasn1-tools-4.13-7.fc30.i686.rpm 2.7 MB/s | 25 kB 00:00 (192/221): gmp-devel-6.1.2-10.fc31.i686.rpm 14 MB/s | 173 kB 00:00 (193/221): unbound-libs-1.8.3-4.fc30.i686.rpm 16 MB/s | 504 kB 00:00 (194/221): libsepol-devel-2.9-0.rc2.1.fc31.i686 3.0 MB/s | 45 kB 00:00 (195/221): pcre2-devel-10.33-0.3.RC1.fc31.i686. 18 MB/s | 620 kB 00:00 (196/221): perl-File-Temp-0.230.900-2.fc30.noar 7.1 MB/s | 61 kB 00:00 (197/221): perl-HTTP-Tiny-0.076-2.fc30.noarch.r 7.0 MB/s | 55 kB 00:00 (198/221): groff-base-1.22.3-19.fc30.i686.rpm 18 MB/s | 966 kB 00:00 (199/221): perl-Term-ANSIColor-4.06-419.fc30.no 7.2 MB/s | 45 kB 00:00 (200/221): perl-Pod-Simple-3.35-418.fc30.noarch 12 MB/s | 211 kB 00:00 (201/221): perl-Term-Cap-1.17-418.fc30.noarch.r 5.0 MB/s | 22 kB 00:00 (202/221): dbus-common-1.12.12-5.fc31.noarch.rp 3.0 MB/s | 17 kB 00:00 (203/221): device-mapper-1.02.154-4.fc31.i686.r 14 MB/s | 151 kB 00:00 (204/221): libevent-2.1.8-5.fc30.i686.rpm 18 MB/s | 249 kB 00:00 (205/221): gmp-c++-6.1.2-10.fc31.i686.rpm 3.7 MB/s | 18 kB 00:00 (206/221): hwdata-0.321-1.fc31.noarch.rpm 31 MB/s | 1.5 MB 00:00 (207/221): pcre2-utf32-10.33-0.3.RC1.fc31.i686. 17 MB/s | 221 kB 00:00 (208/221): perl-MIME-Base64-3.15-418.fc30.i686. 5.4 MB/s | 29 kB 00:00 (209/221): perl-Storable-3.11-7.fc30.i686.rpm 13 MB/s | 96 kB 00:00 (210/221): perl-Time-Local-1.280-4.fc30.noarch. 4.2 MB/s | 31 kB 00:00 (211/221): perl-Pod-Escapes-1.07-418.fc30.noarc 4.5 MB/s | 20 kB 00:00 (212/221): annobin-8.71-1.fc31.i686.rpm 19 MB/s | 176 kB 00:00 (213/221): perl-Encode-3.01-10.fc31.i686.rpm 7.6 MB/s | 1.1 MB 00:00 (214/221): libevdev-1.6.0-2.fc30.i686.rpm 5.3 MB/s | 37 kB 00:00 (215/221): libinput-1.12.901-2.fc31.i686.rpm 11 MB/s | 183 kB 00:00 (216/221): libwacom-0.32-3.fc30.i686.rpm 3.5 MB/s | 32 kB 00:00 (217/221): mtdev-1.1.5-14.fc30.i686.rpm 2.4 MB/s | 20 kB 00:00 (218/221): libgudev-232-5.fc30.i686.rpm 3.9 MB/s | 32 kB 00:00 (219/221): libwacom-data-0.32-3.fc30.noarch.rpm 13 MB/s | 74 kB 00:00 (220/221): dejavu-fonts-common-2.37-1.fc30.noar 14 MB/s | 67 kB 00:00 (221/221): dejavu-sans-fonts-2.37-1.fc30.noarch 34 MB/s | 1.5 MB 00:00 -------------------------------------------------------------------------------- Total 49 MB/s | 151 MB 00:03 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : perl-Carp-1.50-418.fc30.noarch 1/221 Installing : perl-Exporter-5.73-419.fc30.noarch 2/221 Installing : perl-libs-4:5.28.1-434.fc31.i686 3/221 Installing : boost-system-1.69.0-6.fc30.i686 4/221 Installing : xorg-x11-proto-devel-2018.4-3.fc30.noarch 5/221 Installing : perl-parent-1:0.237-3.fc30.noarch 6/221 Installing : cmake-filesystem-3.14.0-1.fc31.i686 7/221 Installing : boost-chrono-1.69.0-6.fc30.i686 8/221 Installing : python3-setuptools-40.8.0-1.fc30.noarch 9/221 Installing : python3-3.7.2-7.fc30.i686 10/221 Installing : boost-thread-1.69.0-6.fc30.i686 11/221 Installing : boost-filesystem-1.69.0-6.fc30.i686 12/221 Installing : libglvnd-1:1.1.1-4.fc31.i686 13/221 Installing : perl-Scalar-List-Utils-3:1.50-418.fc30.i686 14/221 Installing : libX11-xcb-1.6.7-2.fc31.i686 15/221 Installing : boost-date-time-1.69.0-6.fc30.i686 16/221 Installing : boost-context-1.69.0-6.fc30.i686 17/221 Installing : boost-timer-1.69.0-6.fc30.i686 18/221 Installing : mesa-libglapi-19.0.0-1.fc31.i686 19/221 Installing : boost-atomic-1.69.0-6.fc30.i686 20/221 Installing : libmpc-1.1.0-3.fc30.i686 21/221 Installing : cmake-rpm-macros-3.14.0-1.fc31.noarch 22/221 Installing : perl-Text-ParseWords-3.30-418.fc30.noarch 23/221 Installing : fontpackages-filesystem-1.44-24.fc30.noarch 24/221 Installing : kernel-headers-5.1.0-0.rc0.git9.1.fc31.i686 25/221 Installing : mesa-khr-devel-19.0.0-1.fc31.i686 26/221 Installing : boost-log-1.69.0-6.fc30.i686 27/221 Installing : boost-test-1.69.0-6.fc30.i686 28/221 Installing : boost-coroutine-1.69.0-6.fc30.i686 29/221 Installing : boost-fiber-1.69.0-6.fc30.i686 30/221 Installing : boost-wave-1.69.0-6.fc30.i686 31/221 Installing : boost-locale-1.69.0-6.fc30.i686 32/221 Installing : boost-type_erasure-1.69.0-6.fc30.i686 33/221 Installing : boost-contract-1.69.0-6.fc30.i686 34/221 Installing : boost-random-1.69.0-6.fc30.i686 35/221 Installing : nettle-3.4.1rc1-2.fc30.i686 36/221 Installing : gnutls-3.6.6-1.fc30.i686 37/221 Installing : libXau-1.0.9-1.fc30.i686 38/221 Installing : libxcb-1.13.1-2.fc30.i686 39/221 Installing : libxshmfence-1.3-4.fc30.i686 40/221 Installing : libwayland-server-1.16.92-1.fc30.i686 41/221 Installing : libpng-2:1.6.36-1.fc31.i686 42/221 Installing : freetype-2.9.1-7.fc30.i686 43/221 Installing : libICE-1.0.9-15.fc30.i686 44/221 Installing : pcre2-utf16-10.33-0.3.RC1.fc31.i686 45/221 Installing : dbus-libs-1:1.12.12-5.fc31.i686 46/221 Installing : libquadmath-9.0.1-0.10.fc30.i686 47/221 Installing : boost-stacktrace-1.69.0-6.fc30.i686 48/221 Installing : boost-serialization-1.69.0-6.fc30.i686 49/221 Installing : boost-math-1.69.0-6.fc30.i686 50/221 Installing : boost-graph-1.69.0-6.fc30.i686 51/221 Installing : boost-container-1.69.0-6.fc30.i686 52/221 Installing : boost-python3-1.69.0-6.fc30.i686 53/221 Installing : boost-program-options-1.69.0-6.fc30.i686 54/221 Installing : boost-iostreams-1.69.0-6.fc30.i686 55/221 Installing : boost-1.69.0-6.fc30.i686 56/221 Installing : libXau-devel-1.0.9-1.fc30.i686 57/221 Installing : libxcb-devel-1.13.1-2.fc30.i686 58/221 Running scriptlet: glibc-headers-2.29.9000-6.fc31.i686 59/221 Installing : glibc-headers-2.29.9000-6.fc31.i686 59/221 Installing : libxcrypt-devel-4.4.4-2.fc31.i686 60/221 Installing : glibc-devel-2.29.9000-6.fc31.i686 61/221 Installing : dejavu-fonts-common-2.37-1.fc30.noarch 62/221 Installing : dejavu-sans-fonts-2.37-1.fc30.noarch 63/221 Installing : python3-rpm-generators-7-2.fc30.noarch 64/221 Installing : perl-macros-4:5.28.1-434.fc31.i686 65/221 Installing : perl-Term-ANSIColor-4.06-419.fc30.noarch 66/221 Installing : libwacom-data-0.32-3.fc30.noarch 67/221 Installing : hwdata-0.321-1.fc31.noarch 68/221 Installing : libsepol-devel-2.9-0.rc2.1.fc31.i686 69/221 Installing : xkeyboard-config-2.24-5.fc30.noarch 70/221 Installing : libverto-devel-0.3.0-7.fc30.i686 71/221 Installing : libcom_err-devel-1.45.0-1.fc31.i686 72/221 Installing : keyutils-libs-devel-1.6-2.fc30.i686 73/221 Installing : p11-kit-devel-0.23.15-3.fc30.i686 74/221 Installing : openblas-0.3.5-5.fc31.i686 75/221 Installing : libX11-common-1.6.7-2.fc31.noarch 76/221 Installing : systemd-rpm-macros-241-2.gita09c170.fc31.noarch 77/221 Installing : qt-settings-30.0-1.fc31.noarch 78/221 Installing : zlib-devel-1.2.11-15.fc30.i686 79/221 Installing : emacs-filesystem-1:26.1-8.fc30.noarch 80/221 Installing : python3-rpm-macros-3-42.fc30.noarch 81/221 Installing : python-rpm-macros-3-42.fc30.noarch 82/221 Installing : libglvnd-core-devel-1:1.1.1-4.fc31.i686 83/221 Installing : libstdc++-devel-9.0.1-0.10.fc30.i686 84/221 Installing : libX11-1.6.7-2.fc31.i686 85/221 Installing : libX11-devel-1.6.7-2.fc31.i686 86/221 Installing : qt5-qtbase-common-5.12.1-2.fc31.noarch 87/221 Running scriptlet: qt5-qtbase-5.12.1-2.fc31.i686 88/221 Installing : qt5-qtbase-5.12.1-2.fc31.i686 88/221 Running scriptlet: qt5-qtbase-5.12.1-2.fc31.i686 88/221 Installing : libXext-1.3.3-11.fc30.i686 89/221 Installing : libXext-devel-1.3.3-11.fc30.i686 90/221 Installing : libXfixes-5.0.3-9.fc30.i686 91/221 Installing : libXfixes-devel-5.0.3-9.fc30.i686 92/221 Installing : libxkbcommon-0.8.3-1.fc30.i686 93/221 Installing : libXdamage-1.1.4-16.fc30.i686 94/221 Installing : libXdamage-devel-1.1.4-16.fc30.i686 95/221 Installing : libXxf86vm-1.1.4-11.fc30.i686 96/221 Installing : libXxf86vm-devel-1.1.4-11.fc30.i686 97/221 Installing : libxkbcommon-x11-0.8.3-1.fc30.i686 98/221 Installing : libXrender-0.9.10-9.fc30.i686 99/221 Installing : libpciaccess-0.14-3.fc30.i686 100/221 Installing : libdrm-2.4.97-2.fc30.i686 101/221 Installing : libdrm-devel-2.4.97-2.fc30.i686 102/221 Installing : mesa-libGL-19.0.0-1.fc31.i686 103/221 Installing : libglvnd-glx-1:1.1.1-4.fc31.i686 104/221 Installing : mesa-libgbm-19.0.0-1.fc31.i686 105/221 Installing : glx-utils-8.4.0-3.20181118git1830dcb.fc30.i686 106/221 Installing : fontconfig-2.13.1-6.fc31.i686 107/221 Running scriptlet: fontconfig-2.13.1-6.fc31.i686 107/221 Installing : libgfortran-9.0.1-0.10.fc30.i686 108/221 Installing : openblas-threads-0.3.5-5.fc31.i686 109/221 Installing : python3-numpy-1:1.16.2-1.fc31.i686 110/221 Installing : boost-numpy3-1.69.0-6.fc30.i686 111/221 Installing : avahi-libs-0.7-18.fc30.i686 112/221 Installing : cups-libs-1:2.2.10-5.fc31.i686 113/221 Installing : libSM-1.2.3-2.fc30.i686 114/221 Installing : xcb-util-keysyms-0.4.0-10.fc30.i686 115/221 Installing : xcb-util-renderutil-0.3.9-13.fc30.i686 116/221 Installing : xcb-util-wm-0.4.1-15.fc30.i686 117/221 Installing : xcb-util-0.4.0-12.fc30.i686 118/221 Installing : xcb-util-image-0.4.0-12.fc30.i686 119/221 Installing : gnutls-c++-3.6.6-1.fc30.i686 120/221 Installing : cpp-9.0.1-0.10.fc30.i686 121/221 Installing : libglvnd-opengl-1:1.1.1-4.fc31.i686 122/221 Installing : perl-Unicode-Normalize-1.26-418.fc30.i686 123/221 Installing : perl-constant-1.33-419.fc30.noarch 124/221 Installing : perl-PathTools-3.75-2.fc30.i686 125/221 Installing : perl-Errno-1.29-434.fc31.i686 126/221 Installing : perl-IO-1.39-434.fc31.i686 127/221 Installing : perl-Socket-4:2.029-1.fc31.i686 128/221 Installing : perl-Text-Tabs+Wrap-2013.0523-418.fc30.noarch 129/221 Installing : perl-threads-1:2.22-418.fc30.i686 130/221 Installing : perl-threads-shared-1.59-2.fc30.i686 131/221 Installing : perl-interpreter-4:5.28.1-434.fc31.i686 132/221 Installing : perl-File-Path-2.16-2.fc30.noarch 133/221 Installing : perl-File-Temp-1:0.230.900-2.fc30.noarch 134/221 Installing : perl-Term-Cap-1.17-418.fc30.noarch 135/221 Installing : perl-Pod-Escapes-1:1.07-418.fc30.noarch 136/221 Installing : perl-Time-Local-2:1.280-4.fc30.noarch 137/221 Installing : perl-MIME-Base64-3.15-418.fc30.i686 138/221 Installing : perl-HTTP-Tiny-0.076-2.fc30.noarch 139/221 Installing : perl-Storable-1:3.11-7.fc30.i686 140/221 Installing : libgudev-232-5.fc30.i686 141/221 Installing : libwacom-0.32-3.fc30.i686 142/221 Installing : mtdev-1.1.5-14.fc30.i686 143/221 Installing : libevdev-1.6.0-2.fc30.i686 144/221 Installing : libinput-1.12.901-2.fc31.i686 145/221 Running scriptlet: libinput-1.12.901-2.fc31.i686 145/221 Installing : pcre2-utf32-10.33-0.3.RC1.fc31.i686 146/221 Installing : pcre2-devel-10.33-0.3.RC1.fc31.i686 147/221 Installing : libselinux-devel-2.9-0.rc2.1.fc31.i686 148/221 Installing : gmp-c++-1:6.1.2-10.fc31.i686 149/221 Installing : gmp-devel-1:6.1.2-10.fc31.i686 150/221 Installing : nettle-devel-3.4.1rc1-2.fc30.i686 151/221 Installing : libevent-2.1.8-5.fc30.i686 152/221 Installing : groff-base-1.22.3-19.fc30.i686 153/221 Installing : perl-Encode-4:3.01-10.fc31.i686 154/221 Installing : perl-Pod-Simple-1:3.35-418.fc30.noarch 155/221 Installing : perl-Getopt-Long-1:2.50-418.fc30.noarch 156/221 Installing : perl-podlators-1:4.11-4.fc30.noarch 157/221 Installing : perl-Pod-Perldoc-3.28.01-419.fc30.noarch 158/221 Installing : perl-Pod-Usage-4:1.69-418.fc30.noarch 159/221 Installing : libtasn1-tools-4.13-7.fc30.i686 160/221 Installing : libtasn1-devel-4.13-7.fc30.i686 161/221 Installing : libpcap-14:1.9.0-3.fc30.i686 162/221 Installing : iptables-libs-1.8.0-5.fc30.i686 163/221 Installing : libargon2-20161029-7.fc30.i686 164/221 Installing : json-c-0.13.1-4.fc30.i686 165/221 Installing : graphite2-1.3.10-7.fc30.i686 166/221 Installing : harfbuzz-2.3.1-1.fc30.i686 167/221 Installing : libkadm5-1.17-6.fc31.i686 168/221 Installing : krb5-devel-1.17-6.fc31.i686 169/221 Installing : libwayland-client-1.16.92-1.fc30.i686 170/221 Installing : mesa-libEGL-19.0.0-1.fc31.i686 171/221 Installing : libglvnd-egl-1:1.1.1-4.fc31.i686 172/221 Installing : libglvnd-gles-1:1.1.1-4.fc31.i686 173/221 Installing : libglvnd-devel-1:1.1.1-4.fc31.i686 174/221 Installing : mesa-libEGL-devel-19.0.0-1.fc31.i686 175/221 Installing : mesa-libGL-devel-19.0.0-1.fc31.i686 176/221 Installing : qrencode-libs-3.4.4-8.fc30.i686 177/221 Installing : libseccomp-2.4.0-0.fc31.i686 178/221 Installing : kmod-libs-26-3.fc31.i686 179/221 Installing : acl-2.2.53-3.fc30.i686 180/221 Installing : device-mapper-1.02.154-4.fc31.i686 181/221 Installing : device-mapper-libs-1.02.154-4.fc31.i686 182/221 Installing : cryptsetup-libs-2.1.0-1.fc30.i686 183/221 Installing : systemd-pam-241-2.gita09c170.fc31.i686 184/221 Installing : dbus-1:1.12.12-5.fc31.i686 185/221 Running scriptlet: systemd-241-2.gita09c170.fc31.i686 186/221 Installing : systemd-241-2.gita09c170.fc31.i686 186/221 Running scriptlet: systemd-241-2.gita09c170.fc31.i686 186/221 Installing : dbus-common-1:1.12.12-5.fc31.noarch 187/221 Running scriptlet: dbus-common-1:1.12.12-5.fc31.noarch 187/221 Running scriptlet: dbus-broker-18-1.fc31.i686 188/221 Installing : dbus-broker-18-1.fc31.i686 188/221 Running scriptlet: dbus-broker-18-1.fc31.i686 188/221 Running scriptlet: unbound-libs-1.8.3-4.fc30.i686 189/221 Installing : unbound-libs-1.8.3-4.fc30.i686 189/221 Running scriptlet: unbound-libs-1.8.3-4.fc30.i686 189/221 Installing : gnutls-dane-3.6.6-1.fc30.i686 190/221 Installing : gnutls-devel-3.6.6-1.fc30.i686 191/221 Installing : cups-devel-1:2.2.10-5.fc31.i686 192/221 Installing : dconf-0.32.0-1.fc30.i686 193/221 Installing : libjpeg-turbo-2.0.2-1.fc31.i686 194/221 Installing : qt5-qtbase-gui-5.12.1-2.fc31.i686 195/221 Installing : qt5-qtdeclarative-5.12.1-1.fc31.i686 196/221 Installing : qt5-qtconfiguration-0.3.1-8.fc30.i686 197/221 Installing : libusbx-1.0.22-3.fc31.i686 198/221 Installing : libftdi-1.3-16.fc31.i686 199/221 Installing : libubsan-9.0.1-0.10.fc30.i686 200/221 Installing : libgomp-9.0.1-0.10.fc30.i686 201/221 Installing : libatomic-9.0.1-0.10.fc30.i686 202/221 Installing : libasan-9.0.1-0.10.fc30.i686 203/221 Installing : isl-0.16.1-8.fc30.i686 204/221 Installing : gcc-9.0.1-0.10.fc30.i686 205/221 Installing : libquadmath-devel-9.0.1-0.10.fc30.i686 206/221 Installing : gcc-c++-9.0.1-0.10.fc30.i686 207/221 Installing : libicu-devel-63.1-2.fc30.i686 208/221 Installing : boost-devel-1.69.0-6.fc30.i686 209/221 Installing : rhash-1.3.8-1.fc30.i686 210/221 Installing : libuv-1:1.26.0-1.fc30.i686 211/221 Installing : jsoncpp-1.8.4-6.fc30.i686 212/221 Installing : boost-python3-devel-1.69.0-6.fc30.i686 213/221 Installing : python3-devel-3.7.2-7.fc30.i686 214/221 Installing : cmake-data-3.14.0-1.fc31.noarch 215/221 Installing : cmake-3.14.0-1.fc31.i686 216/221 Installing : qt5-rpm-macros-5.12.1-1.fc31.noarch 217/221 Installing : qt5-qtbase-devel-5.12.1-2.fc31.i686 218/221 Installing : qt5-qtconfiguration-devel-0.3.1-8.fc30.i686 219/221 Installing : annobin-8.71-1.fc31.i686 220/221 Installing : icestorm-0-0.7.20190311gitfa1c932.fc31.i686 221/221 Running scriptlet: dconf-0.32.0-1.fc30.i686 221/221 Running scriptlet: icestorm-0-0.7.20190311gitfa1c932.fc31.i686 221/221 Running scriptlet: fontconfig-2.13.1-6.fc31.i686 221/221 Running scriptlet: systemd-241-2.gita09c170.fc31.i686 221/221 Verifying : boost-filesystem-1.69.0-6.fc30.i686 1/221 Verifying : boost-iostreams-1.69.0-6.fc30.i686 2/221 Verifying : boost-program-options-1.69.0-6.fc30.i686 3/221 Verifying : boost-python3-devel-1.69.0-6.fc30.i686 4/221 Verifying : boost-thread-1.69.0-6.fc30.i686 5/221 Verifying : cmake-3.14.0-1.fc31.i686 6/221 Verifying : qt5-qtconfiguration-devel-0.3.1-8.fc30.i686 7/221 Verifying : gcc-c++-9.0.1-0.10.fc30.i686 8/221 Verifying : icestorm-0-0.7.20190311gitfa1c932.fc31.i686 9/221 Verifying : libglvnd-devel-1:1.1.1-4.fc31.i686 10/221 Verifying : python3-devel-3.7.2-7.fc30.i686 11/221 Verifying : boost-system-1.69.0-6.fc30.i686 12/221 Verifying : boost-devel-1.69.0-6.fc30.i686 13/221 Verifying : boost-numpy3-1.69.0-6.fc30.i686 14/221 Verifying : boost-python3-1.69.0-6.fc30.i686 15/221 Verifying : cmake-data-3.14.0-1.fc31.noarch 16/221 Verifying : cmake-filesystem-3.14.0-1.fc31.i686 17/221 Verifying : cmake-rpm-macros-3.14.0-1.fc31.noarch 18/221 Verifying : jsoncpp-1.8.4-6.fc30.i686 19/221 Verifying : libuv-1:1.26.0-1.fc30.i686 20/221 Verifying : rhash-1.3.8-1.fc30.i686 21/221 Verifying : qt5-qtbase-devel-5.12.1-2.fc31.i686 22/221 Verifying : qt5-qtconfiguration-0.3.1-8.fc30.i686 23/221 Verifying : gcc-9.0.1-0.10.fc30.i686 24/221 Verifying : libmpc-1.1.0-3.fc30.i686 25/221 Verifying : libstdc++-devel-9.0.1-0.10.fc30.i686 26/221 Verifying : libftdi-1.3-16.fc31.i686 27/221 Verifying : python3-3.7.2-7.fc30.i686 28/221 Verifying : libglvnd-1:1.1.1-4.fc31.i686 29/221 Verifying : libglvnd-core-devel-1:1.1.1-4.fc31.i686 30/221 Verifying : libglvnd-egl-1:1.1.1-4.fc31.i686 31/221 Verifying : libglvnd-gles-1:1.1.1-4.fc31.i686 32/221 Verifying : libglvnd-glx-1:1.1.1-4.fc31.i686 33/221 Verifying : libglvnd-opengl-1:1.1.1-4.fc31.i686 34/221 Verifying : python-rpm-macros-3-42.fc30.noarch 35/221 Verifying : python3-rpm-generators-7-2.fc30.noarch 36/221 Verifying : python3-rpm-macros-3-42.fc30.noarch 37/221 Verifying : python3-setuptools-40.8.0-1.fc30.noarch 38/221 Verifying : boost-1.69.0-6.fc30.i686 39/221 Verifying : boost-atomic-1.69.0-6.fc30.i686 40/221 Verifying : boost-chrono-1.69.0-6.fc30.i686 41/221 Verifying : boost-container-1.69.0-6.fc30.i686 42/221 Verifying : boost-context-1.69.0-6.fc30.i686 43/221 Verifying : boost-contract-1.69.0-6.fc30.i686 44/221 Verifying : boost-coroutine-1.69.0-6.fc30.i686 45/221 Verifying : boost-date-time-1.69.0-6.fc30.i686 46/221 Verifying : boost-fiber-1.69.0-6.fc30.i686 47/221 Verifying : boost-graph-1.69.0-6.fc30.i686 48/221 Verifying : boost-locale-1.69.0-6.fc30.i686 49/221 Verifying : boost-log-1.69.0-6.fc30.i686 50/221 Verifying : boost-math-1.69.0-6.fc30.i686 51/221 Verifying : boost-random-1.69.0-6.fc30.i686 52/221 Verifying : boost-serialization-1.69.0-6.fc30.i686 53/221 Verifying : boost-stacktrace-1.69.0-6.fc30.i686 54/221 Verifying : boost-test-1.69.0-6.fc30.i686 55/221 Verifying : boost-timer-1.69.0-6.fc30.i686 56/221 Verifying : boost-type_erasure-1.69.0-6.fc30.i686 57/221 Verifying : boost-wave-1.69.0-6.fc30.i686 58/221 Verifying : libicu-devel-63.1-2.fc30.i686 59/221 Verifying : libquadmath-devel-9.0.1-0.10.fc30.i686 60/221 Verifying : python3-numpy-1:1.16.2-1.fc31.i686 61/221 Verifying : emacs-filesystem-1:26.1-8.fc30.noarch 62/221 Verifying : cups-devel-1:2.2.10-5.fc31.i686 63/221 Verifying : mesa-libEGL-devel-19.0.0-1.fc31.i686 64/221 Verifying : mesa-libGL-devel-19.0.0-1.fc31.i686 65/221 Verifying : perl-File-Path-2.16-2.fc30.noarch 66/221 Verifying : perl-Getopt-Long-1:2.50-418.fc30.noarch 67/221 Verifying : perl-IO-1.39-434.fc31.i686 68/221 Verifying : perl-PathTools-3.75-2.fc30.i686 69/221 Verifying : perl-interpreter-4:5.28.1-434.fc31.i686 70/221 Verifying : perl-libs-4:5.28.1-434.fc31.i686 71/221 Verifying : qt5-qtbase-5.12.1-2.fc31.i686 72/221 Verifying : qt5-qtbase-gui-5.12.1-2.fc31.i686 73/221 Verifying : qt5-rpm-macros-5.12.1-1.fc31.noarch 74/221 Verifying : dconf-0.32.0-1.fc30.i686 75/221 Verifying : qt5-qtdeclarative-5.12.1-1.fc31.i686 76/221 Verifying : cpp-9.0.1-0.10.fc30.i686 77/221 Verifying : glibc-devel-2.29.9000-6.fc31.i686 78/221 Verifying : isl-0.16.1-8.fc30.i686 79/221 Verifying : libasan-9.0.1-0.10.fc30.i686 80/221 Verifying : libatomic-9.0.1-0.10.fc30.i686 81/221 Verifying : libgomp-9.0.1-0.10.fc30.i686 82/221 Verifying : libubsan-9.0.1-0.10.fc30.i686 83/221 Verifying : libusbx-1.0.22-3.fc31.i686 84/221 Verifying : systemd-241-2.gita09c170.fc31.i686 85/221 Verifying : mesa-libEGL-19.0.0-1.fc31.i686 86/221 Verifying : libX11-1.6.7-2.fc31.i686 87/221 Verifying : libXext-1.3.3-11.fc30.i686 88/221 Verifying : mesa-libGL-19.0.0-1.fc31.i686 89/221 Verifying : libquadmath-9.0.1-0.10.fc30.i686 90/221 Verifying : openblas-threads-0.3.5-5.fc31.i686 91/221 Verifying : cups-libs-1:2.2.10-5.fc31.i686 92/221 Verifying : gnutls-devel-3.6.6-1.fc30.i686 93/221 Verifying : krb5-devel-1.17-6.fc31.i686 94/221 Verifying : zlib-devel-1.2.11-15.fc30.i686 95/221 Verifying : libX11-devel-1.6.7-2.fc31.i686 96/221 Verifying : libXdamage-devel-1.1.4-16.fc30.i686 97/221 Verifying : libXext-devel-1.3.3-11.fc30.i686 98/221 Verifying : libXfixes-devel-5.0.3-9.fc30.i686 99/221 Verifying : libXxf86vm-devel-1.1.4-11.fc30.i686 100/221 Verifying : libdrm-devel-2.4.97-2.fc30.i686 101/221 Verifying : libxcb-devel-1.13.1-2.fc30.i686 102/221 Verifying : mesa-khr-devel-19.0.0-1.fc31.i686 103/221 Verifying : mesa-libglapi-19.0.0-1.fc31.i686 104/221 Verifying : perl-Carp-1.50-418.fc30.noarch 105/221 Verifying : perl-Exporter-5.73-419.fc30.noarch 106/221 Verifying : perl-Pod-Usage-4:1.69-418.fc30.noarch 107/221 Verifying : perl-Text-ParseWords-3.30-418.fc30.noarch 108/221 Verifying : perl-constant-1.33-419.fc30.noarch 109/221 Verifying : perl-Errno-1.29-434.fc31.i686 110/221 Verifying : perl-Socket-4:2.029-1.fc31.i686 111/221 Verifying : perl-Scalar-List-Utils-3:1.50-418.fc30.i686 112/221 Verifying : perl-Text-Tabs+Wrap-2013.0523-418.fc30.noarch 113/221 Verifying : perl-Unicode-Normalize-1.26-418.fc30.i686 114/221 Verifying : perl-macros-4:5.28.1-434.fc31.i686 115/221 Verifying : perl-parent-1:0.237-3.fc30.noarch 116/221 Verifying : perl-threads-1:2.22-418.fc30.i686 117/221 Verifying : perl-threads-shared-1.59-2.fc30.i686 118/221 Verifying : dbus-libs-1:1.12.12-5.fc31.i686 119/221 Verifying : pcre2-utf16-10.33-0.3.RC1.fc31.i686 120/221 Verifying : qt-settings-30.0-1.fc31.noarch 121/221 Verifying : qt5-qtbase-common-5.12.1-2.fc31.noarch 122/221 Verifying : fontconfig-2.13.1-6.fc31.i686 123/221 Verifying : freetype-2.9.1-7.fc30.i686 124/221 Verifying : glx-utils-8.4.0-3.20181118git1830dcb.fc30.i686 125/221 Verifying : harfbuzz-2.3.1-1.fc30.i686 126/221 Verifying : libICE-1.0.9-15.fc30.i686 127/221 Verifying : libSM-1.2.3-2.fc30.i686 128/221 Verifying : libX11-xcb-1.6.7-2.fc31.i686 129/221 Verifying : libXrender-0.9.10-9.fc30.i686 130/221 Verifying : libdrm-2.4.97-2.fc30.i686 131/221 Verifying : libjpeg-turbo-2.0.2-1.fc31.i686 132/221 Verifying : libpng-2:1.6.36-1.fc31.i686 133/221 Verifying : libxcb-1.13.1-2.fc30.i686 134/221 Verifying : libxkbcommon-0.8.3-1.fc30.i686 135/221 Verifying : libxkbcommon-x11-0.8.3-1.fc30.i686 136/221 Verifying : mesa-libgbm-19.0.0-1.fc31.i686 137/221 Verifying : xcb-util-image-0.4.0-12.fc30.i686 138/221 Verifying : xcb-util-keysyms-0.4.0-10.fc30.i686 139/221 Verifying : xcb-util-renderutil-0.3.9-13.fc30.i686 140/221 Verifying : xcb-util-wm-0.4.1-15.fc30.i686 141/221 Verifying : dbus-1:1.12.12-5.fc31.i686 142/221 Verifying : glibc-headers-2.29.9000-6.fc31.i686 143/221 Verifying : libxcrypt-devel-4.4.4-2.fc31.i686 144/221 Verifying : acl-2.2.53-3.fc30.i686 145/221 Verifying : cryptsetup-libs-2.1.0-1.fc30.i686 146/221 Verifying : gnutls-3.6.6-1.fc30.i686 147/221 Verifying : iptables-libs-1.8.0-5.fc30.i686 148/221 Verifying : kmod-libs-26-3.fc31.i686 149/221 Verifying : libseccomp-2.4.0-0.fc31.i686 150/221 Verifying : qrencode-libs-3.4.4-8.fc30.i686 151/221 Verifying : systemd-pam-241-2.gita09c170.fc31.i686 152/221 Verifying : systemd-rpm-macros-241-2.gita09c170.fc31.noarch 153/221 Verifying : libwayland-client-1.16.92-1.fc30.i686 154/221 Verifying : libwayland-server-1.16.92-1.fc30.i686 155/221 Verifying : libxshmfence-1.3-4.fc30.i686 156/221 Verifying : libX11-common-1.6.7-2.fc31.noarch 157/221 Verifying : libXdamage-1.1.4-16.fc30.i686 158/221 Verifying : libXfixes-5.0.3-9.fc30.i686 159/221 Verifying : libXxf86vm-1.1.4-11.fc30.i686 160/221 Verifying : libgfortran-9.0.1-0.10.fc30.i686 161/221 Verifying : openblas-0.3.5-5.fc31.i686 162/221 Verifying : avahi-libs-0.7-18.fc30.i686 163/221 Verifying : gnutls-c++-3.6.6-1.fc30.i686 164/221 Verifying : gnutls-dane-3.6.6-1.fc30.i686 165/221 Verifying : libtasn1-devel-4.13-7.fc30.i686 166/221 Verifying : nettle-devel-3.4.1rc1-2.fc30.i686 167/221 Verifying : p11-kit-devel-0.23.15-3.fc30.i686 168/221 Verifying : keyutils-libs-devel-1.6-2.fc30.i686 169/221 Verifying : libcom_err-devel-1.45.0-1.fc31.i686 170/221 Verifying : libkadm5-1.17-6.fc31.i686 171/221 Verifying : libselinux-devel-2.9-0.rc2.1.fc31.i686 172/221 Verifying : libverto-devel-0.3.0-7.fc30.i686 173/221 Verifying : xorg-x11-proto-devel-2018.4-3.fc30.noarch 174/221 Verifying : kernel-headers-5.1.0-0.rc0.git9.1.fc31.i686 175/221 Verifying : libXau-devel-1.0.9-1.fc30.i686 176/221 Verifying : perl-Pod-Perldoc-3.28.01-419.fc30.noarch 177/221 Verifying : perl-podlators-1:4.11-4.fc30.noarch 178/221 Verifying : fontpackages-filesystem-1.44-24.fc30.noarch 179/221 Verifying : graphite2-1.3.10-7.fc30.i686 180/221 Verifying : libpciaccess-0.14-3.fc30.i686 181/221 Verifying : libXau-1.0.9-1.fc30.i686 182/221 Verifying : xkeyboard-config-2.24-5.fc30.noarch 183/221 Verifying : xcb-util-0.4.0-12.fc30.i686 184/221 Verifying : dbus-broker-18-1.fc31.i686 185/221 Verifying : device-mapper-libs-1.02.154-4.fc31.i686 186/221 Verifying : json-c-0.13.1-4.fc30.i686 187/221 Verifying : libargon2-20161029-7.fc30.i686 188/221 Verifying : nettle-3.4.1rc1-2.fc30.i686 189/221 Verifying : libpcap-14:1.9.0-3.fc30.i686 190/221 Verifying : unbound-libs-1.8.3-4.fc30.i686 191/221 Verifying : libtasn1-tools-4.13-7.fc30.i686 192/221 Verifying : gmp-devel-1:6.1.2-10.fc31.i686 193/221 Verifying : libsepol-devel-2.9-0.rc2.1.fc31.i686 194/221 Verifying : pcre2-devel-10.33-0.3.RC1.fc31.i686 195/221 Verifying : groff-base-1.22.3-19.fc30.i686 196/221 Verifying : perl-Encode-4:3.01-10.fc31.i686 197/221 Verifying : perl-File-Temp-1:0.230.900-2.fc30.noarch 198/221 Verifying : perl-HTTP-Tiny-0.076-2.fc30.noarch 199/221 Verifying : perl-Pod-Simple-1:3.35-418.fc30.noarch 200/221 Verifying : perl-Term-ANSIColor-4.06-419.fc30.noarch 201/221 Verifying : perl-Term-Cap-1.17-418.fc30.noarch 202/221 Verifying : hwdata-0.321-1.fc31.noarch 203/221 Verifying : dbus-common-1:1.12.12-5.fc31.noarch 204/221 Verifying : device-mapper-1.02.154-4.fc31.i686 205/221 Verifying : libevent-2.1.8-5.fc30.i686 206/221 Verifying : gmp-c++-1:6.1.2-10.fc31.i686 207/221 Verifying : pcre2-utf32-10.33-0.3.RC1.fc31.i686 208/221 Verifying : perl-MIME-Base64-3.15-418.fc30.i686 209/221 Verifying : perl-Storable-1:3.11-7.fc30.i686 210/221 Verifying : perl-Time-Local-2:1.280-4.fc30.noarch 211/221 Verifying : perl-Pod-Escapes-1:1.07-418.fc30.noarch 212/221 Verifying : annobin-8.71-1.fc31.i686 213/221 Verifying : libinput-1.12.901-2.fc31.i686 214/221 Verifying : libevdev-1.6.0-2.fc30.i686 215/221 Verifying : libwacom-0.32-3.fc30.i686 216/221 Verifying : mtdev-1.1.5-14.fc30.i686 217/221 Verifying : libgudev-232-5.fc30.i686 218/221 Verifying : libwacom-data-0.32-3.fc30.noarch 219/221 Verifying : dejavu-sans-fonts-2.37-1.fc30.noarch 220/221 Verifying : dejavu-fonts-common-2.37-1.fc30.noarch 221/221 Installed: boost-filesystem.i686 1.69.0-6.fc30 boost-iostreams.i686 1.69.0-6.fc30 boost-program-options.i686 1.69.0-6.fc30 boost-python3-devel.i686 1.69.0-6.fc30 boost-thread.i686 1.69.0-6.fc30 cmake.i686 3.14.0-1.fc31 gcc-c++.i686 9.0.1-0.10.fc30 icestorm.i686 0-0.7.20190311gitfa1c932.fc31 libglvnd-devel.i686 1:1.1.1-4.fc31 python3-devel.i686 3.7.2-7.fc30 qt5-qtconfiguration-devel.i686 0.3.1-8.fc30 acl.i686 2.2.53-3.fc30 annobin.i686 8.71-1.fc31 avahi-libs.i686 0.7-18.fc30 boost.i686 1.69.0-6.fc30 boost-atomic.i686 1.69.0-6.fc30 boost-chrono.i686 1.69.0-6.fc30 boost-container.i686 1.69.0-6.fc30 boost-context.i686 1.69.0-6.fc30 boost-contract.i686 1.69.0-6.fc30 boost-coroutine.i686 1.69.0-6.fc30 boost-date-time.i686 1.69.0-6.fc30 boost-devel.i686 1.69.0-6.fc30 boost-fiber.i686 1.69.0-6.fc30 boost-graph.i686 1.69.0-6.fc30 boost-locale.i686 1.69.0-6.fc30 boost-log.i686 1.69.0-6.fc30 boost-math.i686 1.69.0-6.fc30 boost-numpy3.i686 1.69.0-6.fc30 boost-python3.i686 1.69.0-6.fc30 boost-random.i686 1.69.0-6.fc30 boost-serialization.i686 1.69.0-6.fc30 boost-stacktrace.i686 1.69.0-6.fc30 boost-system.i686 1.69.0-6.fc30 boost-test.i686 1.69.0-6.fc30 boost-timer.i686 1.69.0-6.fc30 boost-type_erasure.i686 1.69.0-6.fc30 boost-wave.i686 1.69.0-6.fc30 cmake-data.noarch 3.14.0-1.fc31 cmake-filesystem.i686 3.14.0-1.fc31 cmake-rpm-macros.noarch 3.14.0-1.fc31 cpp.i686 9.0.1-0.10.fc30 cryptsetup-libs.i686 2.1.0-1.fc30 cups-devel.i686 1:2.2.10-5.fc31 cups-libs.i686 1:2.2.10-5.fc31 dbus.i686 1:1.12.12-5.fc31 dbus-broker.i686 18-1.fc31 dbus-common.noarch 1:1.12.12-5.fc31 dbus-libs.i686 1:1.12.12-5.fc31 dconf.i686 0.32.0-1.fc30 dejavu-fonts-common.noarch 2.37-1.fc30 dejavu-sans-fonts.noarch 2.37-1.fc30 device-mapper.i686 1.02.154-4.fc31 device-mapper-libs.i686 1.02.154-4.fc31 emacs-filesystem.noarch 1:26.1-8.fc30 fontconfig.i686 2.13.1-6.fc31 fontpackages-filesystem.noarch 1.44-24.fc30 freetype.i686 2.9.1-7.fc30 gcc.i686 9.0.1-0.10.fc30 glibc-devel.i686 2.29.9000-6.fc31 glibc-headers.i686 2.29.9000-6.fc31 glx-utils.i686 8.4.0-3.20181118git1830dcb.fc30 gmp-c++.i686 1:6.1.2-10.fc31 gmp-devel.i686 1:6.1.2-10.fc31 gnutls.i686 3.6.6-1.fc30 gnutls-c++.i686 3.6.6-1.fc30 gnutls-dane.i686 3.6.6-1.fc30 gnutls-devel.i686 3.6.6-1.fc30 graphite2.i686 1.3.10-7.fc30 groff-base.i686 1.22.3-19.fc30 harfbuzz.i686 2.3.1-1.fc30 hwdata.noarch 0.321-1.fc31 iptables-libs.i686 1.8.0-5.fc30 isl.i686 0.16.1-8.fc30 json-c.i686 0.13.1-4.fc30 jsoncpp.i686 1.8.4-6.fc30 kernel-headers.i686 5.1.0-0.rc0.git9.1.fc31 keyutils-libs-devel.i686 1.6-2.fc30 kmod-libs.i686 26-3.fc31 krb5-devel.i686 1.17-6.fc31 libICE.i686 1.0.9-15.fc30 libSM.i686 1.2.3-2.fc30 libX11.i686 1.6.7-2.fc31 libX11-common.noarch 1.6.7-2.fc31 libX11-devel.i686 1.6.7-2.fc31 libX11-xcb.i686 1.6.7-2.fc31 libXau.i686 1.0.9-1.fc30 libXau-devel.i686 1.0.9-1.fc30 libXdamage.i686 1.1.4-16.fc30 libXdamage-devel.i686 1.1.4-16.fc30 libXext.i686 1.3.3-11.fc30 libXext-devel.i686 1.3.3-11.fc30 libXfixes.i686 5.0.3-9.fc30 libXfixes-devel.i686 5.0.3-9.fc30 libXrender.i686 0.9.10-9.fc30 libXxf86vm.i686 1.1.4-11.fc30 libXxf86vm-devel.i686 1.1.4-11.fc30 libargon2.i686 20161029-7.fc30 libasan.i686 9.0.1-0.10.fc30 libatomic.i686 9.0.1-0.10.fc30 libcom_err-devel.i686 1.45.0-1.fc31 libdrm.i686 2.4.97-2.fc30 libdrm-devel.i686 2.4.97-2.fc30 libevdev.i686 1.6.0-2.fc30 libevent.i686 2.1.8-5.fc30 libftdi.i686 1.3-16.fc31 libgfortran.i686 9.0.1-0.10.fc30 libglvnd.i686 1:1.1.1-4.fc31 libglvnd-core-devel.i686 1:1.1.1-4.fc31 libglvnd-egl.i686 1:1.1.1-4.fc31 libglvnd-gles.i686 1:1.1.1-4.fc31 libglvnd-glx.i686 1:1.1.1-4.fc31 libglvnd-opengl.i686 1:1.1.1-4.fc31 libgomp.i686 9.0.1-0.10.fc30 libgudev.i686 232-5.fc30 libicu-devel.i686 63.1-2.fc30 libinput.i686 1.12.901-2.fc31 libjpeg-turbo.i686 2.0.2-1.fc31 libkadm5.i686 1.17-6.fc31 libmpc.i686 1.1.0-3.fc30 libpcap.i686 14:1.9.0-3.fc30 libpciaccess.i686 0.14-3.fc30 libpng.i686 2:1.6.36-1.fc31 libquadmath.i686 9.0.1-0.10.fc30 libquadmath-devel.i686 9.0.1-0.10.fc30 libseccomp.i686 2.4.0-0.fc31 libselinux-devel.i686 2.9-0.rc2.1.fc31 libsepol-devel.i686 2.9-0.rc2.1.fc31 libstdc++-devel.i686 9.0.1-0.10.fc30 libtasn1-devel.i686 4.13-7.fc30 libtasn1-tools.i686 4.13-7.fc30 libubsan.i686 9.0.1-0.10.fc30 libusbx.i686 1.0.22-3.fc31 libuv.i686 1:1.26.0-1.fc30 libverto-devel.i686 0.3.0-7.fc30 libwacom.i686 0.32-3.fc30 libwacom-data.noarch 0.32-3.fc30 libwayland-client.i686 1.16.92-1.fc30 libwayland-server.i686 1.16.92-1.fc30 libxcb.i686 1.13.1-2.fc30 libxcb-devel.i686 1.13.1-2.fc30 libxcrypt-devel.i686 4.4.4-2.fc31 libxkbcommon.i686 0.8.3-1.fc30 libxkbcommon-x11.i686 0.8.3-1.fc30 libxshmfence.i686 1.3-4.fc30 mesa-khr-devel.i686 19.0.0-1.fc31 mesa-libEGL.i686 19.0.0-1.fc31 mesa-libEGL-devel.i686 19.0.0-1.fc31 mesa-libGL.i686 19.0.0-1.fc31 mesa-libGL-devel.i686 19.0.0-1.fc31 mesa-libgbm.i686 19.0.0-1.fc31 mesa-libglapi.i686 19.0.0-1.fc31 mtdev.i686 1.1.5-14.fc30 nettle.i686 3.4.1rc1-2.fc30 nettle-devel.i686 3.4.1rc1-2.fc30 openblas.i686 0.3.5-5.fc31 openblas-threads.i686 0.3.5-5.fc31 p11-kit-devel.i686 0.23.15-3.fc30 pcre2-devel.i686 10.33-0.3.RC1.fc31 pcre2-utf16.i686 10.33-0.3.RC1.fc31 pcre2-utf32.i686 10.33-0.3.RC1.fc31 perl-Carp.noarch 1.50-418.fc30 perl-Encode.i686 4:3.01-10.fc31 perl-Errno.i686 1.29-434.fc31 perl-Exporter.noarch 5.73-419.fc30 perl-File-Path.noarch 2.16-2.fc30 perl-File-Temp.noarch 1:0.230.900-2.fc30 perl-Getopt-Long.noarch 1:2.50-418.fc30 perl-HTTP-Tiny.noarch 0.076-2.fc30 perl-IO.i686 1.39-434.fc31 perl-MIME-Base64.i686 3.15-418.fc30 perl-PathTools.i686 3.75-2.fc30 perl-Pod-Escapes.noarch 1:1.07-418.fc30 perl-Pod-Perldoc.noarch 3.28.01-419.fc30 perl-Pod-Simple.noarch 1:3.35-418.fc30 perl-Pod-Usage.noarch 4:1.69-418.fc30 perl-Scalar-List-Utils.i686 3:1.50-418.fc30 perl-Socket.i686 4:2.029-1.fc31 perl-Storable.i686 1:3.11-7.fc30 perl-Term-ANSIColor.noarch 4.06-419.fc30 perl-Term-Cap.noarch 1.17-418.fc30 perl-Text-ParseWords.noarch 3.30-418.fc30 perl-Text-Tabs+Wrap.noarch 2013.0523-418.fc30 perl-Time-Local.noarch 2:1.280-4.fc30 perl-Unicode-Normalize.i686 1.26-418.fc30 perl-constant.noarch 1.33-419.fc30 perl-interpreter.i686 4:5.28.1-434.fc31 perl-libs.i686 4:5.28.1-434.fc31 perl-macros.i686 4:5.28.1-434.fc31 perl-parent.noarch 1:0.237-3.fc30 perl-podlators.noarch 1:4.11-4.fc30 perl-threads.i686 1:2.22-418.fc30 perl-threads-shared.i686 1.59-2.fc30 python-rpm-macros.noarch 3-42.fc30 python3.i686 3.7.2-7.fc30 python3-numpy.i686 1:1.16.2-1.fc31 python3-rpm-generators.noarch 7-2.fc30 python3-rpm-macros.noarch 3-42.fc30 python3-setuptools.noarch 40.8.0-1.fc30 qrencode-libs.i686 3.4.4-8.fc30 qt-settings.noarch 30.0-1.fc31 qt5-qtbase.i686 5.12.1-2.fc31 qt5-qtbase-common.noarch 5.12.1-2.fc31 qt5-qtbase-devel.i686 5.12.1-2.fc31 qt5-qtbase-gui.i686 5.12.1-2.fc31 qt5-qtconfiguration.i686 0.3.1-8.fc30 qt5-qtdeclarative.i686 5.12.1-1.fc31 qt5-rpm-macros.noarch 5.12.1-1.fc31 rhash.i686 1.3.8-1.fc30 systemd.i686 241-2.gita09c170.fc31 systemd-pam.i686 241-2.gita09c170.fc31 systemd-rpm-macros.noarch 241-2.gita09c170.fc31 unbound-libs.i686 1.8.3-4.fc30 xcb-util.i686 0.4.0-12.fc30 xcb-util-image.i686 0.4.0-12.fc30 xcb-util-keysyms.i686 0.4.0-10.fc30 xcb-util-renderutil.i686 0.3.9-13.fc30 xcb-util-wm.i686 0.4.1-15.fc30 xkeyboard-config.noarch 2.24-5.fc30 xorg-x11-proto-devel.noarch 2018.4-3.fc30 zlib-devel.i686 1.2.11-15.fc30 Complete! Finish: build setup for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Start: rpmbuild nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Building target platforms: i686 Building for target i686 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.zwUbsO + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/gzip -dc /builddir/build/SOURCES/nextpnr-cadbf42.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.PNTFKN + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DBUILD_SHARED_LIBS:BOOL=ON . '-DARCH=generic;ice40' -DICEBOX_ROOT=/usr/share/icestorm -- The C compiler identification is GNU 9.0.1 -- The CXX compiler identification is GNU 9.0.1 -- Check for working C compiler: /usr/bin/cc -- Check for working C compiler: /usr/bin/cc -- works -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Detecting C compile features -- Detecting C compile features - done -- Check for working CXX compiler: /usr/bin/c++ -- Check for working CXX compiler: /usr/bin/c++ -- works -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found PythonInterp: /usr/bin/python3 (found suitable version "3.7.2", minimum required is "3.5") -- Found PythonLibs: /usr/lib/libpython3.7m.so (found suitable version "3.7.2", minimum required is "3.5") -- Looking for pthread.h -- Looking for pthread.h - found -- Looking for pthread_create -- Looking for pthread_create - not found -- Looking for pthread_create in pthreads -- Looking for pthread_create in pthreads - not found -- Looking for pthread_create in pthread -- Looking for pthread_create in pthread - found -- Found Threads: TRUE -- Boost version: 1.69.0 -- Found the following Boost libraries: -- filesystem -- thread -- program_options -- iostreams -- chrono -- date_time -- atomic -- regex -- Found OpenGL: /usr/lib/libOpenGL.so CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:1799 (message): No header defined for python-py372; skipping header check Call Stack (most recent call first): CMakeLists.txt:133 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:1799 (message): No header defined for python-py37; skipping header check Call Stack (most recent call first): CMakeLists.txt:140 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:1799 (message): No header defined for python-py3; skipping header check Call Stack (most recent call first): CMakeLists.txt:140 (find_package) -- Configuring architecture : generic -- Configuring architecture : ice40 -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE INCLUDE_INSTALL_DIR LIB_INSTALL_DIR SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + make -j2 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db -B/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/progress.marks make -f CMakeFiles/Makefile2 all make[1]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/depend make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake --color= Scanning dependencies of target gui_generic_autogen make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build Scanning dependencies of target bbasm make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 1%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.cmake Release [ 1%] Building CXX object CMakeFiles/bbasm.dir/bba/main.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -std=gnu++11 -o CMakeFiles/bbasm.dir/bba/main.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/bba/main.cc make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 1%] Built target gui_generic_autogen make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= Scanning dependencies of target QtPropertyBrowser_autogen make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.cmake "" make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Built target QtPropertyBrowser_autogen make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake --color= Scanning dependencies of target gui_ice40_autogen make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 3%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.cmake Release make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 3%] Built target gui_ice40_autogen make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 3%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/lib/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic/nextpnr.qrc'. [ 4%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/lib/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/base.qrc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake --color= Scanning dependencies of target gui_generic make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp [ 6%] Linking CXX executable bbasm /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -rdynamic CMakeFiles/bbasm.dir/bba/main.cc.o -o bbasm /usr/lib/libboost_program_options.so make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 6%] Built target bbasm make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 6%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/lib/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake --color= Scanning dependencies of target QtPropertyBrowser make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc [ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc: In member function 'virtual bool nextpnr_generic::Application::notify(QObject*, QEvent*)': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc:56:32: warning: catching polymorphic type 'class nextpnr_generic::assertion_failure' by value [-Wcatch-value=] 56 | } catch (assertion_failure ex) { | ^~ [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/basewindow.cc [ 10%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/designwidget.cc [ 11%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 12%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/fpgaviewwidget.cc [ 13%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 14%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/line_editor.cc [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/lineshader.cc [ 16%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pyconsole.cc [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 18%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pythontab.cc [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 20%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/treemodel.cc [ 20%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 21%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 /usr/bin/ar qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o /usr/bin/ranlib libQtPropertyBrowser.a make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 21%] Built target QtPropertyBrowser make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 22%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/lib/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40/nextpnr.qrc [ 22%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/lib/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/base.qrc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake --color= Scanning dependencies of target gui_ice40 make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc: In member function 'virtual bool nextpnr_ice40::Application::notify(QObject*, QEvent*)': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc:56:32: warning: catching polymorphic type 'class nextpnr_ice40::assertion_failure' by value [-Wcatch-value=] 56 | } catch (assertion_failure ex) { | ^~ [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/basewindow.cc [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/designwidget.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/fpgaviewwidget.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/line_editor.cc [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/lineshader.cc [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pyconsole.cc [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pythontab.cc [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/treemodel.cc [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/worker.cc [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40/mainwindow.cc [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ColumnFormatter.cpp [ 32%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.cpp [ 33%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 33%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 34%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 35%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseMessage.cpp [ 35%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyredirector.cc [ 36%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyinterpreter.cc [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp:36: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 38%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp:31: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 38%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp:849: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 39%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_demo.cpp [ 40%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/ImGuiRenderer.cpp [ 40%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/QtImGui.cpp [ 41%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_base.cpp [ 42%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_nextpnr.cpp [ 42%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 /usr/bin/ar qc libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o /usr/bin/ranlib libgui_ice40.a make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 42%] Built target gui_ice40 make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 43%] Generating ice40/chipdbs/chipdb-8k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba [ 43%] Generating ice40/chipdbs/chipdb-384.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba [ 44%] Generating ice40/chipdbs/chipdb-1k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba [ 45%] Generating ice40/chipdbs/chipdb-5k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba [ 45%] Generating ice40/chipdbs/chipdb-u4k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba [ 46%] Generating ice40/chipdbs/chipdb-8k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc [ 47%] Generating ice40/chipdbs/chipdb-384.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc [ 48%] Generating ice40/chipdbs/chipdb-1k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc [ 48%] Generating ice40/chipdbs/chipdb-5k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc [ 49%] Generating ice40/chipdbs/chipdb-u4k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/ice40_chipdb.dir/DependInfo.cmake --color= Scanning dependencies of target ice40_chipdb make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 50%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-384.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc [ 51%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-1k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc [ 51%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-5k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc [ 52%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-u4k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc [ 53%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-8k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 53%] Built target ice40_chipdb make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= [ 53%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/worker.cc Scanning dependencies of target nextpnr-ice40 make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 53%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/archcheck.cc [ 54%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/command.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/command.cc [ 55%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic/mainwindow.cc [ 56%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ColumnFormatter.cpp [ 56%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.cpp [ 57%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 58%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 58%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 59%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseMessage.cpp [ 60%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyredirector.cc [ 61%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyinterpreter.cc [ 61%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp:36: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 62%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/design_utils.cc [ 63%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp:31: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/handle_error.cc [ 65%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp:849: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/log.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/log.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/nextpnr.cc [ 66%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_demo.cpp [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/place_common.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/place_common.cc [ 68%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/ImGuiRenderer.cpp [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/placer1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/placer1.cc [ 69%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/QtImGui.cpp [ 69%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_base.cpp [ 70%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_nextpnr.cpp [ 71%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 /usr/bin/ar qc libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o /usr/bin/ranlib libgui_generic.a make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 71%] Built target gui_generic make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake --color= Scanning dependencies of target nextpnr-generic make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 71%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/archcheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/archcheck.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/project.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/project.cc [ 73%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/command.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/command.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/command.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/pybindings.cc [ 75%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/design_utils.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/design_utils.cc [ 76%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/handle_error.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/handle_error.cc [ 76%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/log.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/log.cc [ 77%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/nextpnr.cc [ 78%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place_common.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/place_common.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/place_common.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/router1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/router1.cc [ 79%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/placer1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/placer1.cc [ 80%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/project.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/project.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/rulecheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/rulecheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/rulecheck.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/timing.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing.cc [ 82%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/pybindings.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing_opt.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonparse.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/json/jsonparse.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json/jsonparse.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/arch.cc [ 84%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/router1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/router1.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/arch_place.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/arch_pybindings.cc [ 86%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/rulecheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/rulecheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/rulecheck.cc [ 87%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/timing.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/timing.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing.cc [ 88%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing_opt.cc [ 88%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonparse.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/json/jsonparse.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json/jsonparse.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/bitstream.cc [ 90%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/arch.cc [ 91%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/arch_pybindings.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/cells.cc [ 92%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/main.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chains.cc [ 94%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/project.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/project.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/delay.cc [ 95%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/c++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -rdynamic CMakeFiles/nextpnr-generic.dir/common/archcheck.cc.o CMakeFiles/nextpnr-generic.dir/common/command.cc.o CMakeFiles/nextpnr-generic.dir/common/design_utils.cc.o CMakeFiles/nextpnr-generic.dir/common/handle_error.cc.o CMakeFiles/nextpnr-generic.dir/common/log.cc.o CMakeFiles/nextpnr-generic.dir/common/nextpnr.cc.o CMakeFiles/nextpnr-generic.dir/common/place_common.cc.o CMakeFiles/nextpnr-generic.dir/common/placer1.cc.o CMakeFiles/nextpnr-generic.dir/common/project.cc.o CMakeFiles/nextpnr-generic.dir/common/pybindings.cc.o CMakeFiles/nextpnr-generic.dir/common/router1.cc.o CMakeFiles/nextpnr-generic.dir/common/rulecheck.cc.o CMakeFiles/nextpnr-generic.dir/common/timing.cc.o CMakeFiles/nextpnr-generic.dir/common/timing_opt.cc.o CMakeFiles/nextpnr-generic.dir/json/jsonparse.cc.o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o CMakeFiles/nextpnr-generic.dir/generic/project.cc.o -o nextpnr-generic /usr/lib/libboost_python37.so /usr/lib/libboost_filesystem.so -lboost_thread -lpthread /usr/lib/libboost_program_options.so /usr/lib/libboost_iostreams.so /usr/lib/libboost_chrono.so /usr/lib/libboost_date_time.so /usr/lib/libboost_atomic.so /usr/lib/libboost_regex.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib/libQt5OpenGL.so.5.12.1 /usr/lib/libGL.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/libpython3.7m.so /usr/lib/libQt5Widgets.so.5.12.1 /usr/lib/libQt5Gui.so.5.12.1 /usr/lib/libQt5Core.so.5.12.1 [ 96%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.cc make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 96%] Built target nextpnr-generic [ 97%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/main.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/pack.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/pcf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/project.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/project.cc [100%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/c++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -rdynamic CMakeFiles/nextpnr-ice40.dir/common/archcheck.cc.o CMakeFiles/nextpnr-ice40.dir/common/command.cc.o CMakeFiles/nextpnr-ice40.dir/common/design_utils.cc.o CMakeFiles/nextpnr-ice40.dir/common/handle_error.cc.o CMakeFiles/nextpnr-ice40.dir/common/log.cc.o CMakeFiles/nextpnr-ice40.dir/common/nextpnr.cc.o CMakeFiles/nextpnr-ice40.dir/common/place_common.cc.o CMakeFiles/nextpnr-ice40.dir/common/placer1.cc.o CMakeFiles/nextpnr-ice40.dir/common/project.cc.o CMakeFiles/nextpnr-ice40.dir/common/pybindings.cc.o CMakeFiles/nextpnr-ice40.dir/common/router1.cc.o CMakeFiles/nextpnr-ice40.dir/common/rulecheck.cc.o CMakeFiles/nextpnr-ice40.dir/common/timing.cc.o CMakeFiles/nextpnr-ice40.dir/common/timing_opt.cc.o CMakeFiles/nextpnr-ice40.dir/json/jsonparse.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/project.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-384.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-1k.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-5k.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-u4k.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-8k.cc.o -o nextpnr-ice40 /usr/lib/libboost_python37.so /usr/lib/libboost_filesystem.so -lboost_thread -lpthread /usr/lib/libboost_program_options.so /usr/lib/libboost_iostreams.so /usr/lib/libboost_chrono.so /usr/lib/libboost_date_time.so /usr/lib/libboost_atomic.so /usr/lib/libboost_regex.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib/libQt5OpenGL.so.5.12.1 /usr/lib/libGL.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib/libpython3.7m.so /usr/lib/libQt5Widgets.so.5.12.1 /usr/lib/libQt5Gui.so.5.12.1 /usr/lib/libQt5Core.so.5.12.1 make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [100%] Built target nextpnr-ice40 make[1]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles 0 + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.vQ3SWc + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 ++ dirname /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 'INSTALL=/usr/bin/install -p' /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db -B/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all make[1]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/bbasm.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 1%] Built target bbasm /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.cmake Release make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Built target gui_generic_autogen /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 24%] Built target gui_generic /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 25%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.cmake "" make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 25%] Built target QtPropertyBrowser_autogen /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 33%] Built target QtPropertyBrowser /usr/bin/make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/nextpnr-generic.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 47%] Built target nextpnr-generic /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 48%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.cmake Release make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 48%] Built target gui_ice40_autogen /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 69%] Built target gui_ice40 /usr/bin/make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/ice40_chipdb.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/ice40_chipdb.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 80%] Built target ice40_chipdb /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/nextpnr-ice40.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [100%] Built target nextpnr-ice40 make[1]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles 0 /usr/bin/make -f CMakeFiles/Makefile2 preinstall make[1]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[1]: Nothing to be done for 'preinstall'. make[1]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "Release" -- Installing: /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/bin/nextpnr-ice40 + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 0-0.1.20190319gitcadbf42.fc31 --unique-debug-suffix -0-0.1.20190319gitcadbf42.fc31.i386 --unique-debug-src-base nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/bin/nextpnr-ice40 extracting debug info from /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/bin/nextpnr-ice40 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/bin/nextpnr-generic extracting debug info from /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/bin/nextpnr-generic /usr/lib/rpm/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 7036 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile /usr/bin/python 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs Processing files: nextpnr-0-0.1.20190319gitcadbf42.fc31.i686 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.VXZEbL + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + DOCDIR=/builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/doc/nextpnr + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/doc/nextpnr + cp -pr README.md /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/doc/nextpnr + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.XKbZtj + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + LICENSEDIR=/builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/licenses/nextpnr + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/licenses/nextpnr + cp -pr COPYING /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/licenses/nextpnr + cp -pr 3rdparty/imgui/LICENSE.txt /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/licenses/nextpnr + cp -pr 3rdparty/qtimgui/LICENSE /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/licenses/nextpnr + cp -pr 3rdparty/python-console/LICENSE /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386/usr/share/licenses/nextpnr + exit 0 warning: File listed twice: /usr/share/licenses/nextpnr/LICENSE Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 0-0.1.20190319gitcadbf42.fc31 nextpnr(x86-32) = 0-0.1.20190319gitcadbf42.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5 libQt5Core.so.5(Qt_5) libQt5Core.so.5(Qt_5.12) libQt5Gui.so.5 libQt5Gui.so.5(Qt_5) libQt5Widgets.so.5 libQt5Widgets.so.5(Qt_5) libboost_filesystem.so.1.69.0 libboost_program_options.so.1.69.0 libboost_python37.so.1.69.0 libc.so.6 libc.so.6(GLIBC_2.0) libc.so.6(GLIBC_2.1) libc.so.6(GLIBC_2.1.3) libc.so.6(GLIBC_2.3.4) libc.so.6(GLIBC_2.4) libc.so.6(GLIBC_2.7) libc.so.6(GLIBC_2.8) libgcc_s.so.1 libgcc_s.so.1(GCC_3.0) libgcc_s.so.1(GLIBC_2.0) libm.so.6 libm.so.6(GLIBC_2.0) libm.so.6(GLIBC_2.1) libm.so.6(GLIBC_2.27) libm.so.6(GLIBC_2.29) libpthread.so.0 libpthread.so.0(GLIBC_2.0) libpython3.7m.so.1.0 libstdc++.so.6 libstdc++.so.6(CXXABI_1.3) libstdc++.so.6(CXXABI_1.3.1) libstdc++.so.6(CXXABI_1.3.5) libstdc++.so.6(GLIBCXX_3.4) libstdc++.so.6(GLIBCXX_3.4.11) libstdc++.so.6(GLIBCXX_3.4.14) libstdc++.so.6(GLIBCXX_3.4.15) libstdc++.so.6(GLIBCXX_3.4.18) libstdc++.so.6(GLIBCXX_3.4.19) libstdc++.so.6(GLIBCXX_3.4.20) libstdc++.so.6(GLIBCXX_3.4.21) libstdc++.so.6(GLIBCXX_3.4.26) libstdc++.so.6(GLIBCXX_3.4.9) rtld(GNU_HASH) Processing files: nextpnr-debugsource-0-0.1.20190319gitcadbf42.fc31.i686 Provides: nextpnr-debugsource = 0-0.1.20190319gitcadbf42.fc31 nextpnr-debugsource(x86-32) = 0-0.1.20190319gitcadbf42.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-0-0.1.20190319gitcadbf42.fc31.i686 Provides: debuginfo(build-id) = 3c42e4e5de95dfd4fef39d2d8665acb6120b83ef debuginfo(build-id) = edf11fbccf39e2e524f7d9042bf44fdd25258e20 nextpnr-debuginfo = 0-0.1.20190319gitcadbf42.fc31 nextpnr-debuginfo(x86-32) = 0-0.1.20190319gitcadbf42.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(x86-32) = 0-0.1.20190319gitcadbf42.fc31 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 Wrote: /builddir/build/RPMS/nextpnr-0-0.1.20190319gitcadbf42.fc31.i686.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-0-0.1.20190319gitcadbf42.fc31.i686.rpm Wrote: /builddir/build/RPMS/nextpnr-debuginfo-0-0.1.20190319gitcadbf42.fc31.i686.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.j76K0q + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/rm -rf /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.i386 + exit 0 Finish: rpmbuild nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/870523-fedora-rawhide-i386-1552998228.703190/root/var/log/dnf.log /var/lib/mock/870523-fedora-rawhide-i386-1552998228.703190/root/var/log/dnf.librepo.log /var/lib/mock/870523-fedora-rawhide-i386-1552998228.703190/root/var/log/dnf.rpm.log Finish: build phase for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm) Config(child) 17 minutes 32 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run