Warning: Permanently added '172.25.94.143' (ECDSA) to the list of known hosts. Running: /usr/bin/copr-rpmbuild --verbose --drop-resultdir --build-id 870523 --chroot fedora-rawhide-x86_64 --detached default Version: 0.24 Task: {'build_id': 870523, 'buildroot_pkgs': [], 'chroot': 'fedora-rawhide-x86_64', 'enable_net': False, 'fetch_sources_only': True, 'git_hash': '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd', 'git_repo': 'lkundrak/varia/nextpnr', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '0-0.1.20190319gitcadbf42.fc30', 'project_dirname': 'varia', 'project_name': 'varia', 'project_owner': 'lkundrak', 'repos': [{'baseurl': 'https://copr-be.cloud.fedoraproject.org/results/lkundrak/varia/fedora-rawhide-x86_64/', 'id': 'copr_base', 'name': 'Copr repository'}], 'source_json': {'clone_url': 'https://copr-dist-git.fedorainfracloud.org/git/lkundrak/varia/nextpnr.git', 'committish': '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd'}, 'source_type': 8, 'submitter': 'lkundrak', 'task_id': '870523-fedora-rawhide-x86_64', 'timeout': 64800, 'use_bootstrap_container': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/lkundrak/varia/nextpnr.git /tmp/tmptfy_kvul/nextpnr --depth 500 --no-single-branch cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/lkundrak/varia/nextpnr.git', '/tmp/tmptfy_kvul/nextpnr', '--depth', '500', '--no-single-branch'] cwd: . rc: 0 stdout: stderr: Cloning into '/tmp/tmptfy_kvul/nextpnr'... Running: git checkout 5eb2a54b660d8d36e9276f6f43a70220de0f7ffd cmd: ['git', 'checkout', '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd'] cwd: /tmp/tmptfy_kvul/nextpnr rc: 0 stdout: stderr: Note: checking out '5eb2a54b660d8d36e9276f6f43a70220de0f7ffd'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by performing another checkout. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -b with the checkout command again. Example: git checkout -b HEAD is now at 5eb2a54 automatic import of nextpnr Running: cp -r . /tmp/tmp3o9t1_d9 cmd: ['cp', '-r', '.', '/tmp/tmp3o9t1_d9'] cwd: /tmp/tmptfy_kvul/nextpnr rc: 0 stdout: stderr: Generated rpkg config: [rpkg] preprocess_spec = True # auto-packing is deprecated: auto_pack = True [git] lookaside = https://copr-dist-git.fedorainfracloud.org/repo/pkgs/%(ns2)s/%(ns1)s/%(name)s/%(filename)s/%(hashtype)s/%(hash)s/%(filename)s anongiturl = https://copr-dist-git.fedorainfracloud.org/git/%(module)s Writing config into /tmp/tmptfy_kvul/.config/rpkg.conf Running: rpkg -C /tmp/tmptfy_kvul/.config/rpkg.conf sources --outdir /tmp/tmp3o9t1_d9 /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated cmd: ['rpkg', '-C', '/tmp/tmptfy_kvul/.config/rpkg.conf', 'sources', '--outdir', '/tmp/tmp3o9t1_d9'] cwd: /tmp/tmptfy_kvul/nextpnr rc: 0 stdout: Downloading nextpnr-cadbf42.tar.gz from lookaside cache at copr-dist-git.fedorainfracloud.org stderr: Running (timeout=64800): unbuffer /usr/bin/mock --buildsrpm --spec /tmp/tmp3o9t1_d9/nextpnr.spec --sources /tmp/tmp3o9t1_d9 --configdir /var/lib/copr-rpmbuild/results/configs --resultdir /var/lib/copr-rpmbuild/results --define '%_disable_source_fetch 0' --uniqueext 1552998168.458912 -r child WARNING: Could not find required logging config file: /var/lib/copr-rpmbuild/results/configs/logging.ini. Using default... INFO: mock.py version 1.4.14 starting (python version = 3.6.5)... Start: init plugins INFO: tmpfs initialized INFO: selinux disabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins Start: run INFO: Start(/tmp/tmp3o9t1_d9/nextpnr.spec) Config(870523-fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Start: chroot init INFO: mounting tmpfs at /var/lib/mock/870523-fedora-rawhide-x86_64-1552998168.458912/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled HW Info plugin Mock Version: 1.4.14 INFO: Mock Version: 1.4.14 Start: dnf install 'Copr repository' 881 kB/s | 66 kB 00:00 fedora 56 MB/s | 61 MB 00:01 Last metadata expiration check: 0:00:00 ago on Tue 19 Mar 2019 12:22:52 PM UTC. Dependencies resolved. ================================================================================ Group Packages ================================================================================ Marking packages as installed by the group: @Buildsystem building group redhat-rpm-config coreutils info unzip diffutils bash util-linux grep cpio glibc-minimal-langpack findutils bzip2 gawk shadow-utils tar gzip which xz patch make sed fedora-release rpm-build ================================================================================ Package Arch Version Repository Size ================================================================================ Installing group packages: bash x86_64 5.0.2-1.fc30 fedora 1.6 M bzip2 x86_64 1.0.6-29.fc30 fedora 49 k coreutils x86_64 8.31-1.fc31 fedora 1.2 M cpio x86_64 2.12-10.fc30 fedora 247 k diffutils x86_64 3.7-2.fc30 fedora 384 k fedora-release noarch 31-0.1 fedora 10 k findutils x86_64 1:4.6.0-22.fc30 fedora 504 k gawk x86_64 4.2.1-6.fc30 fedora 1.1 M glibc-minimal-langpack x86_64 2.29.9000-6.fc31 fedora 54 k grep x86_64 3.1-9.fc30 fedora 248 k gzip x86_64 1.9-8.fc30 fedora 151 k info x86_64 6.6-1.fc30 fedora 212 k make x86_64 1:4.2.1-13.fc30 fedora 482 k patch x86_64 2.7.6-9.fc31 fedora 123 k redhat-rpm-config noarch 128-1.fc30 fedora 60 k rpm-build x86_64 4.14.2.1-4.fc30.1 fedora 113 k sed x86_64 4.5-3.fc30 fedora 285 k shadow-utils x86_64 2:4.6-9.fc31 fedora 1.1 M tar x86_64 2:1.32-1.fc31 fedora 863 k unzip x86_64 6.0-43.fc30 fedora 175 k util-linux x86_64 2.33.1-4.fc31 fedora 2.3 M which x86_64 2.21-14.fc30 fedora 41 k xz x86_64 5.2.4-5.fc30 fedora 143 k Installing dependencies: alternatives x86_64 1.11-4.fc31 fedora 33 k audit-libs x86_64 3.0-0.6.20181218gitbdb72c0.fc30 fedora 107 k basesystem noarch 11-7.fc30 fedora 6.9 k binutils x86_64 2.32-9.fc31 fedora 5.8 M binutils-gold x86_64 2.32-9.fc31 fedora 746 k boost-regex x86_64 1.69.0-6.fc30 fedora 289 k brotli x86_64 1.0.7-3.fc30 fedora 311 k bzip2-libs x86_64 1.0.6-29.fc30 fedora 37 k ca-certificates noarch 2018.2.26-3.fc30 fedora 385 k coreutils-common x86_64 8.31-1.fc31 fedora 2.0 M cracklib x86_64 2.9.6-19.fc30 fedora 77 k crypto-policies noarch 20190211-2.gite3eacfc.fc30 fedora 51 k ctags x86_64 5.8-25.fc30 fedora 158 k curl x86_64 7.64.0-4.fc31 fedora 319 k cyrus-sasl-lib x86_64 2.1.27-0.6rc7.fc30 fedora 92 k dwz x86_64 0.12-10.fc30 fedora 102 k efi-srpm-macros noarch 4-2.fc30 fedora 23 k elfutils x86_64 0.176-1.fc30 fedora 291 k elfutils-default-yama-scope noarch 0.176-1.fc30 fedora 16 k elfutils-libelf x86_64 0.176-1.fc30 fedora 177 k elfutils-libs x86_64 0.176-1.fc30 fedora 260 k expat x86_64 2.2.6-2.fc30 fedora 92 k fedora-gpg-keys noarch 31-0.2 fedora 102 k fedora-release-common noarch 31-0.1 fedora 18 k fedora-repos noarch 31-0.2 fedora 9.2 k fedora-repos-rawhide noarch 31-0.2 fedora 8.4 k file x86_64 5.36-2.fc31 fedora 49 k file-libs x86_64 5.36-2.fc31 fedora 545 k filesystem x86_64 3.10-1.fc30 fedora 1.1 M fpc-srpm-macros noarch 1.2-1.fc31 fedora 7.5 k gc x86_64 7.6.4-5.fc30 fedora 93 k gdb-headless x86_64 8.3.50.20190304-1.fc31 fedora 3.4 M gdbm-libs x86_64 1:1.18-4.fc30 fedora 50 k ghc-srpm-macros noarch 1.4.2-9.fc30 fedora 7.6 k glib2 x86_64 2.60.0-3.fc31 fedora 2.5 M glibc x86_64 2.29.9000-6.fc31 fedora 3.9 M glibc-common x86_64 2.29.9000-6.fc31 fedora 828 k gmp x86_64 1:6.1.2-10.fc31 fedora 265 k gnat-srpm-macros noarch 4-9.fc30 fedora 8.5 k go-srpm-macros noarch 2-19.fc30 fedora 11 k guile22 x86_64 2.2.4-3.fc30 fedora 6.4 M keyutils-libs x86_64 1.6-2.fc30 fedora 30 k krb5-libs x86_64 1.17-6.fc31 fedora 708 k libacl x86_64 2.2.53-3.fc30 fedora 24 k libarchive x86_64 3.3.3-4.fc31 fedora 342 k libatomic_ops x86_64 7.6.10-1.fc31 fedora 34 k libattr x86_64 2.4.48-5.fc30 fedora 17 k libbabeltrace x86_64 1.5.6-2.fc30 fedora 184 k libblkid x86_64 2.33.1-4.fc31 fedora 144 k libcap x86_64 2.26-5.fc30 fedora 46 k libcap-ng x86_64 0.7.9-7.fc31 fedora 27 k libcom_err x86_64 1.45.0-1.fc31 fedora 26 k libcurl x86_64 7.64.0-4.fc31 fedora 253 k libdb x86_64 5.3.28-37.fc30 fedora 735 k libdb-utils x86_64 5.3.28-37.fc30 fedora 129 k libfdisk x86_64 2.33.1-4.fc31 fedora 194 k libffi x86_64 3.1-19.fc30 fedora 31 k libgcc x86_64 9.0.1-0.10.fc30 fedora 81 k libgcrypt x86_64 1.8.4-3.fc30 fedora 435 k libgpg-error x86_64 1.33-2.fc30 fedora 238 k libicu x86_64 63.1-2.fc30 fedora 9.0 M libidn2 x86_64 2.1.1a-1.fc30 fedora 89 k libipt x86_64 2.0-2.fc30 fedora 51 k libmetalink x86_64 0.1.3-8.fc30 fedora 28 k libmount x86_64 2.33.1-4.fc31 fedora 166 k libnghttp2 x86_64 1.37.0-1.fc31 fedora 69 k libnsl2 x86_64 1.2.0-4.20180605git4a062cf.fc30 fedora 54 k libpkgconf x86_64 1.6.0-1.fc30 fedora 34 k libpsl x86_64 0.20.2-6.fc30 fedora 57 k libpwquality x86_64 1.4.0-12.fc30 fedora 96 k libselinux x86_64 2.9-0.rc2.1.fc31 fedora 80 k libsemanage x86_64 2.9-0.rc2.1.fc31 fedora 112 k libsepol x86_64 2.9-0.rc2.1.fc31 fedora 280 k libsigsegv x86_64 2.11-7.fc30 fedora 25 k libsmartcols x86_64 2.33.1-4.fc31 fedora 108 k libssh x86_64 0.8.7-1.fc31 fedora 212 k libstdc++ x86_64 9.0.1-0.10.fc30 fedora 570 k libtasn1 x86_64 4.13-7.fc30 fedora 67 k libtirpc x86_64 1.1.4-2.rc2.fc30.1 fedora 97 k libtool-ltdl x86_64 2.4.6-29.fc30 fedora 34 k libunistring x86_64 0.9.10-5.fc30 fedora 415 k libutempter x86_64 1.1.6-16.fc30 fedora 24 k libuuid x86_64 2.33.1-4.fc31 fedora 26 k libverto x86_64 0.3.0-7.fc30 fedora 20 k libxcrypt x86_64 4.4.4-2.fc31 fedora 119 k libxml2 x86_64 2.9.9-2.fc30 fedora 651 k libzstd x86_64 1.3.8-2.fc30 fedora 252 k lua-libs x86_64 5.3.5-5.fc30 fedora 104 k lz4-libs x86_64 1.8.3-2.fc30 fedora 60 k mpfr x86_64 3.1.6-4.fc30 fedora 212 k ncurses x86_64 6.1-10.20180923.fc30 fedora 360 k ncurses-base noarch 6.1-10.20180923.fc30 fedora 59 k ncurses-libs x86_64 6.1-10.20180923.fc30 fedora 288 k nim-srpm-macros noarch 1-4.fc30 fedora 8.1 k ocaml-srpm-macros noarch 5-5.fc30 fedora 7.7 k openblas-srpm-macros noarch 2-5.fc30 fedora 7.3 k openldap x86_64 2.4.47-1.fc30 fedora 280 k openssl-libs x86_64 1:1.1.1b-3.fc31 fedora 1.3 M p11-kit x86_64 0.23.15-3.fc30 fedora 240 k p11-kit-trust x86_64 0.23.15-3.fc30 fedora 125 k pam x86_64 1.3.1-17.fc30 fedora 624 k pcre x86_64 8.43-1.fc31 fedora 187 k pcre2 x86_64 10.33-0.3.RC1.fc31 fedora 249 k perl-srpm-macros noarch 1-29.fc30 fedora 8.2 k pkgconf x86_64 1.6.0-1.fc30 fedora 38 k pkgconf-m4 noarch 1.6.0-1.fc30 fedora 15 k pkgconf-pkg-config x86_64 1.6.0-1.fc30 fedora 11 k popt x86_64 1.16-17.fc30 fedora 55 k publicsuffix-list-dafsa noarch 20190128-2.fc30 fedora 54 k python-pip-wheel noarch 19.0.3-1.fc31 fedora 1.1 M python-setuptools-wheel noarch 40.8.0-1.fc30 fedora 279 k python-srpm-macros noarch 3-42.fc30 fedora 11 k python3-libs x86_64 3.7.2-7.fc30 fedora 7.7 M qt5-srpm-macros noarch 5.12.1-1.fc31 fedora 9.1 k readline x86_64 8.0-2.fc30 fedora 191 k rpm x86_64 4.14.2.1-4.fc30.1 fedora 486 k rpm-build-libs x86_64 4.14.2.1-4.fc30.1 fedora 92 k rpm-libs x86_64 4.14.2.1-4.fc30.1 fedora 271 k rust-srpm-macros noarch 6-4.fc30 fedora 9.0 k setup noarch 2.13.2-1.fc31 fedora 149 k source-highlight x86_64 3.1.8-23.fc31 fedora 648 k sqlite-libs x86_64 3.27.2-3.fc31 fedora 540 k systemd-libs x86_64 241-2.gita09c170.fc31 fedora 472 k tzdata noarch 2018i-2.fc30 fedora 424 k xz-libs x86_64 5.2.4-5.fc30 fedora 85 k zip x86_64 3.0-24.fc30 fedora 264 k zlib x86_64 1.2.11-15.fc30 fedora 89 k zstd x86_64 1.3.8-2.fc30 fedora 361 k Transaction Summary ================================================================================ Install 151 Packages Total download size: 76 M Installed size: 333 M Downloading Packages: (1/151): gzip-1.9-8.fc30.x86_64.rpm 1.9 MB/s | 151 kB 00:00 (2/151): diffutils-3.7-2.fc30.x86_64.rpm 4.2 MB/s | 384 kB 00:00 (3/151): redhat-rpm-config-128-1.fc30.noarch.rp 8.3 MB/s | 60 kB 00:00 (4/151): make-4.2.1-13.fc30.x86_64.rpm 22 MB/s | 482 kB 00:00 (5/151): glibc-minimal-langpack-2.29.9000-6.fc3 7.6 MB/s | 54 kB 00:00 (6/151): shadow-utils-4.6-9.fc31.x86_64.rpm 10 MB/s | 1.1 MB 00:00 (7/151): fedora-release-31-0.1.noarch.rpm 989 kB/s | 10 kB 00:00 (8/151): rpm-build-4.14.2.1-4.fc30.1.x86_64.rpm 4.9 MB/s | 113 kB 00:00 (9/151): sed-4.5-3.fc30.x86_64.rpm 9.8 MB/s | 285 kB 00:00 (10/151): findutils-4.6.0-22.fc30.x86_64.rpm 9.3 MB/s | 504 kB 00:00 (11/151): which-2.21-14.fc30.x86_64.rpm 2.5 MB/s | 41 kB 00:00 (12/151): patch-2.7.6-9.fc31.x86_64.rpm 7.2 MB/s | 123 kB 00:00 (13/151): grep-3.1-9.fc30.x86_64.rpm 6.1 MB/s | 248 kB 00:00 (14/151): info-6.6-1.fc30.x86_64.rpm 7.4 MB/s | 212 kB 00:00 (15/151): unzip-6.0-43.fc30.x86_64.rpm 5.7 MB/s | 175 kB 00:00 (16/151): cpio-2.12-10.fc30.x86_64.rpm 9.9 MB/s | 247 kB 00:00 (17/151): tar-1.32-1.fc31.x86_64.rpm 11 MB/s | 863 kB 00:00 (18/151): xz-5.2.4-5.fc30.x86_64.rpm 8.2 MB/s | 143 kB 00:00 (19/151): bzip2-1.0.6-29.fc30.x86_64.rpm 4.1 MB/s | 49 kB 00:00 (20/151): gawk-4.2.1-6.fc30.x86_64.rpm 14 MB/s | 1.1 MB 00:00 (21/151): coreutils-8.31-1.fc31.x86_64.rpm 9.1 MB/s | 1.2 MB 00:00 (22/151): audit-libs-3.0-0.6.20181218gitbdb72c0 5.8 MB/s | 107 kB 00:00 (23/151): util-linux-2.33.1-4.fc31.x86_64.rpm 13 MB/s | 2.3 MB 00:00 (24/151): libacl-2.2.53-3.fc30.x86_64.rpm 2.0 MB/s | 24 kB 00:00 (25/151): bash-5.0.2-1.fc30.x86_64.rpm 13 MB/s | 1.6 MB 00:00 (26/151): libattr-2.4.48-5.fc30.x86_64.rpm 1.6 MB/s | 17 kB 00:00 (27/151): libselinux-2.9-0.rc2.1.fc31.x86_64.rp 7.2 MB/s | 80 kB 00:00 (28/151): libsemanage-2.9-0.rc2.1.fc31.x86_64.r 9.1 MB/s | 112 kB 00:00 (29/151): libxcrypt-4.4.4-2.fc31.x86_64.rpm 19 MB/s | 119 kB 00:00 (30/151): setup-2.13.2-1.fc31.noarch.rpm 18 MB/s | 149 kB 00:00 (31/151): dwz-0.12-10.fc30.x86_64.rpm 11 MB/s | 102 kB 00:00 (32/151): efi-srpm-macros-4-2.fc30.noarch.rpm 1.9 MB/s | 23 kB 00:00 (33/151): file-5.36-2.fc31.x86_64.rpm 6.0 MB/s | 49 kB 00:00 (34/151): fpc-srpm-macros-1.2-1.fc31.noarch.rpm 850 kB/s | 7.5 kB 00:00 (35/151): ghc-srpm-macros-1.4.2-9.fc30.noarch.r 981 kB/s | 7.6 kB 00:00 (36/151): gnat-srpm-macros-4-9.fc30.noarch.rpm 982 kB/s | 8.5 kB 00:00 (37/151): go-srpm-macros-2-19.fc30.noarch.rpm 1.3 MB/s | 11 kB 00:00 (38/151): nim-srpm-macros-1-4.fc30.noarch.rpm 763 kB/s | 8.1 kB 00:00 (39/151): ocaml-srpm-macros-5-5.fc30.noarch.rpm 922 kB/s | 7.7 kB 00:00 (40/151): openblas-srpm-macros-2-5.fc30.noarch. 1.1 MB/s | 7.3 kB 00:00 (41/151): glibc-2.29.9000-6.fc31.x86_64.rpm 17 MB/s | 3.9 MB 00:00 (42/151): perl-srpm-macros-1-29.fc30.noarch.rpm 337 kB/s | 8.2 kB 00:00 (43/151): python-srpm-macros-3-42.fc30.noarch.r 2.1 MB/s | 11 kB 00:00 (44/151): qt5-srpm-macros-5.12.1-1.fc31.noarch. 1.4 MB/s | 9.1 kB 00:00 (45/151): rust-srpm-macros-6-4.fc30.noarch.rpm 1.4 MB/s | 9.0 kB 00:00 (46/151): rpm-4.14.2.1-4.fc30.1.x86_64.rpm 27 MB/s | 486 kB 00:00 (47/151): zip-3.0-24.fc30.x86_64.rpm 14 MB/s | 264 kB 00:00 (48/151): fedora-release-common-31-0.1.noarch.r 3.0 MB/s | 18 kB 00:00 (49/151): glibc-common-2.29.9000-6.fc31.x86_64. 28 MB/s | 828 kB 00:00 (50/151): elfutils-0.176-1.fc30.x86_64.rpm 13 MB/s | 291 kB 00:00 (51/151): elfutils-libelf-0.176-1.fc30.x86_64.r 18 MB/s | 177 kB 00:00 (52/151): guile22-2.2.4-3.fc30.x86_64.rpm 26 MB/s | 6.4 MB 00:00 (53/151): elfutils-libs-0.176-1.fc30.x86_64.rpm 6.1 MB/s | 260 kB 00:00 (54/151): popt-1.16-17.fc30.x86_64.rpm 5.9 MB/s | 55 kB 00:00 (55/151): rpm-build-libs-4.14.2.1-4.fc30.1.x86_ 9.4 MB/s | 92 kB 00:00 (56/151): rpm-libs-4.14.2.1-4.fc30.1.x86_64.rpm 18 MB/s | 271 kB 00:00 (57/151): zstd-1.3.8-2.fc30.x86_64.rpm 20 MB/s | 361 kB 00:00 (58/151): pcre-8.43-1.fc31.x86_64.rpm 20 MB/s | 187 kB 00:00 (59/151): gdb-headless-8.3.50.20190304-1.fc31.x 35 MB/s | 3.4 MB 00:00 (60/151): ncurses-libs-6.1-10.20180923.fc30.x86 9.8 MB/s | 288 kB 00:00 (61/151): zlib-1.2.11-15.fc30.x86_64.rpm 13 MB/s | 89 kB 00:00 (62/151): bzip2-libs-1.0.6-29.fc30.x86_64.rpm 7.4 MB/s | 37 kB 00:00 (63/151): gmp-6.1.2-10.fc31.x86_64.rpm 19 MB/s | 265 kB 00:00 (64/151): binutils-2.32-9.fc31.x86_64.rpm 25 MB/s | 5.8 MB 00:00 (65/151): libcap-2.26-5.fc30.x86_64.rpm 1.3 MB/s | 46 kB 00:00 (66/151): ncurses-6.1-10.20180923.fc30.x86_64.r 26 MB/s | 360 kB 00:00 (67/151): xz-libs-5.2.4-5.fc30.x86_64.rpm 8.7 MB/s | 85 kB 00:00 (68/151): coreutils-common-8.31-1.fc31.x86_64.r 23 MB/s | 2.0 MB 00:00 (69/151): libsigsegv-2.11-7.fc30.x86_64.rpm 2.5 MB/s | 25 kB 00:00 (70/151): openssl-libs-1.1.1b-3.fc31.x86_64.rpm 22 MB/s | 1.3 MB 00:00 (71/151): mpfr-3.1.6-4.fc30.x86_64.rpm 11 MB/s | 212 kB 00:00 (72/151): readline-8.0-2.fc30.x86_64.rpm 22 MB/s | 191 kB 00:00 (73/151): libblkid-2.33.1-4.fc31.x86_64.rpm 17 MB/s | 144 kB 00:00 (74/151): libcap-ng-0.7.9-7.fc31.x86_64.rpm 5.0 MB/s | 27 kB 00:00 (75/151): filesystem-3.10-1.fc30.x86_64.rpm 18 MB/s | 1.1 MB 00:00 (76/151): libmount-2.33.1-4.fc31.x86_64.rpm 15 MB/s | 166 kB 00:00 (77/151): libfdisk-2.33.1-4.fc31.x86_64.rpm 13 MB/s | 194 kB 00:00 (78/151): libutempter-1.1.6-16.fc30.x86_64.rpm 5.6 MB/s | 24 kB 00:00 (79/151): libsmartcols-2.33.1-4.fc31.x86_64.rpm 10 MB/s | 108 kB 00:00 (80/151): libuuid-2.33.1-4.fc31.x86_64.rpm 4.2 MB/s | 26 kB 00:00 (81/151): basesystem-11-7.fc30.noarch.rpm 1.0 MB/s | 6.9 kB 00:00 (82/151): pam-1.3.1-17.fc30.x86_64.rpm 30 MB/s | 624 kB 00:00 (83/151): libgcc-9.0.1-0.10.fc30.x86_64.rpm 7.6 MB/s | 81 kB 00:00 (84/151): systemd-libs-241-2.gita09c170.fc31.x8 17 MB/s | 472 kB 00:00 (85/151): pcre2-10.33-0.3.RC1.fc31.x86_64.rpm 20 MB/s | 249 kB 00:00 (86/151): libsepol-2.9-0.rc2.1.fc31.x86_64.rpm 16 MB/s | 280 kB 00:00 (87/151): gc-7.6.4-5.fc30.x86_64.rpm 7.9 MB/s | 93 kB 00:00 (88/151): libffi-3.1-19.fc30.x86_64.rpm 4.8 MB/s | 31 kB 00:00 (89/151): libtool-ltdl-2.4.6-29.fc30.x86_64.rpm 4.5 MB/s | 34 kB 00:00 (90/151): libunistring-0.9.10-5.fc30.x86_64.rpm 21 MB/s | 415 kB 00:00 (91/151): file-libs-5.36-2.fc31.x86_64.rpm 25 MB/s | 545 kB 00:00 (92/151): libarchive-3.3.3-4.fc31.x86_64.rpm 17 MB/s | 342 kB 00:00 (93/151): libdb-utils-5.3.28-37.fc30.x86_64.rpm 19 MB/s | 129 kB 00:00 (94/151): fedora-repos-31-0.2.noarch.rpm 1.7 MB/s | 9.2 kB 00:00 (95/151): alternatives-1.11-4.fc31.x86_64.rpm 6.1 MB/s | 33 kB 00:00 (96/151): tzdata-2018i-2.fc30.noarch.rpm 25 MB/s | 424 kB 00:00 (97/151): elfutils-default-yama-scope-0.176-1.f 2.3 MB/s | 16 kB 00:00 (98/151): libstdc++-9.0.1-0.10.fc30.x86_64.rpm 31 MB/s | 570 kB 00:00 (99/151): binutils-gold-2.32-9.fc31.x86_64.rpm 23 MB/s | 746 kB 00:00 (100/151): expat-2.2.6-2.fc30.x86_64.rpm 5.6 MB/s | 92 kB 00:00 (101/151): libbabeltrace-1.5.6-2.fc30.x86_64.rp 13 MB/s | 184 kB 00:00 (102/151): libipt-2.0-2.fc30.x86_64.rpm 6.5 MB/s | 51 kB 00:00 (103/151): source-highlight-3.1.8-23.fc31.x86_6 33 MB/s | 648 kB 00:00 (104/151): libzstd-1.3.8-2.fc30.x86_64.rpm 23 MB/s | 252 kB 00:00 (105/151): libdb-5.3.28-37.fc30.x86_64.rpm 19 MB/s | 735 kB 00:00 (106/151): lua-libs-5.3.5-5.fc30.x86_64.rpm 8.7 MB/s | 104 kB 00:00 (107/151): ncurses-base-6.1-10.20180923.fc30.no 11 MB/s | 59 kB 00:00 (108/151): crypto-policies-20190211-2.gite3eacf 6.0 MB/s | 51 kB 00:00 (109/151): ca-certificates-2018.2.26-3.fc30.noa 27 MB/s | 385 kB 00:00 (110/151): cracklib-2.9.6-19.fc30.x86_64.rpm 13 MB/s | 77 kB 00:00 (111/151): libnsl2-1.2.0-4.20180605git4a062cf.f 9.1 MB/s | 54 kB 00:00 (112/151): libtirpc-1.1.4-2.rc2.fc30.1.x86_64.r 15 MB/s | 97 kB 00:00 (113/151): lz4-libs-1.8.3-2.fc30.x86_64.rpm 7.6 MB/s | 60 kB 00:00 (114/151): libgcrypt-1.8.4-3.fc30.x86_64.rpm 27 MB/s | 435 kB 00:00 (115/151): libatomic_ops-7.6.10-1.fc31.x86_64.r 6.8 MB/s | 34 kB 00:00 (116/151): fedora-gpg-keys-31-0.2.noarch.rpm 8.2 MB/s | 102 kB 00:00 (117/151): libxml2-2.9.9-2.fc30.x86_64.rpm 31 MB/s | 651 kB 00:00 (118/151): fedora-repos-rawhide-31-0.2.noarch.r 1.2 MB/s | 8.4 kB 00:00 (119/151): gdbm-libs-1.18-4.fc30.x86_64.rpm 4.5 MB/s | 50 kB 00:00 (120/151): glib2-2.60.0-3.fc31.x86_64.rpm 37 MB/s | 2.5 MB 00:00 (121/151): python-pip-wheel-19.0.3-1.fc31.noarc 17 MB/s | 1.1 MB 00:00 (122/151): python-setuptools-wheel-40.8.0-1.fc3 16 MB/s | 279 kB 00:00 (123/151): sqlite-libs-3.27.2-3.fc31.x86_64.rpm 28 MB/s | 540 kB 00:00 (124/151): boost-regex-1.69.0-6.fc30.x86_64.rpm 19 MB/s | 289 kB 00:00 (125/151): python3-libs-3.7.2-7.fc30.x86_64.rpm 31 MB/s | 7.7 MB 00:00 (126/151): ctags-5.8-25.fc30.x86_64.rpm 3.4 MB/s | 158 kB 00:00 (127/151): libcom_err-1.45.0-1.fc31.x86_64.rpm 5.6 MB/s | 26 kB 00:00 (128/151): libgpg-error-1.33-2.fc30.x86_64.rpm 22 MB/s | 238 kB 00:00 (129/151): krb5-libs-1.17-6.fc31.x86_64.rpm 12 MB/s | 708 kB 00:00 (130/151): keyutils-libs-1.6-2.fc30.x86_64.rpm 3.9 MB/s | 30 kB 00:00 (131/151): libverto-0.3.0-7.fc30.x86_64.rpm 3.5 MB/s | 20 kB 00:00 (132/151): pkgconf-pkg-config-1.6.0-1.fc30.x86_ 2.0 MB/s | 11 kB 00:00 (133/151): pkgconf-1.6.0-1.fc30.x86_64.rpm 6.5 MB/s | 38 kB 00:00 (134/151): pkgconf-m4-1.6.0-1.fc30.noarch.rpm 2.6 MB/s | 15 kB 00:00 (135/151): libpkgconf-1.6.0-1.fc30.x86_64.rpm 5.5 MB/s | 34 kB 00:00 (136/151): libpwquality-1.4.0-12.fc30.x86_64.rp 12 MB/s | 96 kB 00:00 (137/151): p11-kit-0.23.15-3.fc30.x86_64.rpm 26 MB/s | 240 kB 00:00 (138/151): p11-kit-trust-0.23.15-3.fc30.x86_64. 12 MB/s | 125 kB 00:00 (139/151): libtasn1-4.13-7.fc30.x86_64.rpm 5.1 MB/s | 67 kB 00:00 (140/151): libmetalink-0.1.3-8.fc30.x86_64.rpm 5.0 MB/s | 28 kB 00:00 (141/151): curl-7.64.0-4.fc31.x86_64.rpm 14 MB/s | 319 kB 00:00 (142/151): libcurl-7.64.0-4.fc31.x86_64.rpm 22 MB/s | 253 kB 00:00 (143/151): libidn2-2.1.1a-1.fc30.x86_64.rpm 12 MB/s | 89 kB 00:00 (144/151): brotli-1.0.7-3.fc30.x86_64.rpm 17 MB/s | 311 kB 00:00 (145/151): libnghttp2-1.37.0-1.fc31.x86_64.rpm 12 MB/s | 69 kB 00:00 (146/151): libpsl-0.20.2-6.fc30.x86_64.rpm 7.3 MB/s | 57 kB 00:00 (147/151): libssh-0.8.7-1.fc31.x86_64.rpm 20 MB/s | 212 kB 00:00 (148/151): publicsuffix-list-dafsa-20190128-2.f 8.0 MB/s | 54 kB 00:00 (149/151): openldap-2.4.47-1.fc30.x86_64.rpm 17 MB/s | 280 kB 00:00 (150/151): cyrus-sasl-lib-2.1.27-0.6rc7.fc30.x8 14 MB/s | 92 kB 00:00 (151/151): libicu-63.1-2.fc30.x86_64.rpm 40 MB/s | 9.0 MB 00:00 -------------------------------------------------------------------------------- Total 41 MB/s | 76 MB 00:01 warning: /var/lib/mock/870523-fedora-rawhide-x86_64-1552998168.458912/root/var/cache/dnf/fedora-2d95c80a1fa0a67d/packages/shadow-utils-4.6-9.fc31.x86_64.rpm: Header V3 RSA/SHA256 Signature, key ID 3c3359c4: NOKEY Importing GPG key 0x3C3359C4: Userid : "Fedora (31) " Fingerprint: 7D22 D586 7F2A 4236 474B F7B8 50CB 390B 3C33 59C4 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-31-primary Key imported successfully Importing GPG key 0xCFC659B9: Userid : "Fedora (30) " Fingerprint: F1D8 EC98 F241 AAF2 0DF6 9420 EF3C 111F CFC6 59B9 From : /usr/share/distribution-gpg-keys/fedora/RPM-GPG-KEY-fedora-30-primary Key imported successfully Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.10-1.fc30.x86_64 1/1 Preparing : 1/1 Installing : libgcc-9.0.1-0.10.fc30.x86_64 1/151 Running scriptlet: libgcc-9.0.1-0.10.fc30.x86_64 1/151 Installing : publicsuffix-list-dafsa-20190128-2.fc30.noarch 2/151 Installing : pkgconf-m4-1.6.0-1.fc30.noarch 3/151 Installing : python-setuptools-wheel-40.8.0-1.fc30.noarch 4/151 Installing : fedora-gpg-keys-31-0.2.noarch 5/151 Installing : fedora-release-common-31-0.1.noarch 6/151 Installing : fedora-release-31-0.1.noarch 7/151 Installing : fedora-repos-rawhide-31-0.2.noarch 8/151 Installing : fedora-repos-31-0.2.noarch 9/151 Installing : setup-2.13.2-1.fc31.noarch 10/151 Running scriptlet: setup-2.13.2-1.fc31.noarch 10/151 warning: /etc/hosts created as /etc/hosts.rpmnew Installing : filesystem-3.10-1.fc30.x86_64 11/151 Installing : basesystem-11-7.fc30.noarch 12/151 Installing : ncurses-base-6.1-10.20180923.fc30.noarch 13/151 Installing : tzdata-2018i-2.fc30.noarch 14/151 Installing : pcre2-10.33-0.3.RC1.fc31.x86_64 15/151 Installing : libselinux-2.9-0.rc2.1.fc31.x86_64 16/151 Installing : ncurses-libs-6.1-10.20180923.fc30.x86_64 17/151 Installing : glibc-common-2.29.9000-6.fc31.x86_64 18/151 Installing : glibc-minimal-langpack-2.29.9000-6.fc31.x86_64 19/151 Running scriptlet: glibc-2.29.9000-6.fc31.x86_64 20/151 Installing : glibc-2.29.9000-6.fc31.x86_64 20/151 Running scriptlet: glibc-2.29.9000-6.fc31.x86_64 20/151 Installing : bash-5.0.2-1.fc30.x86_64 21/151 Running scriptlet: bash-5.0.2-1.fc30.x86_64 21/151 Installing : libsepol-2.9-0.rc2.1.fc31.x86_64 22/151 Running scriptlet: libsepol-2.9-0.rc2.1.fc31.x86_64 22/151 Installing : zlib-1.2.11-15.fc30.x86_64 23/151 Installing : bzip2-libs-1.0.6-29.fc30.x86_64 24/151 Installing : xz-libs-5.2.4-5.fc30.x86_64 25/151 Installing : libstdc++-9.0.1-0.10.fc30.x86_64 26/151 Installing : elfutils-libelf-0.176-1.fc30.x86_64 27/151 Installing : libxcrypt-4.4.4-2.fc31.x86_64 28/151 Installing : libuuid-2.33.1-4.fc31.x86_64 29/151 Installing : popt-1.16-17.fc30.x86_64 30/151 Installing : libdb-5.3.28-37.fc30.x86_64 31/151 Installing : libattr-2.4.48-5.fc30.x86_64 32/151 Installing : libacl-2.2.53-3.fc30.x86_64 33/151 Installing : sed-4.5-3.fc30.x86_64 34/151 Installing : gmp-1:6.1.2-10.fc31.x86_64 35/151 Installing : readline-8.0-2.fc30.x86_64 36/151 Installing : libffi-3.1-19.fc30.x86_64 37/151 Installing : libcom_err-1.45.0-1.fc31.x86_64 38/151 Installing : libcap-2.26-5.fc30.x86_64 39/151 Installing : libunistring-0.9.10-5.fc30.x86_64 40/151 Installing : expat-2.2.6-2.fc30.x86_64 41/151 Installing : libzstd-1.3.8-2.fc30.x86_64 42/151 Installing : libidn2-2.1.1a-1.fc30.x86_64 43/151 Installing : p11-kit-0.23.15-3.fc30.x86_64 44/151 Installing : mpfr-3.1.6-4.fc30.x86_64 45/151 Installing : unzip-6.0-43.fc30.x86_64 46/151 Installing : file-libs-5.36-2.fc31.x86_64 47/151 Installing : file-5.36-2.fc31.x86_64 48/151 Installing : elfutils-default-yama-scope-0.176-1.fc30.noarch 49/151 Running scriptlet: elfutils-default-yama-scope-0.176-1.fc30.noarch 49/151 Installing : elfutils-libs-0.176-1.fc30.x86_64 50/151 Installing : findutils-1:4.6.0-22.fc30.x86_64 51/151 Installing : pcre-8.43-1.fc31.x86_64 52/151 Installing : grep-3.1-9.fc30.x86_64 53/151 Installing : libcap-ng-0.7.9-7.fc31.x86_64 54/151 Installing : audit-libs-3.0-0.6.20181218gitbdb72c0.fc30.x86_6 55/151 Installing : alternatives-1.11-4.fc31.x86_64 56/151 Installing : lua-libs-5.3.5-5.fc30.x86_64 57/151 Installing : lz4-libs-1.8.3-2.fc30.x86_64 58/151 Installing : libsemanage-2.9-0.rc2.1.fc31.x86_64 59/151 Installing : shadow-utils-2:4.6-9.fc31.x86_64 60/151 Running scriptlet: libutempter-1.1.6-16.fc30.x86_64 61/151 Installing : libutempter-1.1.6-16.fc30.x86_64 61/151 Installing : xz-5.2.4-5.fc30.x86_64 62/151 Installing : elfutils-0.176-1.fc30.x86_64 63/151 Installing : zip-3.0-24.fc30.x86_64 64/151 Installing : libpsl-0.20.2-6.fc30.x86_64 65/151 Installing : libmetalink-0.1.3-8.fc30.x86_64 66/151 Installing : tar-2:1.32-1.fc31.x86_64 67/151 Installing : patch-2.7.6-9.fc31.x86_64 68/151 Installing : libdb-utils-5.3.28-37.fc30.x86_64 69/151 Installing : dwz-0.12-10.fc30.x86_64 70/151 Installing : zstd-1.3.8-2.fc30.x86_64 71/151 Installing : libicu-63.1-2.fc30.x86_64 72/151 Installing : boost-regex-1.69.0-6.fc30.x86_64 73/151 Installing : libxml2-2.9.9-2.fc30.x86_64 74/151 Installing : bzip2-1.0.6-29.fc30.x86_64 75/151 Installing : sqlite-libs-3.27.2-3.fc31.x86_64 76/151 Installing : diffutils-3.7-2.fc30.x86_64 77/151 Installing : cpio-2.12-10.fc30.x86_64 78/151 Installing : ncurses-6.1-10.20180923.fc30.x86_64 79/151 Installing : libsigsegv-2.11-7.fc30.x86_64 80/151 Installing : gawk-4.2.1-6.fc30.x86_64 81/151 Installing : libsmartcols-2.33.1-4.fc31.x86_64 82/151 Installing : libtool-ltdl-2.4.6-29.fc30.x86_64 83/151 Installing : libipt-2.0-2.fc30.x86_64 84/151 Installing : libatomic_ops-7.6.10-1.fc31.x86_64 85/151 Installing : gc-7.6.4-5.fc30.x86_64 86/151 Installing : gdbm-libs-1:1.18-4.fc30.x86_64 87/151 Installing : ctags-5.8-25.fc30.x86_64 88/151 Installing : source-highlight-3.1.8-23.fc31.x86_64 89/151 Installing : libgpg-error-1.33-2.fc30.x86_64 90/151 Installing : libgcrypt-1.8.4-3.fc30.x86_64 91/151 Installing : keyutils-libs-1.6-2.fc30.x86_64 92/151 Installing : libverto-0.3.0-7.fc30.x86_64 93/151 Installing : libpkgconf-1.6.0-1.fc30.x86_64 94/151 Installing : pkgconf-1.6.0-1.fc30.x86_64 95/151 Installing : pkgconf-pkg-config-1.6.0-1.fc30.x86_64 96/151 Installing : libtasn1-4.13-7.fc30.x86_64 97/151 Installing : p11-kit-trust-0.23.15-3.fc30.x86_64 98/151 Running scriptlet: p11-kit-trust-0.23.15-3.fc30.x86_64 98/151 Installing : brotli-1.0.7-3.fc30.x86_64 99/151 Installing : libnghttp2-1.37.0-1.fc31.x86_64 100/151 Installing : coreutils-common-8.31-1.fc31.x86_64 101/151 Installing : openssl-libs-1:1.1.1b-3.fc31.x86_64 102/151 Installing : coreutils-8.31-1.fc31.x86_64 103/151 Running scriptlet: ca-certificates-2018.2.26-3.fc30.noarch 104/151 Installing : ca-certificates-2018.2.26-3.fc30.noarch 104/151 Running scriptlet: ca-certificates-2018.2.26-3.fc30.noarch 104/151 Installing : crypto-policies-20190211-2.gite3eacfc.fc30.noarc 105/151 Running scriptlet: crypto-policies-20190211-2.gite3eacfc.fc30.noarc 105/151 Installing : krb5-libs-1.17-6.fc31.x86_64 106/151 Installing : libtirpc-1.1.4-2.rc2.fc30.1.x86_64 107/151 Installing : libblkid-2.33.1-4.fc31.x86_64 108/151 Running scriptlet: libblkid-2.33.1-4.fc31.x86_64 108/151 Installing : libmount-2.33.1-4.fc31.x86_64 109/151 Installing : libnsl2-1.2.0-4.20180605git4a062cf.fc30.x86_64 110/151 Installing : gzip-1.9-8.fc30.x86_64 111/151 Installing : cracklib-2.9.6-19.fc30.x86_64 112/151 Installing : pam-1.3.1-17.fc30.x86_64 113/151 Installing : libpwquality-1.4.0-12.fc30.x86_64 114/151 Installing : binutils-gold-2.32-9.fc31.x86_64 115/151 Installing : binutils-2.32-9.fc31.x86_64 116/151 Running scriptlet: binutils-2.32-9.fc31.x86_64 116/151 Installing : glib2-2.60.0-3.fc31.x86_64 117/151 Installing : libbabeltrace-1.5.6-2.fc30.x86_64 118/151 Installing : libfdisk-2.33.1-4.fc31.x86_64 119/151 Installing : libssh-0.8.7-1.fc31.x86_64 120/151 Installing : cyrus-sasl-lib-2.1.27-0.6rc7.fc30.x86_64 121/151 Installing : openldap-2.4.47-1.fc30.x86_64 122/151 Installing : libcurl-7.64.0-4.fc31.x86_64 123/151 Installing : curl-7.64.0-4.fc31.x86_64 124/151 Installing : python-pip-wheel-19.0.3-1.fc31.noarch 125/151 Installing : python3-libs-3.7.2-7.fc30.x86_64 126/151 Installing : guile22-2.2.4-3.fc30.x86_64 127/151 Running scriptlet: guile22-2.2.4-3.fc30.x86_64 127/151 Installing : systemd-libs-241-2.gita09c170.fc31.x86_64 128/151 Running scriptlet: systemd-libs-241-2.gita09c170.fc31.x86_64 128/151 Installing : libarchive-3.3.3-4.fc31.x86_64 129/151 Installing : rpm-libs-4.14.2.1-4.fc30.1.x86_64 130/151 Installing : rpm-4.14.2.1-4.fc30.1.x86_64 131/151 Installing : efi-srpm-macros-4-2.fc30.noarch 132/151 Installing : gdb-headless-8.3.50.20190304-1.fc31.x86_64 133/151 Installing : rpm-build-libs-4.14.2.1-4.fc30.1.x86_64 134/151 Installing : rust-srpm-macros-6-4.fc30.noarch 135/151 Installing : qt5-srpm-macros-5.12.1-1.fc31.noarch 136/151 Installing : python-srpm-macros-3-42.fc30.noarch 137/151 Installing : perl-srpm-macros-1-29.fc30.noarch 138/151 Installing : openblas-srpm-macros-2-5.fc30.noarch 139/151 Installing : ocaml-srpm-macros-5-5.fc30.noarch 140/151 Installing : nim-srpm-macros-1-4.fc30.noarch 141/151 Installing : go-srpm-macros-2-19.fc30.noarch 142/151 Installing : gnat-srpm-macros-4-9.fc30.noarch 143/151 Installing : ghc-srpm-macros-1.4.2-9.fc30.noarch 144/151 Installing : fpc-srpm-macros-1.2-1.fc31.noarch 145/151 Installing : redhat-rpm-config-128-1.fc30.noarch 146/151 Installing : rpm-build-4.14.2.1-4.fc30.1.x86_64 147/151 Installing : util-linux-2.33.1-4.fc31.x86_64 148/151 Running scriptlet: util-linux-2.33.1-4.fc31.x86_64 148/151 Installing : make-1:4.2.1-13.fc30.x86_64 149/151 Installing : info-6.6-1.fc30.x86_64 150/151 Installing : which-2.21-14.fc30.x86_64 151/151 Running scriptlet: filesystem-3.10-1.fc30.x86_64 151/151 Running scriptlet: glibc-common-2.29.9000-6.fc31.x86_64 151/151 Running scriptlet: glib2-2.60.0-3.fc31.x86_64 151/151 Running scriptlet: info-6.6-1.fc30.x86_64 151/151 Verifying : shadow-utils-2:4.6-9.fc31.x86_64 1/151 Verifying : diffutils-3.7-2.fc30.x86_64 2/151 Verifying : gzip-1.9-8.fc30.x86_64 3/151 Verifying : make-1:4.2.1-13.fc30.x86_64 4/151 Verifying : redhat-rpm-config-128-1.fc30.noarch 5/151 Verifying : glibc-minimal-langpack-2.29.9000-6.fc31.x86_64 6/151 Verifying : findutils-1:4.6.0-22.fc30.x86_64 7/151 Verifying : fedora-release-31-0.1.noarch 8/151 Verifying : sed-4.5-3.fc30.x86_64 9/151 Verifying : rpm-build-4.14.2.1-4.fc30.1.x86_64 10/151 Verifying : grep-3.1-9.fc30.x86_64 11/151 Verifying : which-2.21-14.fc30.x86_64 12/151 Verifying : patch-2.7.6-9.fc31.x86_64 13/151 Verifying : tar-2:1.32-1.fc31.x86_64 14/151 Verifying : info-6.6-1.fc30.x86_64 15/151 Verifying : unzip-6.0-43.fc30.x86_64 16/151 Verifying : cpio-2.12-10.fc30.x86_64 17/151 Verifying : coreutils-8.31-1.fc31.x86_64 18/151 Verifying : xz-5.2.4-5.fc30.x86_64 19/151 Verifying : bzip2-1.0.6-29.fc30.x86_64 20/151 Verifying : gawk-4.2.1-6.fc30.x86_64 21/151 Verifying : util-linux-2.33.1-4.fc31.x86_64 22/151 Verifying : bash-5.0.2-1.fc30.x86_64 23/151 Verifying : audit-libs-3.0-0.6.20181218gitbdb72c0.fc30.x86_6 24/151 Verifying : glibc-2.29.9000-6.fc31.x86_64 25/151 Verifying : libacl-2.2.53-3.fc30.x86_64 26/151 Verifying : libattr-2.4.48-5.fc30.x86_64 27/151 Verifying : libselinux-2.9-0.rc2.1.fc31.x86_64 28/151 Verifying : libsemanage-2.9-0.rc2.1.fc31.x86_64 29/151 Verifying : libxcrypt-4.4.4-2.fc31.x86_64 30/151 Verifying : setup-2.13.2-1.fc31.noarch 31/151 Verifying : guile22-2.2.4-3.fc30.x86_64 32/151 Verifying : dwz-0.12-10.fc30.x86_64 33/151 Verifying : efi-srpm-macros-4-2.fc30.noarch 34/151 Verifying : file-5.36-2.fc31.x86_64 35/151 Verifying : fpc-srpm-macros-1.2-1.fc31.noarch 36/151 Verifying : ghc-srpm-macros-1.4.2-9.fc30.noarch 37/151 Verifying : gnat-srpm-macros-4-9.fc30.noarch 38/151 Verifying : go-srpm-macros-2-19.fc30.noarch 39/151 Verifying : nim-srpm-macros-1-4.fc30.noarch 40/151 Verifying : ocaml-srpm-macros-5-5.fc30.noarch 41/151 Verifying : openblas-srpm-macros-2-5.fc30.noarch 42/151 Verifying : perl-srpm-macros-1-29.fc30.noarch 43/151 Verifying : python-srpm-macros-3-42.fc30.noarch 44/151 Verifying : qt5-srpm-macros-5.12.1-1.fc31.noarch 45/151 Verifying : rpm-4.14.2.1-4.fc30.1.x86_64 46/151 Verifying : rust-srpm-macros-6-4.fc30.noarch 47/151 Verifying : zip-3.0-24.fc30.x86_64 48/151 Verifying : glibc-common-2.29.9000-6.fc31.x86_64 49/151 Verifying : fedora-release-common-31-0.1.noarch 50/151 Verifying : binutils-2.32-9.fc31.x86_64 51/151 Verifying : elfutils-0.176-1.fc30.x86_64 52/151 Verifying : elfutils-libelf-0.176-1.fc30.x86_64 53/151 Verifying : elfutils-libs-0.176-1.fc30.x86_64 54/151 Verifying : gdb-headless-8.3.50.20190304-1.fc31.x86_64 55/151 Verifying : popt-1.16-17.fc30.x86_64 56/151 Verifying : rpm-build-libs-4.14.2.1-4.fc30.1.x86_64 57/151 Verifying : rpm-libs-4.14.2.1-4.fc30.1.x86_64 58/151 Verifying : zstd-1.3.8-2.fc30.x86_64 59/151 Verifying : pcre-8.43-1.fc31.x86_64 60/151 Verifying : ncurses-libs-6.1-10.20180923.fc30.x86_64 61/151 Verifying : zlib-1.2.11-15.fc30.x86_64 62/151 Verifying : bzip2-libs-1.0.6-29.fc30.x86_64 63/151 Verifying : coreutils-common-8.31-1.fc31.x86_64 64/151 Verifying : gmp-1:6.1.2-10.fc31.x86_64 65/151 Verifying : libcap-2.26-5.fc30.x86_64 66/151 Verifying : ncurses-6.1-10.20180923.fc30.x86_64 67/151 Verifying : openssl-libs-1:1.1.1b-3.fc31.x86_64 68/151 Verifying : xz-libs-5.2.4-5.fc30.x86_64 69/151 Verifying : filesystem-3.10-1.fc30.x86_64 70/151 Verifying : libsigsegv-2.11-7.fc30.x86_64 71/151 Verifying : mpfr-3.1.6-4.fc30.x86_64 72/151 Verifying : readline-8.0-2.fc30.x86_64 73/151 Verifying : libblkid-2.33.1-4.fc31.x86_64 74/151 Verifying : libcap-ng-0.7.9-7.fc31.x86_64 75/151 Verifying : libfdisk-2.33.1-4.fc31.x86_64 76/151 Verifying : libmount-2.33.1-4.fc31.x86_64 77/151 Verifying : libsmartcols-2.33.1-4.fc31.x86_64 78/151 Verifying : libutempter-1.1.6-16.fc30.x86_64 79/151 Verifying : libuuid-2.33.1-4.fc31.x86_64 80/151 Verifying : pam-1.3.1-17.fc30.x86_64 81/151 Verifying : systemd-libs-241-2.gita09c170.fc31.x86_64 82/151 Verifying : basesystem-11-7.fc30.noarch 83/151 Verifying : libgcc-9.0.1-0.10.fc30.x86_64 84/151 Verifying : libsepol-2.9-0.rc2.1.fc31.x86_64 85/151 Verifying : pcre2-10.33-0.3.RC1.fc31.x86_64 86/151 Verifying : gc-7.6.4-5.fc30.x86_64 87/151 Verifying : libffi-3.1-19.fc30.x86_64 88/151 Verifying : libtool-ltdl-2.4.6-29.fc30.x86_64 89/151 Verifying : libunistring-0.9.10-5.fc30.x86_64 90/151 Verifying : file-libs-5.36-2.fc31.x86_64 91/151 Verifying : libarchive-3.3.3-4.fc31.x86_64 92/151 Verifying : libdb-utils-5.3.28-37.fc30.x86_64 93/151 Verifying : tzdata-2018i-2.fc30.noarch 94/151 Verifying : fedora-repos-31-0.2.noarch 95/151 Verifying : alternatives-1.11-4.fc31.x86_64 96/151 Verifying : binutils-gold-2.32-9.fc31.x86_64 97/151 Verifying : libstdc++-9.0.1-0.10.fc30.x86_64 98/151 Verifying : elfutils-default-yama-scope-0.176-1.fc30.noarch 99/151 Verifying : expat-2.2.6-2.fc30.x86_64 100/151 Verifying : libbabeltrace-1.5.6-2.fc30.x86_64 101/151 Verifying : libipt-2.0-2.fc30.x86_64 102/151 Verifying : python3-libs-3.7.2-7.fc30.x86_64 103/151 Verifying : source-highlight-3.1.8-23.fc31.x86_64 104/151 Verifying : libdb-5.3.28-37.fc30.x86_64 105/151 Verifying : libzstd-1.3.8-2.fc30.x86_64 106/151 Verifying : lua-libs-5.3.5-5.fc30.x86_64 107/151 Verifying : ncurses-base-6.1-10.20180923.fc30.noarch 108/151 Verifying : ca-certificates-2018.2.26-3.fc30.noarch 109/151 Verifying : crypto-policies-20190211-2.gite3eacfc.fc30.noarc 110/151 Verifying : cracklib-2.9.6-19.fc30.x86_64 111/151 Verifying : libnsl2-1.2.0-4.20180605git4a062cf.fc30.x86_64 112/151 Verifying : libtirpc-1.1.4-2.rc2.fc30.1.x86_64 113/151 Verifying : libgcrypt-1.8.4-3.fc30.x86_64 114/151 Verifying : lz4-libs-1.8.3-2.fc30.x86_64 115/151 Verifying : libatomic_ops-7.6.10-1.fc31.x86_64 116/151 Verifying : libxml2-2.9.9-2.fc30.x86_64 117/151 Verifying : fedora-gpg-keys-31-0.2.noarch 118/151 Verifying : fedora-repos-rawhide-31-0.2.noarch 119/151 Verifying : glib2-2.60.0-3.fc31.x86_64 120/151 Verifying : gdbm-libs-1:1.18-4.fc30.x86_64 121/151 Verifying : python-pip-wheel-19.0.3-1.fc31.noarch 122/151 Verifying : python-setuptools-wheel-40.8.0-1.fc30.noarch 123/151 Verifying : sqlite-libs-3.27.2-3.fc31.x86_64 124/151 Verifying : boost-regex-1.69.0-6.fc30.x86_64 125/151 Verifying : ctags-5.8-25.fc30.x86_64 126/151 Verifying : krb5-libs-1.17-6.fc31.x86_64 127/151 Verifying : libcom_err-1.45.0-1.fc31.x86_64 128/151 Verifying : libgpg-error-1.33-2.fc30.x86_64 129/151 Verifying : libicu-63.1-2.fc30.x86_64 130/151 Verifying : keyutils-libs-1.6-2.fc30.x86_64 131/151 Verifying : libverto-0.3.0-7.fc30.x86_64 132/151 Verifying : pkgconf-pkg-config-1.6.0-1.fc30.x86_64 133/151 Verifying : pkgconf-1.6.0-1.fc30.x86_64 134/151 Verifying : pkgconf-m4-1.6.0-1.fc30.noarch 135/151 Verifying : libpkgconf-1.6.0-1.fc30.x86_64 136/151 Verifying : libpwquality-1.4.0-12.fc30.x86_64 137/151 Verifying : p11-kit-0.23.15-3.fc30.x86_64 138/151 Verifying : p11-kit-trust-0.23.15-3.fc30.x86_64 139/151 Verifying : libtasn1-4.13-7.fc30.x86_64 140/151 Verifying : curl-7.64.0-4.fc31.x86_64 141/151 Verifying : libmetalink-0.1.3-8.fc30.x86_64 142/151 Verifying : libcurl-7.64.0-4.fc31.x86_64 143/151 Verifying : brotli-1.0.7-3.fc30.x86_64 144/151 Verifying : libidn2-2.1.1a-1.fc30.x86_64 145/151 Verifying : libnghttp2-1.37.0-1.fc31.x86_64 146/151 Verifying : libpsl-0.20.2-6.fc30.x86_64 147/151 Verifying : libssh-0.8.7-1.fc31.x86_64 148/151 Verifying : openldap-2.4.47-1.fc30.x86_64 149/151 Verifying : publicsuffix-list-dafsa-20190128-2.fc30.noarch 150/151 Verifying : cyrus-sasl-lib-2.1.27-0.6rc7.fc30.x86_64 151/151 Installed: bash.x86_64 5.0.2-1.fc30 bzip2.x86_64 1.0.6-29.fc30 coreutils.x86_64 8.31-1.fc31 cpio.x86_64 2.12-10.fc30 diffutils.x86_64 3.7-2.fc30 fedora-release.noarch 31-0.1 findutils.x86_64 1:4.6.0-22.fc30 gawk.x86_64 4.2.1-6.fc30 glibc-minimal-langpack.x86_64 2.29.9000-6.fc31 grep.x86_64 3.1-9.fc30 gzip.x86_64 1.9-8.fc30 info.x86_64 6.6-1.fc30 make.x86_64 1:4.2.1-13.fc30 patch.x86_64 2.7.6-9.fc31 redhat-rpm-config.noarch 128-1.fc30 rpm-build.x86_64 4.14.2.1-4.fc30.1 sed.x86_64 4.5-3.fc30 shadow-utils.x86_64 2:4.6-9.fc31 tar.x86_64 2:1.32-1.fc31 unzip.x86_64 6.0-43.fc30 util-linux.x86_64 2.33.1-4.fc31 which.x86_64 2.21-14.fc30 xz.x86_64 5.2.4-5.fc30 alternatives.x86_64 1.11-4.fc31 audit-libs.x86_64 3.0-0.6.20181218gitbdb72c0.fc30 basesystem.noarch 11-7.fc30 binutils.x86_64 2.32-9.fc31 binutils-gold.x86_64 2.32-9.fc31 boost-regex.x86_64 1.69.0-6.fc30 brotli.x86_64 1.0.7-3.fc30 bzip2-libs.x86_64 1.0.6-29.fc30 ca-certificates.noarch 2018.2.26-3.fc30 coreutils-common.x86_64 8.31-1.fc31 cracklib.x86_64 2.9.6-19.fc30 crypto-policies.noarch 20190211-2.gite3eacfc.fc30 ctags.x86_64 5.8-25.fc30 curl.x86_64 7.64.0-4.fc31 cyrus-sasl-lib.x86_64 2.1.27-0.6rc7.fc30 dwz.x86_64 0.12-10.fc30 efi-srpm-macros.noarch 4-2.fc30 elfutils.x86_64 0.176-1.fc30 elfutils-default-yama-scope.noarch 0.176-1.fc30 elfutils-libelf.x86_64 0.176-1.fc30 elfutils-libs.x86_64 0.176-1.fc30 expat.x86_64 2.2.6-2.fc30 fedora-gpg-keys.noarch 31-0.2 fedora-release-common.noarch 31-0.1 fedora-repos.noarch 31-0.2 fedora-repos-rawhide.noarch 31-0.2 file.x86_64 5.36-2.fc31 file-libs.x86_64 5.36-2.fc31 filesystem.x86_64 3.10-1.fc30 fpc-srpm-macros.noarch 1.2-1.fc31 gc.x86_64 7.6.4-5.fc30 gdb-headless.x86_64 8.3.50.20190304-1.fc31 gdbm-libs.x86_64 1:1.18-4.fc30 ghc-srpm-macros.noarch 1.4.2-9.fc30 glib2.x86_64 2.60.0-3.fc31 glibc.x86_64 2.29.9000-6.fc31 glibc-common.x86_64 2.29.9000-6.fc31 gmp.x86_64 1:6.1.2-10.fc31 gnat-srpm-macros.noarch 4-9.fc30 go-srpm-macros.noarch 2-19.fc30 guile22.x86_64 2.2.4-3.fc30 keyutils-libs.x86_64 1.6-2.fc30 krb5-libs.x86_64 1.17-6.fc31 libacl.x86_64 2.2.53-3.fc30 libarchive.x86_64 3.3.3-4.fc31 libatomic_ops.x86_64 7.6.10-1.fc31 libattr.x86_64 2.4.48-5.fc30 libbabeltrace.x86_64 1.5.6-2.fc30 libblkid.x86_64 2.33.1-4.fc31 libcap.x86_64 2.26-5.fc30 libcap-ng.x86_64 0.7.9-7.fc31 libcom_err.x86_64 1.45.0-1.fc31 libcurl.x86_64 7.64.0-4.fc31 libdb.x86_64 5.3.28-37.fc30 libdb-utils.x86_64 5.3.28-37.fc30 libfdisk.x86_64 2.33.1-4.fc31 libffi.x86_64 3.1-19.fc30 libgcc.x86_64 9.0.1-0.10.fc30 libgcrypt.x86_64 1.8.4-3.fc30 libgpg-error.x86_64 1.33-2.fc30 libicu.x86_64 63.1-2.fc30 libidn2.x86_64 2.1.1a-1.fc30 libipt.x86_64 2.0-2.fc30 libmetalink.x86_64 0.1.3-8.fc30 libmount.x86_64 2.33.1-4.fc31 libnghttp2.x86_64 1.37.0-1.fc31 libnsl2.x86_64 1.2.0-4.20180605git4a062cf.fc30 libpkgconf.x86_64 1.6.0-1.fc30 libpsl.x86_64 0.20.2-6.fc30 libpwquality.x86_64 1.4.0-12.fc30 libselinux.x86_64 2.9-0.rc2.1.fc31 libsemanage.x86_64 2.9-0.rc2.1.fc31 libsepol.x86_64 2.9-0.rc2.1.fc31 libsigsegv.x86_64 2.11-7.fc30 libsmartcols.x86_64 2.33.1-4.fc31 libssh.x86_64 0.8.7-1.fc31 libstdc++.x86_64 9.0.1-0.10.fc30 libtasn1.x86_64 4.13-7.fc30 libtirpc.x86_64 1.1.4-2.rc2.fc30.1 libtool-ltdl.x86_64 2.4.6-29.fc30 libunistring.x86_64 0.9.10-5.fc30 libutempter.x86_64 1.1.6-16.fc30 libuuid.x86_64 2.33.1-4.fc31 libverto.x86_64 0.3.0-7.fc30 libxcrypt.x86_64 4.4.4-2.fc31 libxml2.x86_64 2.9.9-2.fc30 libzstd.x86_64 1.3.8-2.fc30 lua-libs.x86_64 5.3.5-5.fc30 lz4-libs.x86_64 1.8.3-2.fc30 mpfr.x86_64 3.1.6-4.fc30 ncurses.x86_64 6.1-10.20180923.fc30 ncurses-base.noarch 6.1-10.20180923.fc30 ncurses-libs.x86_64 6.1-10.20180923.fc30 nim-srpm-macros.noarch 1-4.fc30 ocaml-srpm-macros.noarch 5-5.fc30 openblas-srpm-macros.noarch 2-5.fc30 openldap.x86_64 2.4.47-1.fc30 openssl-libs.x86_64 1:1.1.1b-3.fc31 p11-kit.x86_64 0.23.15-3.fc30 p11-kit-trust.x86_64 0.23.15-3.fc30 pam.x86_64 1.3.1-17.fc30 pcre.x86_64 8.43-1.fc31 pcre2.x86_64 10.33-0.3.RC1.fc31 perl-srpm-macros.noarch 1-29.fc30 pkgconf.x86_64 1.6.0-1.fc30 pkgconf-m4.noarch 1.6.0-1.fc30 pkgconf-pkg-config.x86_64 1.6.0-1.fc30 popt.x86_64 1.16-17.fc30 publicsuffix-list-dafsa.noarch 20190128-2.fc30 python-pip-wheel.noarch 19.0.3-1.fc31 python-setuptools-wheel.noarch 40.8.0-1.fc30 python-srpm-macros.noarch 3-42.fc30 python3-libs.x86_64 3.7.2-7.fc30 qt5-srpm-macros.noarch 5.12.1-1.fc31 readline.x86_64 8.0-2.fc30 rpm.x86_64 4.14.2.1-4.fc30.1 rpm-build-libs.x86_64 4.14.2.1-4.fc30.1 rpm-libs.x86_64 4.14.2.1-4.fc30.1 rust-srpm-macros.noarch 6-4.fc30 setup.noarch 2.13.2-1.fc31 source-highlight.x86_64 3.1.8-23.fc31 sqlite-libs.x86_64 3.27.2-3.fc31 systemd-libs.x86_64 241-2.gita09c170.fc31 tzdata.noarch 2018i-2.fc30 xz-libs.x86_64 5.2.4-5.fc30 zip.x86_64 3.0-24.fc30 zlib.x86_64 1.2.11-15.fc30 zstd.x86_64 1.3.8-2.fc30 Complete! Finish: dnf install Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Finish: rpmbuild -bs INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/870523-fedora-rawhide-x86_64-1552998168.458912/root/var/log/dnf.rpm.log /var/lib/mock/870523-fedora-rawhide-x86_64-1552998168.458912/root/var/log/dnf.librepo.log /var/lib/mock/870523-fedora-rawhide-x86_64-1552998168.458912/root/var/log/dnf.log Finish: buildsrpm INFO: Done(/tmp/tmp3o9t1_d9/nextpnr.spec) Config(child) 1 minutes 12 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running (timeout=64800): unbuffer /usr/bin/mock --rebuild /var/lib/copr-rpmbuild/results/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm --configdir /var/lib/copr-rpmbuild/results/configs --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1552998242.791504 -r child WARNING: Could not find required logging config file: /var/lib/copr-rpmbuild/results/configs/logging.ini. Using default... INFO: mock.py version 1.4.14 starting (python version = 3.6.5)... Start: init plugins INFO: tmpfs initialized INFO: selinux disabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins Start: run INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm) Config(870523-fedora-rawhide-x86_64) Start: clean chroot Finish: clean chroot Start: chroot init INFO: mounting tmpfs at /var/lib/mock/870523-fedora-rawhide-x86_64-1552998242.791504/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled HW Info plugin Mock Version: 1.4.14 INFO: Mock Version: 1.4.14 Start: dnf update 'Copr repository' 812 kB/s | 66 kB 00:00 fedora 59 MB/s | 61 MB 00:01 Last metadata expiration check: 0:00:00 ago on Tue 19 Mar 2019 12:24:09 PM UTC. Dependencies resolved. Nothing to do. Complete! Finish: dnf update Finish: chroot init Start: build phase for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Start: build setup for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Building target platforms: x86_64 Building for target x86_64 Wrote: /builddir/build/SRPMS/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Last metadata expiration check: 0:00:00 ago on Tue 19 Mar 2019 12:24:42 PM UTC. Package make-1:4.2.1-13.fc30.x86_64 is already installed, skipping. Dependencies resolved. ================================================================================ Package Arch Version Repository Size ================================================================================ Installing: boost-filesystem x86_64 1.69.0-6.fc30 fedora 53 k boost-iostreams x86_64 1.69.0-6.fc30 fedora 44 k boost-program-options x86_64 1.69.0-6.fc30 fedora 147 k boost-python3-devel x86_64 1.69.0-6.fc30 fedora 15 k boost-thread x86_64 1.69.0-6.fc30 fedora 64 k cmake x86_64 3.14.0-1.fc31 fedora 8.3 M gcc-c++ x86_64 9.0.1-0.10.fc30 fedora 11 M icestorm x86_64 0-0.7.20190311gitfa1c932.fc31 copr_base 13 M libglvnd-devel x86_64 1:1.1.1-4.fc31 fedora 12 k python3-devel x86_64 3.7.2-7.fc30 fedora 212 k qt5-qtconfiguration-devel x86_64 0.3.1-8.fc30 fedora 14 k Installing dependencies: acl x86_64 2.2.53-3.fc30 fedora 68 k annobin x86_64 8.71-1.fc31 fedora 173 k avahi-libs x86_64 0.7-18.fc30 fedora 59 k boost x86_64 1.69.0-6.fc30 fedora 16 k boost-atomic x86_64 1.69.0-6.fc30 fedora 17 k boost-chrono x86_64 1.69.0-6.fc30 fedora 30 k boost-container x86_64 1.69.0-6.fc30 fedora 44 k boost-context x86_64 1.69.0-6.fc30 fedora 19 k boost-contract x86_64 1.69.0-6.fc30 fedora 53 k boost-coroutine x86_64 1.69.0-6.fc30 fedora 34 k boost-date-time x86_64 1.69.0-6.fc30 fedora 33 k boost-devel x86_64 1.69.0-6.fc30 fedora 11 M boost-fiber x86_64 1.69.0-6.fc30 fedora 42 k boost-graph x86_64 1.69.0-6.fc30 fedora 116 k boost-locale x86_64 1.69.0-6.fc30 fedora 254 k boost-log x86_64 1.69.0-6.fc30 fedora 446 k boost-math x86_64 1.69.0-6.fc30 fedora 265 k boost-numpy3 x86_64 1.69.0-6.fc30 fedora 29 k boost-python3 x86_64 1.69.0-6.fc30 fedora 88 k boost-random x86_64 1.69.0-6.fc30 fedora 28 k boost-serialization x86_64 1.69.0-6.fc30 fedora 126 k boost-stacktrace x86_64 1.69.0-6.fc30 fedora 29 k boost-system x86_64 1.69.0-6.fc30 fedora 17 k boost-test x86_64 1.69.0-6.fc30 fedora 249 k boost-timer x86_64 1.69.0-6.fc30 fedora 27 k boost-type_erasure x86_64 1.69.0-6.fc30 fedora 37 k boost-wave x86_64 1.69.0-6.fc30 fedora 226 k cmake-data noarch 3.14.0-1.fc31 fedora 1.4 M cmake-filesystem x86_64 3.14.0-1.fc31 fedora 16 k cmake-rpm-macros noarch 3.14.0-1.fc31 fedora 15 k cpp x86_64 9.0.1-0.10.fc30 fedora 9.4 M cryptsetup-libs x86_64 2.1.0-1.fc30 fedora 338 k cups-devel x86_64 1:2.2.10-5.fc31 fedora 52 k cups-libs x86_64 1:2.2.10-5.fc31 fedora 252 k dbus x86_64 1:1.12.12-5.fc31 fedora 11 k dbus-broker x86_64 18-1.fc31 fedora 153 k dbus-common noarch 1:1.12.12-5.fc31 fedora 17 k dbus-libs x86_64 1:1.12.12-5.fc31 fedora 146 k dconf x86_64 0.32.0-1.fc30 fedora 94 k dejavu-fonts-common noarch 2.37-1.fc30 fedora 67 k dejavu-sans-fonts noarch 2.37-1.fc30 fedora 1.5 M device-mapper x86_64 1.02.154-4.fc31 fedora 149 k device-mapper-libs x86_64 1.02.154-4.fc31 fedora 179 k emacs-filesystem noarch 1:26.1-8.fc30 fedora 9.8 k fontconfig x86_64 2.13.1-6.fc31 fedora 236 k fontpackages-filesystem noarch 1.44-24.fc30 fedora 8.2 k freetype x86_64 2.9.1-7.fc30 fedora 355 k gcc x86_64 9.0.1-0.10.fc30 fedora 22 M glibc-devel x86_64 2.29.9000-6.fc31 fedora 1.0 M glibc-headers x86_64 2.29.9000-6.fc31 fedora 481 k glx-utils x86_64 8.4.0-3.20181118git1830dcb.fc30 fedora 37 k gmp-c++ x86_64 1:6.1.2-10.fc31 fedora 17 k gmp-devel x86_64 1:6.1.2-10.fc31 fedora 173 k gnutls x86_64 3.6.6-1.fc30 fedora 868 k gnutls-c++ x86_64 3.6.6-1.fc30 fedora 26 k gnutls-dane x86_64 3.6.6-1.fc30 fedora 25 k gnutls-devel x86_64 3.6.6-1.fc30 fedora 2.1 M graphite2 x86_64 1.3.10-7.fc30 fedora 109 k groff-base x86_64 1.22.3-19.fc30 fedora 938 k harfbuzz x86_64 2.3.1-1.fc30 fedora 534 k hwdata noarch 0.321-1.fc31 fedora 1.5 M iptables-libs x86_64 1.8.0-5.fc30 fedora 48 k isl x86_64 0.16.1-8.fc30 fedora 796 k json-c x86_64 0.13.1-4.fc30 fedora 35 k jsoncpp x86_64 1.8.4-6.fc30 fedora 86 k kernel-headers x86_64 5.1.0-0.rc0.git9.1.fc31 fedora 1.2 M keyutils-libs-devel x86_64 1.6-2.fc30 fedora 49 k kmod-libs x86_64 26-3.fc31 fedora 59 k krb5-devel x86_64 1.17-6.fc31 fedora 438 k libICE x86_64 1.0.9-15.fc30 fedora 65 k libSM x86_64 1.2.3-2.fc30 fedora 40 k libX11 x86_64 1.6.7-2.fc31 fedora 593 k libX11-common noarch 1.6.7-2.fc31 fedora 155 k libX11-devel x86_64 1.6.7-2.fc31 fedora 974 k libX11-xcb x86_64 1.6.7-2.fc31 fedora 10 k libXau x86_64 1.0.9-1.fc30 fedora 30 k libXau-devel x86_64 1.0.9-1.fc30 fedora 14 k libXdamage x86_64 1.1.4-16.fc30 fedora 20 k libXdamage-devel x86_64 1.1.4-16.fc30 fedora 9.5 k libXext x86_64 1.3.3-11.fc30 fedora 35 k libXext-devel x86_64 1.3.3-11.fc30 fedora 73 k libXfixes x86_64 5.0.3-9.fc30 fedora 17 k libXfixes-devel x86_64 5.0.3-9.fc30 fedora 12 k libXrender x86_64 0.9.10-9.fc30 fedora 25 k libXxf86vm x86_64 1.1.4-11.fc30 fedora 17 k libXxf86vm-devel x86_64 1.1.4-11.fc30 fedora 18 k libargon2 x86_64 20161029-7.fc30 fedora 27 k libcom_err-devel x86_64 1.45.0-1.fc31 fedora 17 k libdrm x86_64 2.4.97-2.fc30 fedora 144 k libdrm-devel x86_64 2.4.97-2.fc30 fedora 144 k libevdev x86_64 1.6.0-2.fc30 fedora 37 k libevent x86_64 2.1.8-5.fc30 fedora 232 k libftdi x86_64 1.3-16.fc31 fedora 46 k libgfortran x86_64 9.0.1-0.10.fc30 fedora 694 k libglvnd x86_64 1:1.1.1-4.fc31 fedora 63 k libglvnd-core-devel x86_64 1:1.1.1-4.fc31 fedora 20 k libglvnd-egl x86_64 1:1.1.1-4.fc31 fedora 42 k libglvnd-gles x86_64 1:1.1.1-4.fc31 fedora 30 k libglvnd-glx x86_64 1:1.1.1-4.fc31 fedora 113 k libglvnd-opengl x86_64 1:1.1.1-4.fc31 fedora 37 k libgomp x86_64 9.0.1-0.10.fc30 fedora 210 k libgudev x86_64 232-5.fc30 fedora 31 k libicu-devel x86_64 63.1-2.fc30 fedora 934 k libinput x86_64 1.12.901-2.fc31 fedora 168 k libjpeg-turbo x86_64 2.0.2-1.fc31 fedora 158 k libkadm5 x86_64 1.17-6.fc31 fedora 76 k libmpc x86_64 1.1.0-3.fc30 fedora 56 k libpcap x86_64 14:1.9.0-3.fc30 fedora 149 k libpciaccess x86_64 0.14-3.fc30 fedora 25 k libpng x86_64 2:1.6.36-1.fc31 fedora 106 k libquadmath x86_64 9.0.1-0.10.fc30 fedora 185 k libquadmath-devel x86_64 9.0.1-0.10.fc30 fedora 29 k libseccomp x86_64 2.4.0-0.fc31 fedora 61 k libselinux-devel x86_64 2.9-0.rc2.1.fc31 fedora 117 k libsepol-devel x86_64 2.9-0.rc2.1.fc31 fedora 45 k libstdc++-devel x86_64 9.0.1-0.10.fc30 fedora 2.1 M libtasn1-devel x86_64 4.13-7.fc30 fedora 328 k libtasn1-tools x86_64 4.13-7.fc30 fedora 25 k libusbx x86_64 1.0.22-3.fc31 fedora 65 k libuv x86_64 1:1.26.0-1.fc30 fedora 125 k libverto-devel x86_64 0.3.0-7.fc30 fedora 15 k libwacom x86_64 0.32-3.fc30 fedora 31 k libwacom-data noarch 0.32-3.fc30 fedora 74 k libwayland-client x86_64 1.16.92-1.fc30 fedora 31 k libwayland-server x86_64 1.16.92-1.fc30 fedora 37 k libxcb x86_64 1.13.1-2.fc30 fedora 202 k libxcb-devel x86_64 1.13.1-2.fc30 fedora 1.1 M libxcrypt-devel x86_64 4.4.4-2.fc31 fedora 35 k libxkbcommon x86_64 0.8.3-1.fc30 fedora 108 k libxkbcommon-x11 x86_64 0.8.3-1.fc30 fedora 20 k libxshmfence x86_64 1.3-4.fc30 fedora 11 k mesa-khr-devel x86_64 19.0.0-1.fc31 fedora 19 k mesa-libEGL x86_64 19.0.0-1.fc31 fedora 113 k mesa-libEGL-devel x86_64 19.0.0-1.fc31 fedora 33 k mesa-libGL x86_64 19.0.0-1.fc31 fedora 154 k mesa-libGL-devel x86_64 19.0.0-1.fc31 fedora 163 k mesa-libgbm x86_64 19.0.0-1.fc31 fedora 39 k mesa-libglapi x86_64 19.0.0-1.fc31 fedora 37 k mtdev x86_64 1.1.5-14.fc30 fedora 19 k nettle x86_64 3.4.1rc1-2.fc30 fedora 295 k nettle-devel x86_64 3.4.1rc1-2.fc30 fedora 632 k openblas x86_64 0.3.5-5.fc31 fedora 28 k openblas-threads x86_64 0.3.5-5.fc31 fedora 4.8 M p11-kit-devel x86_64 0.23.15-3.fc30 fedora 80 k pcre2-devel x86_64 10.33-0.3.RC1.fc31 fedora 620 k pcre2-utf16 x86_64 10.33-0.3.RC1.fc31 fedora 231 k pcre2-utf32 x86_64 10.33-0.3.RC1.fc31 fedora 219 k perl-Carp noarch 1.50-418.fc30 fedora 29 k perl-Encode x86_64 4:3.01-10.fc31 fedora 1.5 M perl-Errno x86_64 1.29-434.fc31 fedora 24 k perl-Exporter noarch 5.73-419.fc30 fedora 32 k perl-File-Path noarch 2.16-2.fc30 fedora 36 k perl-File-Temp noarch 1:0.230.900-2.fc30 fedora 61 k perl-Getopt-Long noarch 1:2.50-418.fc30 fedora 61 k perl-HTTP-Tiny noarch 0.076-2.fc30 fedora 55 k perl-IO x86_64 1.39-434.fc31 fedora 89 k perl-MIME-Base64 x86_64 3.15-418.fc30 fedora 29 k perl-PathTools x86_64 3.75-2.fc30 fedora 85 k perl-Pod-Escapes noarch 1:1.07-418.fc30 fedora 20 k perl-Pod-Perldoc noarch 3.28.01-419.fc30 fedora 84 k perl-Pod-Simple noarch 1:3.35-418.fc30 fedora 211 k perl-Pod-Usage noarch 4:1.69-418.fc30 fedora 32 k perl-Scalar-List-Utils x86_64 3:1.50-418.fc30 fedora 63 k perl-Socket x86_64 4:2.029-1.fc31 fedora 53 k perl-Storable x86_64 1:3.11-7.fc30 fedora 94 k perl-Term-ANSIColor noarch 4.06-419.fc30 fedora 45 k perl-Term-Cap noarch 1.17-418.fc30 fedora 22 k perl-Text-ParseWords noarch 3.30-418.fc30 fedora 16 k perl-Text-Tabs+Wrap noarch 2013.0523-418.fc30 fedora 23 k perl-Time-Local noarch 2:1.280-4.fc30 fedora 31 k perl-Unicode-Normalize x86_64 1.26-418.fc30 fedora 80 k perl-constant noarch 1.33-419.fc30 fedora 23 k perl-interpreter x86_64 4:5.28.1-434.fc31 fedora 6.4 M perl-libs x86_64 4:5.28.1-434.fc31 fedora 1.6 M perl-macros x86_64 4:5.28.1-434.fc31 fedora 20 k perl-parent noarch 1:0.237-3.fc30 fedora 14 k perl-podlators noarch 1:4.11-4.fc30 fedora 115 k perl-threads x86_64 1:2.22-418.fc30 fedora 56 k perl-threads-shared x86_64 1.59-2.fc30 fedora 42 k python-rpm-macros noarch 3-42.fc30 fedora 11 k python3 x86_64 3.7.2-7.fc30 fedora 38 k python3-numpy x86_64 1:1.16.2-1.fc31 fedora 3.9 M python3-rpm-generators noarch 7-2.fc30 fedora 23 k python3-rpm-macros noarch 3-42.fc30 fedora 10 k python3-setuptools noarch 40.8.0-1.fc30 fedora 636 k qrencode-libs x86_64 3.4.4-8.fc30 fedora 53 k qt-settings noarch 30.0-1.fc31 fedora 9.6 k qt5-qtbase x86_64 5.12.1-2.fc31 fedora 3.3 M qt5-qtbase-common noarch 5.12.1-2.fc31 fedora 14 k qt5-qtbase-devel x86_64 5.12.1-2.fc31 fedora 4.0 M qt5-qtbase-gui x86_64 5.12.1-2.fc31 fedora 5.7 M qt5-qtconfiguration x86_64 0.3.1-8.fc30 fedora 64 k qt5-qtdeclarative x86_64 5.12.1-1.fc31 fedora 3.4 M qt5-rpm-macros noarch 5.12.1-1.fc31 fedora 10 k rhash x86_64 1.3.8-1.fc30 fedora 168 k systemd x86_64 241-2.gita09c170.fc31 fedora 3.6 M systemd-pam x86_64 241-2.gita09c170.fc31 fedora 149 k systemd-rpm-macros noarch 241-2.gita09c170.fc31 fedora 21 k unbound-libs x86_64 1.8.3-4.fc30 fedora 465 k xcb-util x86_64 0.4.0-12.fc30 fedora 17 k xcb-util-image x86_64 0.4.0-12.fc30 fedora 18 k xcb-util-keysyms x86_64 0.4.0-10.fc30 fedora 13 k xcb-util-renderutil x86_64 0.3.9-13.fc30 fedora 16 k xcb-util-wm x86_64 0.4.1-15.fc30 fedora 28 k xkeyboard-config noarch 2.24-5.fc30 fedora 822 k xorg-x11-proto-devel noarch 2018.4-3.fc30 fedora 280 k zlib-devel x86_64 1.2.11-15.fc30 fedora 46 k Transaction Summary ================================================================================ Install 218 Packages Total download size: 150 M Installed size: 692 M Downloading Packages: (1/218): boost-filesystem-1.69.0-6.fc30.x86_64. 957 kB/s | 53 kB 00:00 (2/218): boost-iostreams-1.69.0-6.fc30.x86_64.r 703 kB/s | 44 kB 00:00 (3/218): boost-program-options-1.69.0-6.fc30.x8 14 MB/s | 147 kB 00:00 (4/218): boost-python3-devel-1.69.0-6.fc30.x86_ 3.1 MB/s | 15 kB 00:00 (5/218): boost-thread-1.69.0-6.fc30.x86_64.rpm 9.5 MB/s | 64 kB 00:00 (6/218): qt5-qtconfiguration-devel-0.3.1-8.fc30 2.4 MB/s | 14 kB 00:00 (7/218): cmake-3.14.0-1.fc31.x86_64.rpm 33 MB/s | 8.3 MB 00:00 (8/218): libglvnd-devel-1.1.1-4.fc31.x86_64.rpm 2.3 MB/s | 12 kB 00:00 (9/218): python3-devel-3.7.2-7.fc30.x86_64.rpm 21 MB/s | 212 kB 00:00 (10/218): libftdi-1.3-16.fc31.x86_64.rpm 7.3 MB/s | 46 kB 00:00 (11/218): boost-system-1.69.0-6.fc30.x86_64.rpm 3.9 MB/s | 17 kB 00:00 (12/218): gcc-c++-9.0.1-0.10.fc30.x86_64.rpm 32 MB/s | 11 MB 00:00 (13/218): boost-numpy3-1.69.0-6.fc30.x86_64.rpm 4.3 MB/s | 29 kB 00:00 (14/218): boost-python3-1.69.0-6.fc30.x86_64.rp 12 MB/s | 88 kB 00:00 (15/218): cmake-data-3.14.0-1.fc31.noarch.rpm 33 MB/s | 1.4 MB 00:00 (16/218): cmake-filesystem-3.14.0-1.fc31.x86_64 2.5 MB/s | 16 kB 00:00 (17/218): cmake-rpm-macros-3.14.0-1.fc31.noarch 2.0 MB/s | 15 kB 00:00 (18/218): jsoncpp-1.8.4-6.fc30.x86_64.rpm 9.5 MB/s | 86 kB 00:00 (19/218): libuv-1.26.0-1.fc30.x86_64.rpm 14 MB/s | 125 kB 00:00 (20/218): rhash-1.3.8-1.fc30.x86_64.rpm 8.7 MB/s | 168 kB 00:00 (21/218): qt5-qtbase-devel-5.12.1-2.fc31.x86_64 33 MB/s | 4.0 MB 00:00 (22/218): boost-devel-1.69.0-6.fc30.x86_64.rpm 29 MB/s | 11 MB 00:00 (23/218): qt5-qtconfiguration-0.3.1-8.fc30.x86_ 1.0 MB/s | 64 kB 00:00 (24/218): libmpc-1.1.0-3.fc30.x86_64.rpm 6.9 MB/s | 56 kB 00:00 (25/218): libglvnd-1.1.1-4.fc31.x86_64.rpm 7.4 MB/s | 63 kB 00:00 (26/218): libglvnd-core-devel-1.1.1-4.fc31.x86_ 4.3 MB/s | 20 kB 00:00 (27/218): libglvnd-egl-1.1.1-4.fc31.x86_64.rpm 7.2 MB/s | 42 kB 00:00 (28/218): libglvnd-gles-1.1.1-4.fc31.x86_64.rpm 5.7 MB/s | 30 kB 00:00 (29/218): libglvnd-opengl-1.1.1-4.fc31.x86_64.r 7.8 MB/s | 37 kB 00:00 (30/218): libglvnd-glx-1.1.1-4.fc31.x86_64.rpm 14 MB/s | 113 kB 00:00 (31/218): python-rpm-macros-3-42.fc30.noarch.rp 2.9 MB/s | 11 kB 00:00 (32/218): python3-rpm-generators-7-2.fc30.noarc 5.5 MB/s | 23 kB 00:00 (33/218): python3-rpm-macros-3-42.fc30.noarch.r 2.8 MB/s | 10 kB 00:00 (34/218): libusbx-1.0.22-3.fc31.x86_64.rpm 9.3 MB/s | 65 kB 00:00 (35/218): boost-1.69.0-6.fc30.x86_64.rpm 3.6 MB/s | 16 kB 00:00 (36/218): boost-atomic-1.69.0-6.fc30.x86_64.rpm 3.3 MB/s | 17 kB 00:00 (37/218): python3-setuptools-40.8.0-1.fc30.noar 25 MB/s | 636 kB 00:00 (38/218): boost-chrono-1.69.0-6.fc30.x86_64.rpm 4.6 MB/s | 30 kB 00:00 (39/218): boost-container-1.69.0-6.fc30.x86_64. 8.2 MB/s | 44 kB 00:00 (40/218): boost-context-1.69.0-6.fc30.x86_64.rp 3.8 MB/s | 19 kB 00:00 (41/218): boost-contract-1.69.0-6.fc30.x86_64.r 9.9 MB/s | 53 kB 00:00 (42/218): boost-coroutine-1.69.0-6.fc30.x86_64. 6.0 MB/s | 34 kB 00:00 (43/218): boost-date-time-1.69.0-6.fc30.x86_64. 6.6 MB/s | 33 kB 00:00 (44/218): boost-fiber-1.69.0-6.fc30.x86_64.rpm 6.9 MB/s | 42 kB 00:00 (45/218): boost-graph-1.69.0-6.fc30.x86_64.rpm 15 MB/s | 116 kB 00:00 (46/218): boost-locale-1.69.0-6.fc30.x86_64.rpm 18 MB/s | 254 kB 00:00 (47/218): boost-log-1.69.0-6.fc30.x86_64.rpm 22 MB/s | 446 kB 00:00 (48/218): boost-math-1.69.0-6.fc30.x86_64.rpm 17 MB/s | 265 kB 00:00 (49/218): boost-random-1.69.0-6.fc30.x86_64.rpm 4.9 MB/s | 28 kB 00:00 (50/218): boost-serialization-1.69.0-6.fc30.x86 17 MB/s | 126 kB 00:00 (51/218): boost-stacktrace-1.69.0-6.fc30.x86_64 4.9 MB/s | 29 kB 00:00 (52/218): boost-timer-1.69.0-6.fc30.x86_64.rpm 5.3 MB/s | 27 kB 00:00 (53/218): boost-test-1.69.0-6.fc30.x86_64.rpm 23 MB/s | 249 kB 00:00 (54/218): boost-type_erasure-1.69.0-6.fc30.x86_ 4.2 MB/s | 37 kB 00:00 (55/218): boost-wave-1.69.0-6.fc30.x86_64.rpm 20 MB/s | 226 kB 00:00 (56/218): libquadmath-devel-9.0.1-0.10.fc30.x86 4.4 MB/s | 29 kB 00:00 (57/218): libicu-devel-63.1-2.fc30.x86_64.rpm 36 MB/s | 934 kB 00:00 (58/218): emacs-filesystem-26.1-8.fc30.noarch.r 2.2 MB/s | 9.8 kB 00:00 (59/218): perl-File-Path-2.16-2.fc30.noarch.rpm 5.9 MB/s | 36 kB 00:00 (60/218): perl-Getopt-Long-2.50-418.fc30.noarch 10 MB/s | 61 kB 00:00 (61/218): perl-IO-1.39-434.fc31.x86_64.rpm 11 MB/s | 89 kB 00:00 (62/218): perl-PathTools-3.75-2.fc30.x86_64.rpm 13 MB/s | 85 kB 00:00 (63/218): icestorm-0-0.7.20190311gitfa1c932.fc3 13 MB/s | 13 MB 00:01 (64/218): python3-numpy-1.16.2-1.fc31.x86_64.rp 23 MB/s | 3.9 MB 00:00 (65/218): perl-interpreter-5.28.1-434.fc31.x86_ 25 MB/s | 6.4 MB 00:00 (66/218): qt5-rpm-macros-5.12.1-1.fc31.noarch.r 2.0 MB/s | 10 kB 00:00 (67/218): dconf-0.32.0-1.fc30.x86_64.rpm 10 MB/s | 94 kB 00:00 (68/218): qt5-qtbase-gui-5.12.1-2.fc31.x86_64.r 30 MB/s | 5.7 MB 00:00 (69/218): qt5-qtbase-5.12.1-2.fc31.x86_64.rpm 13 MB/s | 3.3 MB 00:00 (70/218): mesa-libEGL-19.0.0-1.fc31.x86_64.rpm 5.3 MB/s | 113 kB 00:00 (71/218): libXext-1.3.3-11.fc30.x86_64.rpm 4.2 MB/s | 35 kB 00:00 (72/218): mesa-libGL-19.0.0-1.fc31.x86_64.rpm 17 MB/s | 154 kB 00:00 (73/218): libX11-1.6.7-2.fc31.x86_64.rpm 22 MB/s | 593 kB 00:00 (74/218): perl-Carp-1.50-418.fc30.noarch.rpm 4.4 MB/s | 29 kB 00:00 (75/218): perl-Exporter-5.73-419.fc30.noarch.rp 6.1 MB/s | 32 kB 00:00 (76/218): perl-Pod-Usage-1.69-418.fc30.noarch.r 5.7 MB/s | 32 kB 00:00 (77/218): perl-Text-ParseWords-3.30-418.fc30.no 3.0 MB/s | 16 kB 00:00 (78/218): perl-constant-1.33-419.fc30.noarch.rp 5.0 MB/s | 23 kB 00:00 (79/218): qt5-qtdeclarative-5.12.1-1.fc31.x86_6 24 MB/s | 3.4 MB 00:00 (80/218): perl-Errno-1.29-434.fc31.x86_64.rpm 1.0 MB/s | 24 kB 00:00 (81/218): perl-Socket-2.029-1.fc31.x86_64.rpm 8.4 MB/s | 53 kB 00:00 (82/218): perl-Scalar-List-Utils-1.50-418.fc30. 9.9 MB/s | 63 kB 00:00 (83/218): perl-Text-Tabs+Wrap-2013.0523-418.fc3 3.2 MB/s | 23 kB 00:00 (84/218): perl-Unicode-Normalize-1.26-418.fc30. 10 MB/s | 80 kB 00:00 (85/218): perl-macros-5.28.1-434.fc31.x86_64.rp 4.4 MB/s | 20 kB 00:00 (86/218): perl-parent-0.237-3.fc30.noarch.rpm 2.8 MB/s | 14 kB 00:00 (87/218): perl-threads-2.22-418.fc30.x86_64.rpm 10 MB/s | 56 kB 00:00 (88/218): perl-libs-5.28.1-434.fc31.x86_64.rpm 31 MB/s | 1.6 MB 00:00 (89/218): perl-threads-shared-1.59-2.fc30.x86_6 4.0 MB/s | 42 kB 00:00 (90/218): dbus-libs-1.12.12-5.fc31.x86_64.rpm 18 MB/s | 146 kB 00:00 (91/218): pcre2-utf16-10.33-0.3.RC1.fc31.x86_64 22 MB/s | 231 kB 00:00 (92/218): qt-settings-30.0-1.fc31.noarch.rpm 2.1 MB/s | 9.6 kB 00:00 (93/218): qt5-qtbase-common-5.12.1-2.fc31.noarc 3.5 MB/s | 14 kB 00:00 (94/218): cups-libs-2.2.10-5.fc31.x86_64.rpm 27 MB/s | 252 kB 00:00 (95/218): fontconfig-2.13.1-6.fc31.x86_64.rpm 20 MB/s | 236 kB 00:00 (96/218): openblas-threads-0.3.5-5.fc31.x86_64. 29 MB/s | 4.8 MB 00:00 (97/218): glx-utils-8.4.0-3.20181118git1830dcb. 1.5 MB/s | 37 kB 00:00 (98/218): freetype-2.9.1-7.fc30.x86_64.rpm 9.9 MB/s | 355 kB 00:00 (99/218): libICE-1.0.9-15.fc30.x86_64.rpm 7.4 MB/s | 65 kB 00:00 (100/218): libSM-1.2.3-2.fc30.x86_64.rpm 7.0 MB/s | 40 kB 00:00 (101/218): libX11-xcb-1.6.7-2.fc31.x86_64.rpm 2.3 MB/s | 10 kB 00:00 (102/218): harfbuzz-2.3.1-1.fc30.x86_64.rpm 27 MB/s | 534 kB 00:00 (103/218): libXrender-0.9.10-9.fc30.x86_64.rpm 3.1 MB/s | 25 kB 00:00 (104/218): libdrm-2.4.97-2.fc30.x86_64.rpm 17 MB/s | 144 kB 00:00 (105/218): libpng-1.6.36-1.fc31.x86_64.rpm 15 MB/s | 106 kB 00:00 (106/218): libjpeg-turbo-2.0.2-1.fc31.x86_64.rp 14 MB/s | 158 kB 00:00 (107/218): libxcb-1.13.1-2.fc30.x86_64.rpm 20 MB/s | 202 kB 00:00 (108/218): libxkbcommon-x11-0.8.3-1.fc30.x86_64 3.7 MB/s | 20 kB 00:00 (109/218): libxkbcommon-0.8.3-1.fc30.x86_64.rpm 11 MB/s | 108 kB 00:00 (110/218): mesa-libgbm-19.0.0-1.fc31.x86_64.rpm 7.6 MB/s | 39 kB 00:00 (111/218): xcb-util-image-0.4.0-12.fc30.x86_64. 3.4 MB/s | 18 kB 00:00 (112/218): xcb-util-keysyms-0.4.0-10.fc30.x86_6 2.6 MB/s | 13 kB 00:00 (113/218): xcb-util-renderutil-0.3.9-13.fc30.x8 3.5 MB/s | 16 kB 00:00 (114/218): xcb-util-wm-0.4.1-15.fc30.x86_64.rpm 5.6 MB/s | 28 kB 00:00 (115/218): libwayland-client-1.16.92-1.fc30.x86 6.6 MB/s | 31 kB 00:00 (116/218): libwayland-server-1.16.92-1.fc30.x86 6.2 MB/s | 37 kB 00:00 (117/218): libxshmfence-1.3-4.fc30.x86_64.rpm 2.1 MB/s | 11 kB 00:00 (118/218): mesa-libglapi-19.0.0-1.fc31.x86_64.r 6.4 MB/s | 37 kB 00:00 (119/218): libXdamage-1.1.4-16.fc30.x86_64.rpm 5.0 MB/s | 20 kB 00:00 (120/218): libX11-common-1.6.7-2.fc31.noarch.rp 19 MB/s | 155 kB 00:00 (121/218): libXfixes-5.0.3-9.fc30.x86_64.rpm 3.3 MB/s | 17 kB 00:00 (122/218): libXxf86vm-1.1.4-11.fc30.x86_64.rpm 2.0 MB/s | 17 kB 00:00 (123/218): perl-Pod-Perldoc-3.28.01-419.fc30.no 11 MB/s | 84 kB 00:00 (124/218): perl-podlators-4.11-4.fc30.noarch.rp 17 MB/s | 115 kB 00:00 (125/218): avahi-libs-0.7-18.fc30.x86_64.rpm 9.7 MB/s | 59 kB 00:00 (126/218): libgfortran-9.0.1-0.10.fc30.x86_64.r 31 MB/s | 694 kB 00:00 (127/218): fontpackages-filesystem-1.44-24.fc30 1.1 MB/s | 8.2 kB 00:00 (128/218): graphite2-1.3.10-7.fc30.x86_64.rpm 19 MB/s | 109 kB 00:00 (129/218): libpciaccess-0.14-3.fc30.x86_64.rpm 4.4 MB/s | 25 kB 00:00 (130/218): gnutls-3.6.6-1.fc30.x86_64.rpm 35 MB/s | 868 kB 00:00 (131/218): libXau-1.0.9-1.fc30.x86_64.rpm 2.9 MB/s | 30 kB 00:00 (132/218): xcb-util-0.4.0-12.fc30.x86_64.rpm 3.5 MB/s | 17 kB 00:00 (133/218): libquadmath-9.0.1-0.10.fc30.x86_64.r 19 MB/s | 185 kB 00:00 (134/218): perl-File-Temp-0.230.900-2.fc30.noar 6.8 MB/s | 61 kB 00:00 (135/218): xkeyboard-config-2.24-5.fc30.noarch. 24 MB/s | 822 kB 00:00 (136/218): perl-HTTP-Tiny-0.076-2.fc30.noarch.r 9.0 MB/s | 55 kB 00:00 (137/218): perl-Term-ANSIColor-4.06-419.fc30.no 9.0 MB/s | 45 kB 00:00 (138/218): perl-Pod-Simple-3.35-418.fc30.noarch 22 MB/s | 211 kB 00:00 (139/218): groff-base-1.22.3-19.fc30.x86_64.rpm 26 MB/s | 938 kB 00:00 (140/218): perl-Term-Cap-1.17-418.fc30.noarch.r 2.4 MB/s | 22 kB 00:00 (141/218): nettle-3.4.1rc1-2.fc30.x86_64.rpm 23 MB/s | 295 kB 00:00 (142/218): perl-MIME-Base64-3.15-418.fc30.x86_6 3.9 MB/s | 29 kB 00:00 (143/218): perl-Time-Local-1.280-4.fc30.noarch. 3.4 MB/s | 31 kB 00:00 (144/218): perl-Pod-Escapes-1.07-418.fc30.noarc 2.2 MB/s | 20 kB 00:00 (145/218): libevdev-1.6.0-2.fc30.x86_64.rpm 8.2 MB/s | 37 kB 00:00 (146/218): libinput-1.12.901-2.fc31.x86_64.rpm 21 MB/s | 168 kB 00:00 (147/218): libwacom-0.32-3.fc30.x86_64.rpm 7.7 MB/s | 31 kB 00:00 (148/218): mtdev-1.1.5-14.fc30.x86_64.rpm 5.0 MB/s | 19 kB 00:00 (149/218): hwdata-0.321-1.fc31.noarch.rpm 38 MB/s | 1.5 MB 00:00 (150/218): libgudev-232-5.fc30.x86_64.rpm 2.2 MB/s | 31 kB 00:00 (151/218): libwacom-data-0.32-3.fc30.noarch.rpm 4.9 MB/s | 74 kB 00:00 (152/218): mesa-libEGL-devel-19.0.0-1.fc31.x86_ 7.6 MB/s | 33 kB 00:00 (153/218): cups-devel-2.2.10-5.fc31.x86_64.rpm 7.6 MB/s | 52 kB 00:00 (154/218): mesa-khr-devel-19.0.0-1.fc31.x86_64. 4.0 MB/s | 19 kB 00:00 (155/218): python3-3.7.2-7.fc30.x86_64.rpm 8.8 MB/s | 38 kB 00:00 (156/218): mesa-libGL-devel-19.0.0-1.fc31.x86_6 21 MB/s | 163 kB 00:00 (157/218): perl-Storable-3.11-7.fc30.x86_64.rpm 15 MB/s | 94 kB 00:00 (158/218): openblas-0.3.5-5.fc31.x86_64.rpm 5.3 MB/s | 28 kB 00:00 (159/218): libX11-devel-1.6.7-2.fc31.x86_64.rpm 43 MB/s | 974 kB 00:00 (160/218): xorg-x11-proto-devel-2018.4-3.fc30.n 12 MB/s | 280 kB 00:00 (161/218): libXdamage-devel-1.1.4-16.fc30.x86_6 1.7 MB/s | 9.5 kB 00:00 (162/218): libXext-devel-1.3.3-11.fc30.x86_64.r 9.7 MB/s | 73 kB 00:00 (163/218): libXfixes-devel-5.0.3-9.fc30.x86_64. 2.9 MB/s | 12 kB 00:00 (164/218): perl-Encode-3.01-10.fc31.x86_64.rpm 27 MB/s | 1.5 MB 00:00 (165/218): libXxf86vm-devel-1.1.4-11.fc30.x86_6 1.4 MB/s | 18 kB 00:00 (166/218): libdrm-devel-2.4.97-2.fc30.x86_64.rp 9.3 MB/s | 144 kB 00:00 (167/218): libXau-devel-1.0.9-1.fc30.x86_64.rpm 1.8 MB/s | 14 kB 00:00 (168/218): kernel-headers-5.1.0-0.rc0.git9.1.fc 38 MB/s | 1.2 MB 00:00 (169/218): libxcb-devel-1.13.1-2.fc30.x86_64.rp 22 MB/s | 1.1 MB 00:00 (170/218): annobin-8.71-1.fc31.x86_64.rpm 10 MB/s | 173 kB 00:00 (171/218): isl-0.16.1-8.fc30.x86_64.rpm 30 MB/s | 796 kB 00:00 (172/218): libgomp-9.0.1-0.10.fc30.x86_64.rpm 17 MB/s | 210 kB 00:00 (173/218): systemd-241-2.gita09c170.fc31.x86_64 48 MB/s | 3.6 MB 00:00 (174/218): acl-2.2.53-3.fc30.x86_64.rpm 10 MB/s | 68 kB 00:00 (175/218): cryptsetup-libs-2.1.0-1.fc30.x86_64. 27 MB/s | 338 kB 00:00 (176/218): iptables-libs-1.8.0-5.fc30.x86_64.rp 7.6 MB/s | 48 kB 00:00 (177/218): kmod-libs-26-3.fc31.x86_64.rpm 8.3 MB/s | 59 kB 00:00 (178/218): libseccomp-2.4.0-0.fc31.x86_64.rpm 8.0 MB/s | 61 kB 00:00 (179/218): qrencode-libs-3.4.4-8.fc30.x86_64.rp 8.2 MB/s | 53 kB 00:00 (180/218): systemd-pam-241-2.gita09c170.fc31.x8 13 MB/s | 149 kB 00:00 (181/218): systemd-rpm-macros-241-2.gita09c170. 2.9 MB/s | 21 kB 00:00 (182/218): device-mapper-libs-1.02.154-4.fc31.x 16 MB/s | 179 kB 00:00 (183/218): json-c-0.13.1-4.fc30.x86_64.rpm 5.0 MB/s | 35 kB 00:00 (184/218): libargon2-20161029-7.fc30.x86_64.rpm 4.1 MB/s | 27 kB 00:00 (185/218): libpcap-1.9.0-3.fc30.x86_64.rpm 14 MB/s | 149 kB 00:00 (186/218): device-mapper-1.02.154-4.fc31.x86_64 14 MB/s | 149 kB 00:00 (187/218): cpp-9.0.1-0.10.fc30.x86_64.rpm 28 MB/s | 9.4 MB 00:00 (188/218): libstdc++-devel-9.0.1-0.10.fc30.x86_ 18 MB/s | 2.1 MB 00:00 (189/218): libxcrypt-devel-4.4.4-2.fc31.x86_64. 6.1 MB/s | 35 kB 00:00 (190/218): dbus-1.12.12-5.fc31.x86_64.rpm 1.4 MB/s | 11 kB 00:00 (191/218): dbus-broker-18-1.fc31.x86_64.rpm 16 MB/s | 153 kB 00:00 (192/218): glibc-devel-2.29.9000-6.fc31.x86_64. 29 MB/s | 1.0 MB 00:00 (193/218): dbus-common-1.12.12-5.fc31.noarch.rp 1.4 MB/s | 17 kB 00:00 (194/218): gnutls-c++-3.6.6-1.fc30.x86_64.rpm 2.3 MB/s | 26 kB 00:00 (195/218): gnutls-dane-3.6.6-1.fc30.x86_64.rpm 2.0 MB/s | 25 kB 00:00 (196/218): unbound-libs-1.8.3-4.fc30.x86_64.rpm 22 MB/s | 465 kB 00:00 (197/218): gnutls-devel-3.6.6-1.fc30.x86_64.rpm 33 MB/s | 2.1 MB 00:00 (198/218): libevent-2.1.8-5.fc30.x86_64.rpm 11 MB/s | 232 kB 00:00 (199/218): libkadm5-1.17-6.fc31.x86_64.rpm 11 MB/s | 76 kB 00:00 (200/218): krb5-devel-1.17-6.fc31.x86_64.rpm 28 MB/s | 438 kB 00:00 (201/218): zlib-devel-1.2.11-15.fc30.x86_64.rpm 7.4 MB/s | 46 kB 00:00 (202/218): libcom_err-devel-1.45.0-1.fc31.x86_6 4.1 MB/s | 17 kB 00:00 (203/218): keyutils-libs-devel-1.6-2.fc30.x86_6 6.9 MB/s | 49 kB 00:00 (204/218): libselinux-devel-2.9-0.rc2.1.fc31.x8 16 MB/s | 117 kB 00:00 (205/218): libsepol-devel-2.9-0.rc2.1.fc31.x86_ 6.0 MB/s | 45 kB 00:00 (206/218): libverto-devel-0.3.0-7.fc30.x86_64.r 3.2 MB/s | 15 kB 00:00 (207/218): libtasn1-tools-4.13-7.fc30.x86_64.rp 4.9 MB/s | 25 kB 00:00 (208/218): libtasn1-devel-4.13-7.fc30.x86_64.rp 24 MB/s | 328 kB 00:00 (209/218): gmp-devel-6.1.2-10.fc31.x86_64.rpm 17 MB/s | 173 kB 00:00 (210/218): gmp-c++-6.1.2-10.fc31.x86_64.rpm 3.5 MB/s | 17 kB 00:00 (211/218): nettle-devel-3.4.1rc1-2.fc30.x86_64. 25 MB/s | 632 kB 00:00 (212/218): p11-kit-devel-0.23.15-3.fc30.x86_64. 11 MB/s | 80 kB 00:00 (213/218): glibc-headers-2.29.9000-6.fc31.x86_6 30 MB/s | 481 kB 00:00 (214/218): pcre2-devel-10.33-0.3.RC1.fc31.x86_6 26 MB/s | 620 kB 00:00 (215/218): pcre2-utf32-10.33-0.3.RC1.fc31.x86_6 11 MB/s | 219 kB 00:00 (216/218): dejavu-fonts-common-2.37-1.fc30.noar 4.1 MB/s | 67 kB 00:00 (217/218): dejavu-sans-fonts-2.37-1.fc30.noarch 28 MB/s | 1.5 MB 00:00 (218/218): gcc-9.0.1-0.10.fc30.x86_64.rpm 30 MB/s | 22 MB 00:00 -------------------------------------------------------------------------------- Total 54 MB/s | 150 MB 00:02 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : perl-Exporter-5.73-419.fc30.noarch 1/218 Installing : perl-libs-4:5.28.1-434.fc31.x86_64 2/218 Installing : perl-Carp-1.50-418.fc30.noarch 3/218 Installing : boost-system-1.69.0-6.fc30.x86_64 4/218 Installing : boost-chrono-1.69.0-6.fc30.x86_64 5/218 Installing : boost-thread-1.69.0-6.fc30.x86_64 6/218 Installing : xorg-x11-proto-devel-2018.4-3.fc30.noarch 7/218 Installing : boost-filesystem-1.69.0-6.fc30.x86_64 8/218 Installing : perl-parent-1:0.237-3.fc30.noarch 9/218 Installing : libglvnd-1:1.1.1-4.fc31.x86_64 10/218 Installing : perl-Scalar-List-Utils-3:1.50-418.fc30.x86_64 11/218 Installing : libX11-xcb-1.6.7-2.fc31.x86_64 12/218 Installing : boost-date-time-1.69.0-6.fc30.x86_64 13/218 Installing : boost-context-1.69.0-6.fc30.x86_64 14/218 Installing : cmake-filesystem-3.14.0-1.fc31.x86_64 15/218 Installing : boost-timer-1.69.0-6.fc30.x86_64 16/218 Installing : python3-3.7.2-7.fc30.x86_64 17/218 Installing : python3-setuptools-40.8.0-1.fc30.noarch 18/218 Installing : mesa-libglapi-19.0.0-1.fc31.x86_64 19/218 Installing : boost-atomic-1.69.0-6.fc30.x86_64 20/218 Installing : libmpc-1.1.0-3.fc30.x86_64 21/218 Installing : boost-log-1.69.0-6.fc30.x86_64 22/218 Installing : cmake-rpm-macros-3.14.0-1.fc31.noarch 23/218 Installing : boost-test-1.69.0-6.fc30.x86_64 24/218 Installing : boost-coroutine-1.69.0-6.fc30.x86_64 25/218 Installing : boost-fiber-1.69.0-6.fc30.x86_64 26/218 Installing : boost-wave-1.69.0-6.fc30.x86_64 27/218 Installing : boost-locale-1.69.0-6.fc30.x86_64 28/218 Installing : boost-type_erasure-1.69.0-6.fc30.x86_64 29/218 Installing : boost-contract-1.69.0-6.fc30.x86_64 30/218 Installing : boost-random-1.69.0-6.fc30.x86_64 31/218 Installing : perl-Text-ParseWords-3.30-418.fc30.noarch 32/218 Installing : kernel-headers-5.1.0-0.rc0.git9.1.fc31.x86_64 33/218 Installing : mesa-khr-devel-19.0.0-1.fc31.x86_64 34/218 Installing : nettle-3.4.1rc1-2.fc30.x86_64 35/218 Installing : gnutls-3.6.6-1.fc30.x86_64 36/218 Installing : libquadmath-9.0.1-0.10.fc30.x86_64 37/218 Installing : libXau-1.0.9-1.fc30.x86_64 38/218 Installing : libxcb-1.13.1-2.fc30.x86_64 39/218 Installing : fontpackages-filesystem-1.44-24.fc30.noarch 40/218 Installing : libxshmfence-1.3-4.fc30.x86_64 41/218 Installing : libwayland-server-1.16.92-1.fc30.x86_64 42/218 Installing : libpng-2:1.6.36-1.fc31.x86_64 43/218 Installing : freetype-2.9.1-7.fc30.x86_64 44/218 Installing : libICE-1.0.9-15.fc30.x86_64 45/218 Installing : pcre2-utf16-10.33-0.3.RC1.fc31.x86_64 46/218 Installing : dbus-libs-1:1.12.12-5.fc31.x86_64 47/218 Installing : boost-stacktrace-1.69.0-6.fc30.x86_64 48/218 Installing : boost-serialization-1.69.0-6.fc30.x86_64 49/218 Installing : boost-math-1.69.0-6.fc30.x86_64 50/218 Installing : boost-graph-1.69.0-6.fc30.x86_64 51/218 Installing : boost-container-1.69.0-6.fc30.x86_64 52/218 Installing : boost-python3-1.69.0-6.fc30.x86_64 53/218 Installing : boost-program-options-1.69.0-6.fc30.x86_64 54/218 Installing : boost-iostreams-1.69.0-6.fc30.x86_64 55/218 Installing : boost-1.69.0-6.fc30.x86_64 56/218 Installing : avahi-libs-0.7-18.fc30.x86_64 57/218 Installing : cups-libs-1:2.2.10-5.fc31.x86_64 58/218 Installing : libSM-1.2.3-2.fc30.x86_64 59/218 Installing : dejavu-fonts-common-2.37-1.fc30.noarch 60/218 Installing : dejavu-sans-fonts-2.37-1.fc30.noarch 61/218 Installing : fontconfig-2.13.1-6.fc31.x86_64 62/218 Running scriptlet: fontconfig-2.13.1-6.fc31.x86_64 62/218 Installing : xcb-util-keysyms-0.4.0-10.fc30.x86_64 63/218 Installing : xcb-util-renderutil-0.3.9-13.fc30.x86_64 64/218 Installing : xcb-util-wm-0.4.1-15.fc30.x86_64 65/218 Installing : xcb-util-0.4.0-12.fc30.x86_64 66/218 Installing : xcb-util-image-0.4.0-12.fc30.x86_64 67/218 Installing : libXau-devel-1.0.9-1.fc30.x86_64 68/218 Installing : libxcb-devel-1.13.1-2.fc30.x86_64 69/218 Installing : libgfortran-9.0.1-0.10.fc30.x86_64 70/218 Installing : gnutls-c++-3.6.6-1.fc30.x86_64 71/218 Running scriptlet: glibc-headers-2.29.9000-6.fc31.x86_64 72/218 Installing : glibc-headers-2.29.9000-6.fc31.x86_64 72/218 Installing : libxcrypt-devel-4.4.4-2.fc31.x86_64 73/218 Installing : glibc-devel-2.29.9000-6.fc31.x86_64 74/218 Installing : cpp-9.0.1-0.10.fc30.x86_64 75/218 Installing : python3-rpm-generators-7-2.fc30.noarch 76/218 Installing : libglvnd-opengl-1:1.1.1-4.fc31.x86_64 77/218 Installing : perl-Unicode-Normalize-1.26-418.fc30.x86_64 78/218 Installing : perl-macros-4:5.28.1-434.fc31.x86_64 79/218 Installing : perl-constant-1.33-419.fc30.noarch 80/218 Installing : perl-PathTools-3.75-2.fc30.x86_64 81/218 Installing : perl-Errno-1.29-434.fc31.x86_64 82/218 Installing : perl-IO-1.39-434.fc31.x86_64 83/218 Installing : perl-Socket-4:2.029-1.fc31.x86_64 84/218 Installing : perl-Text-Tabs+Wrap-2013.0523-418.fc30.noarch 85/218 Installing : perl-threads-1:2.22-418.fc30.x86_64 86/218 Installing : perl-threads-shared-1.59-2.fc30.x86_64 87/218 Installing : perl-interpreter-4:5.28.1-434.fc31.x86_64 88/218 Installing : perl-File-Path-2.16-2.fc30.noarch 89/218 Installing : perl-MIME-Base64-3.15-418.fc30.x86_64 90/218 Installing : perl-File-Temp-1:0.230.900-2.fc30.noarch 91/218 Installing : perl-Term-Cap-1.17-418.fc30.noarch 92/218 Installing : perl-Pod-Escapes-1:1.07-418.fc30.noarch 93/218 Installing : perl-Storable-1:3.11-7.fc30.x86_64 94/218 Installing : perl-Time-Local-2:1.280-4.fc30.noarch 95/218 Installing : perl-HTTP-Tiny-0.076-2.fc30.noarch 96/218 Installing : perl-Term-ANSIColor-4.06-419.fc30.noarch 97/218 Installing : pcre2-utf32-10.33-0.3.RC1.fc31.x86_64 98/218 Installing : pcre2-devel-10.33-0.3.RC1.fc31.x86_64 99/218 Installing : p11-kit-devel-0.23.15-3.fc30.x86_64 100/218 Installing : gmp-c++-1:6.1.2-10.fc31.x86_64 101/218 Installing : gmp-devel-1:6.1.2-10.fc31.x86_64 102/218 Installing : nettle-devel-3.4.1rc1-2.fc30.x86_64 103/218 Installing : libtasn1-tools-4.13-7.fc30.x86_64 104/218 Installing : libtasn1-devel-4.13-7.fc30.x86_64 105/218 Installing : libverto-devel-0.3.0-7.fc30.x86_64 106/218 Installing : libsepol-devel-2.9-0.rc2.1.fc31.x86_64 107/218 Installing : libselinux-devel-2.9-0.rc2.1.fc31.x86_64 108/218 Installing : libcom_err-devel-1.45.0-1.fc31.x86_64 109/218 Installing : keyutils-libs-devel-1.6-2.fc30.x86_64 110/218 Installing : zlib-devel-1.2.11-15.fc30.x86_64 111/218 Installing : libkadm5-1.17-6.fc31.x86_64 112/218 Installing : krb5-devel-1.17-6.fc31.x86_64 113/218 Installing : libevent-2.1.8-5.fc30.x86_64 114/218 Installing : libstdc++-devel-9.0.1-0.10.fc30.x86_64 115/218 Installing : libpcap-14:1.9.0-3.fc30.x86_64 116/218 Installing : iptables-libs-1.8.0-5.fc30.x86_64 117/218 Installing : libargon2-20161029-7.fc30.x86_64 118/218 Installing : json-c-0.13.1-4.fc30.x86_64 119/218 Installing : systemd-rpm-macros-241-2.gita09c170.fc31.noarch 120/218 Installing : qrencode-libs-3.4.4-8.fc30.x86_64 121/218 Installing : libseccomp-2.4.0-0.fc31.x86_64 122/218 Installing : kmod-libs-26-3.fc31.x86_64 123/218 Installing : acl-2.2.53-3.fc30.x86_64 124/218 Installing : libgomp-9.0.1-0.10.fc30.x86_64 125/218 Installing : isl-0.16.1-8.fc30.x86_64 126/218 Installing : gcc-9.0.1-0.10.fc30.x86_64 127/218 Installing : gcc-c++-9.0.1-0.10.fc30.x86_64 128/218 Installing : libquadmath-devel-9.0.1-0.10.fc30.x86_64 129/218 Installing : openblas-0.3.5-5.fc31.x86_64 130/218 Installing : openblas-threads-0.3.5-5.fc31.x86_64 131/218 Installing : python3-numpy-1:1.16.2-1.fc31.x86_64 132/218 Installing : boost-numpy3-1.69.0-6.fc30.x86_64 133/218 Installing : libwacom-data-0.32-3.fc30.noarch 134/218 Installing : libgudev-232-5.fc30.x86_64 135/218 Installing : libwacom-0.32-3.fc30.x86_64 136/218 Installing : mtdev-1.1.5-14.fc30.x86_64 137/218 Installing : libevdev-1.6.0-2.fc30.x86_64 138/218 Installing : libinput-1.12.901-2.fc31.x86_64 139/218 Running scriptlet: libinput-1.12.901-2.fc31.x86_64 139/218 Installing : hwdata-0.321-1.fc31.noarch 140/218 Installing : libpciaccess-0.14-3.fc30.x86_64 141/218 Installing : libdrm-2.4.97-2.fc30.x86_64 142/218 Installing : mesa-libgbm-19.0.0-1.fc31.x86_64 143/218 Installing : libdrm-devel-2.4.97-2.fc30.x86_64 144/218 Installing : groff-base-1.22.3-19.fc30.x86_64 145/218 Installing : perl-Pod-Simple-1:3.35-418.fc30.noarch 146/218 Installing : perl-Encode-4:3.01-10.fc31.x86_64 147/218 Installing : perl-Getopt-Long-1:2.50-418.fc30.noarch 148/218 Installing : perl-podlators-1:4.11-4.fc30.noarch 149/218 Installing : perl-Pod-Perldoc-3.28.01-419.fc30.noarch 150/218 Installing : perl-Pod-Usage-4:1.69-418.fc30.noarch 151/218 Installing : xkeyboard-config-2.24-5.fc30.noarch 152/218 Installing : libxkbcommon-0.8.3-1.fc30.x86_64 153/218 Installing : device-mapper-1.02.154-4.fc31.x86_64 154/218 Installing : device-mapper-libs-1.02.154-4.fc31.x86_64 155/218 Installing : cryptsetup-libs-2.1.0-1.fc30.x86_64 156/218 Installing : systemd-pam-241-2.gita09c170.fc31.x86_64 157/218 Installing : dbus-1:1.12.12-5.fc31.x86_64 158/218 Running scriptlet: systemd-241-2.gita09c170.fc31.x86_64 159/218 Installing : systemd-241-2.gita09c170.fc31.x86_64 159/218 Running scriptlet: systemd-241-2.gita09c170.fc31.x86_64 159/218 Installing : dbus-common-1:1.12.12-5.fc31.noarch 160/218 Running scriptlet: dbus-common-1:1.12.12-5.fc31.noarch 160/218 Running scriptlet: dbus-broker-18-1.fc31.x86_64 161/218 Installing : dbus-broker-18-1.fc31.x86_64 161/218 Running scriptlet: dbus-broker-18-1.fc31.x86_64 161/218 Running scriptlet: unbound-libs-1.8.3-4.fc30.x86_64 162/218 Installing : unbound-libs-1.8.3-4.fc30.x86_64 162/218 Running scriptlet: unbound-libs-1.8.3-4.fc30.x86_64 162/218 Installing : gnutls-dane-3.6.6-1.fc30.x86_64 163/218 Installing : gnutls-devel-3.6.6-1.fc30.x86_64 164/218 Installing : cups-devel-1:2.2.10-5.fc31.x86_64 165/218 Installing : dconf-0.32.0-1.fc30.x86_64 166/218 Installing : libxkbcommon-x11-0.8.3-1.fc30.x86_64 167/218 Installing : graphite2-1.3.10-7.fc30.x86_64 168/218 Installing : harfbuzz-2.3.1-1.fc30.x86_64 169/218 Installing : libX11-common-1.6.7-2.fc31.noarch 170/218 Installing : libX11-1.6.7-2.fc31.x86_64 171/218 Installing : libX11-devel-1.6.7-2.fc31.x86_64 172/218 Installing : libXext-1.3.3-11.fc30.x86_64 173/218 Installing : libXext-devel-1.3.3-11.fc30.x86_64 174/218 Installing : libXfixes-5.0.3-9.fc30.x86_64 175/218 Installing : libXfixes-devel-5.0.3-9.fc30.x86_64 176/218 Installing : libXdamage-1.1.4-16.fc30.x86_64 177/218 Installing : libXdamage-devel-1.1.4-16.fc30.x86_64 178/218 Installing : libXxf86vm-1.1.4-11.fc30.x86_64 179/218 Installing : mesa-libGL-19.0.0-1.fc31.x86_64 180/218 Installing : libglvnd-glx-1:1.1.1-4.fc31.x86_64 181/218 Installing : libXxf86vm-devel-1.1.4-11.fc30.x86_64 182/218 Installing : glx-utils-8.4.0-3.20181118git1830dcb.fc30.x86_64 183/218 Installing : libXrender-0.9.10-9.fc30.x86_64 184/218 Installing : libwayland-client-1.16.92-1.fc30.x86_64 185/218 Installing : mesa-libEGL-19.0.0-1.fc31.x86_64 186/218 Installing : libglvnd-egl-1:1.1.1-4.fc31.x86_64 187/218 Installing : libglvnd-gles-1:1.1.1-4.fc31.x86_64 188/218 Installing : libjpeg-turbo-2.0.2-1.fc31.x86_64 189/218 Installing : qt-settings-30.0-1.fc31.noarch 190/218 Installing : qt5-qtbase-common-5.12.1-2.fc31.noarch 191/218 Running scriptlet: qt5-qtbase-5.12.1-2.fc31.x86_64 192/218 Installing : qt5-qtbase-5.12.1-2.fc31.x86_64 192/218 Running scriptlet: qt5-qtbase-5.12.1-2.fc31.x86_64 192/218 Installing : qt5-qtbase-gui-5.12.1-2.fc31.x86_64 193/218 Installing : qt5-qtdeclarative-5.12.1-1.fc31.x86_64 194/218 Installing : qt5-qtconfiguration-0.3.1-8.fc30.x86_64 195/218 Installing : emacs-filesystem-1:26.1-8.fc30.noarch 196/218 Installing : libicu-devel-63.1-2.fc30.x86_64 197/218 Installing : boost-devel-1.69.0-6.fc30.x86_64 198/218 Installing : libusbx-1.0.22-3.fc31.x86_64 199/218 Installing : libftdi-1.3-16.fc31.x86_64 200/218 Installing : python3-rpm-macros-3-42.fc30.noarch 201/218 Installing : python-rpm-macros-3-42.fc30.noarch 202/218 Installing : libglvnd-core-devel-1:1.1.1-4.fc31.x86_64 203/218 Installing : libglvnd-devel-1:1.1.1-4.fc31.x86_64 204/218 Installing : mesa-libEGL-devel-19.0.0-1.fc31.x86_64 205/218 Installing : mesa-libGL-devel-19.0.0-1.fc31.x86_64 206/218 Installing : rhash-1.3.8-1.fc30.x86_64 207/218 Installing : libuv-1:1.26.0-1.fc30.x86_64 208/218 Installing : jsoncpp-1.8.4-6.fc30.x86_64 209/218 Installing : cmake-data-3.14.0-1.fc31.noarch 210/218 Installing : cmake-3.14.0-1.fc31.x86_64 211/218 Installing : qt5-rpm-macros-5.12.1-1.fc31.noarch 212/218 Installing : qt5-qtbase-devel-5.12.1-2.fc31.x86_64 213/218 Installing : qt5-qtconfiguration-devel-0.3.1-8.fc30.x86_64 214/218 Installing : python3-devel-3.7.2-7.fc30.x86_64 215/218 Installing : icestorm-0-0.7.20190311gitfa1c932.fc31.x86_64 216/218 Installing : boost-python3-devel-1.69.0-6.fc30.x86_64 217/218 Installing : annobin-8.71-1.fc31.x86_64 218/218 Running scriptlet: dconf-0.32.0-1.fc30.x86_64 218/218 Running scriptlet: annobin-8.71-1.fc31.x86_64 218/218 Running scriptlet: fontconfig-2.13.1-6.fc31.x86_64 218/218 Running scriptlet: systemd-241-2.gita09c170.fc31.x86_64 218/218 Verifying : icestorm-0-0.7.20190311gitfa1c932.fc31.x86_64 1/218 Verifying : boost-filesystem-1.69.0-6.fc30.x86_64 2/218 Verifying : boost-iostreams-1.69.0-6.fc30.x86_64 3/218 Verifying : boost-program-options-1.69.0-6.fc30.x86_64 4/218 Verifying : boost-python3-devel-1.69.0-6.fc30.x86_64 5/218 Verifying : boost-thread-1.69.0-6.fc30.x86_64 6/218 Verifying : cmake-3.14.0-1.fc31.x86_64 7/218 Verifying : qt5-qtconfiguration-devel-0.3.1-8.fc30.x86_64 8/218 Verifying : gcc-c++-9.0.1-0.10.fc30.x86_64 9/218 Verifying : libglvnd-devel-1:1.1.1-4.fc31.x86_64 10/218 Verifying : python3-devel-3.7.2-7.fc30.x86_64 11/218 Verifying : libftdi-1.3-16.fc31.x86_64 12/218 Verifying : boost-system-1.69.0-6.fc30.x86_64 13/218 Verifying : boost-devel-1.69.0-6.fc30.x86_64 14/218 Verifying : boost-numpy3-1.69.0-6.fc30.x86_64 15/218 Verifying : boost-python3-1.69.0-6.fc30.x86_64 16/218 Verifying : cmake-data-3.14.0-1.fc31.noarch 17/218 Verifying : cmake-filesystem-3.14.0-1.fc31.x86_64 18/218 Verifying : cmake-rpm-macros-3.14.0-1.fc31.noarch 19/218 Verifying : jsoncpp-1.8.4-6.fc30.x86_64 20/218 Verifying : libuv-1:1.26.0-1.fc30.x86_64 21/218 Verifying : rhash-1.3.8-1.fc30.x86_64 22/218 Verifying : qt5-qtbase-devel-5.12.1-2.fc31.x86_64 23/218 Verifying : qt5-qtconfiguration-0.3.1-8.fc30.x86_64 24/218 Verifying : libmpc-1.1.0-3.fc30.x86_64 25/218 Verifying : libglvnd-1:1.1.1-4.fc31.x86_64 26/218 Verifying : libglvnd-core-devel-1:1.1.1-4.fc31.x86_64 27/218 Verifying : libglvnd-egl-1:1.1.1-4.fc31.x86_64 28/218 Verifying : libglvnd-gles-1:1.1.1-4.fc31.x86_64 29/218 Verifying : libglvnd-glx-1:1.1.1-4.fc31.x86_64 30/218 Verifying : libglvnd-opengl-1:1.1.1-4.fc31.x86_64 31/218 Verifying : python-rpm-macros-3-42.fc30.noarch 32/218 Verifying : python3-rpm-generators-7-2.fc30.noarch 33/218 Verifying : python3-rpm-macros-3-42.fc30.noarch 34/218 Verifying : python3-setuptools-40.8.0-1.fc30.noarch 35/218 Verifying : libusbx-1.0.22-3.fc31.x86_64 36/218 Verifying : boost-1.69.0-6.fc30.x86_64 37/218 Verifying : boost-atomic-1.69.0-6.fc30.x86_64 38/218 Verifying : boost-chrono-1.69.0-6.fc30.x86_64 39/218 Verifying : boost-container-1.69.0-6.fc30.x86_64 40/218 Verifying : boost-context-1.69.0-6.fc30.x86_64 41/218 Verifying : boost-contract-1.69.0-6.fc30.x86_64 42/218 Verifying : boost-coroutine-1.69.0-6.fc30.x86_64 43/218 Verifying : boost-date-time-1.69.0-6.fc30.x86_64 44/218 Verifying : boost-fiber-1.69.0-6.fc30.x86_64 45/218 Verifying : boost-graph-1.69.0-6.fc30.x86_64 46/218 Verifying : boost-locale-1.69.0-6.fc30.x86_64 47/218 Verifying : boost-log-1.69.0-6.fc30.x86_64 48/218 Verifying : boost-math-1.69.0-6.fc30.x86_64 49/218 Verifying : boost-random-1.69.0-6.fc30.x86_64 50/218 Verifying : boost-serialization-1.69.0-6.fc30.x86_64 51/218 Verifying : boost-stacktrace-1.69.0-6.fc30.x86_64 52/218 Verifying : boost-test-1.69.0-6.fc30.x86_64 53/218 Verifying : boost-timer-1.69.0-6.fc30.x86_64 54/218 Verifying : boost-type_erasure-1.69.0-6.fc30.x86_64 55/218 Verifying : boost-wave-1.69.0-6.fc30.x86_64 56/218 Verifying : libicu-devel-63.1-2.fc30.x86_64 57/218 Verifying : libquadmath-devel-9.0.1-0.10.fc30.x86_64 58/218 Verifying : python3-numpy-1:1.16.2-1.fc31.x86_64 59/218 Verifying : emacs-filesystem-1:26.1-8.fc30.noarch 60/218 Verifying : perl-File-Path-2.16-2.fc30.noarch 61/218 Verifying : perl-Getopt-Long-1:2.50-418.fc30.noarch 62/218 Verifying : perl-IO-1.39-434.fc31.x86_64 63/218 Verifying : perl-PathTools-3.75-2.fc30.x86_64 64/218 Verifying : perl-interpreter-4:5.28.1-434.fc31.x86_64 65/218 Verifying : qt5-qtbase-5.12.1-2.fc31.x86_64 66/218 Verifying : qt5-qtbase-gui-5.12.1-2.fc31.x86_64 67/218 Verifying : qt5-rpm-macros-5.12.1-1.fc31.noarch 68/218 Verifying : dconf-0.32.0-1.fc30.x86_64 69/218 Verifying : qt5-qtdeclarative-5.12.1-1.fc31.x86_64 70/218 Verifying : mesa-libEGL-19.0.0-1.fc31.x86_64 71/218 Verifying : libX11-1.6.7-2.fc31.x86_64 72/218 Verifying : libXext-1.3.3-11.fc30.x86_64 73/218 Verifying : mesa-libGL-19.0.0-1.fc31.x86_64 74/218 Verifying : openblas-threads-0.3.5-5.fc31.x86_64 75/218 Verifying : perl-Carp-1.50-418.fc30.noarch 76/218 Verifying : perl-Exporter-5.73-419.fc30.noarch 77/218 Verifying : perl-Pod-Usage-4:1.69-418.fc30.noarch 78/218 Verifying : perl-Text-ParseWords-3.30-418.fc30.noarch 79/218 Verifying : perl-constant-1.33-419.fc30.noarch 80/218 Verifying : perl-Errno-1.29-434.fc31.x86_64 81/218 Verifying : perl-Socket-4:2.029-1.fc31.x86_64 82/218 Verifying : perl-libs-4:5.28.1-434.fc31.x86_64 83/218 Verifying : perl-Scalar-List-Utils-3:1.50-418.fc30.x86_64 84/218 Verifying : perl-Text-Tabs+Wrap-2013.0523-418.fc30.noarch 85/218 Verifying : perl-Unicode-Normalize-1.26-418.fc30.x86_64 86/218 Verifying : perl-macros-4:5.28.1-434.fc31.x86_64 87/218 Verifying : perl-parent-1:0.237-3.fc30.noarch 88/218 Verifying : perl-threads-1:2.22-418.fc30.x86_64 89/218 Verifying : perl-threads-shared-1.59-2.fc30.x86_64 90/218 Verifying : dbus-libs-1:1.12.12-5.fc31.x86_64 91/218 Verifying : pcre2-utf16-10.33-0.3.RC1.fc31.x86_64 92/218 Verifying : qt-settings-30.0-1.fc31.noarch 93/218 Verifying : qt5-qtbase-common-5.12.1-2.fc31.noarch 94/218 Verifying : cups-libs-1:2.2.10-5.fc31.x86_64 95/218 Verifying : fontconfig-2.13.1-6.fc31.x86_64 96/218 Verifying : freetype-2.9.1-7.fc30.x86_64 97/218 Verifying : glx-utils-8.4.0-3.20181118git1830dcb.fc30.x86_64 98/218 Verifying : harfbuzz-2.3.1-1.fc30.x86_64 99/218 Verifying : libICE-1.0.9-15.fc30.x86_64 100/218 Verifying : libSM-1.2.3-2.fc30.x86_64 101/218 Verifying : libX11-xcb-1.6.7-2.fc31.x86_64 102/218 Verifying : libXrender-0.9.10-9.fc30.x86_64 103/218 Verifying : libdrm-2.4.97-2.fc30.x86_64 104/218 Verifying : libjpeg-turbo-2.0.2-1.fc31.x86_64 105/218 Verifying : libpng-2:1.6.36-1.fc31.x86_64 106/218 Verifying : libxcb-1.13.1-2.fc30.x86_64 107/218 Verifying : libxkbcommon-0.8.3-1.fc30.x86_64 108/218 Verifying : libxkbcommon-x11-0.8.3-1.fc30.x86_64 109/218 Verifying : mesa-libgbm-19.0.0-1.fc31.x86_64 110/218 Verifying : xcb-util-image-0.4.0-12.fc30.x86_64 111/218 Verifying : xcb-util-keysyms-0.4.0-10.fc30.x86_64 112/218 Verifying : xcb-util-renderutil-0.3.9-13.fc30.x86_64 113/218 Verifying : xcb-util-wm-0.4.1-15.fc30.x86_64 114/218 Verifying : libwayland-client-1.16.92-1.fc30.x86_64 115/218 Verifying : libwayland-server-1.16.92-1.fc30.x86_64 116/218 Verifying : libxshmfence-1.3-4.fc30.x86_64 117/218 Verifying : mesa-libglapi-19.0.0-1.fc31.x86_64 118/218 Verifying : libX11-common-1.6.7-2.fc31.noarch 119/218 Verifying : libXdamage-1.1.4-16.fc30.x86_64 120/218 Verifying : libXfixes-5.0.3-9.fc30.x86_64 121/218 Verifying : libXxf86vm-1.1.4-11.fc30.x86_64 122/218 Verifying : libgfortran-9.0.1-0.10.fc30.x86_64 123/218 Verifying : perl-Pod-Perldoc-3.28.01-419.fc30.noarch 124/218 Verifying : perl-podlators-1:4.11-4.fc30.noarch 125/218 Verifying : avahi-libs-0.7-18.fc30.x86_64 126/218 Verifying : gnutls-3.6.6-1.fc30.x86_64 127/218 Verifying : fontpackages-filesystem-1.44-24.fc30.noarch 128/218 Verifying : graphite2-1.3.10-7.fc30.x86_64 129/218 Verifying : libpciaccess-0.14-3.fc30.x86_64 130/218 Verifying : libXau-1.0.9-1.fc30.x86_64 131/218 Verifying : xkeyboard-config-2.24-5.fc30.noarch 132/218 Verifying : xcb-util-0.4.0-12.fc30.x86_64 133/218 Verifying : libquadmath-9.0.1-0.10.fc30.x86_64 134/218 Verifying : groff-base-1.22.3-19.fc30.x86_64 135/218 Verifying : perl-File-Temp-1:0.230.900-2.fc30.noarch 136/218 Verifying : perl-HTTP-Tiny-0.076-2.fc30.noarch 137/218 Verifying : perl-Pod-Simple-1:3.35-418.fc30.noarch 138/218 Verifying : perl-Term-ANSIColor-4.06-419.fc30.noarch 139/218 Verifying : perl-Term-Cap-1.17-418.fc30.noarch 140/218 Verifying : nettle-3.4.1rc1-2.fc30.x86_64 141/218 Verifying : hwdata-0.321-1.fc31.noarch 142/218 Verifying : perl-MIME-Base64-3.15-418.fc30.x86_64 143/218 Verifying : perl-Time-Local-2:1.280-4.fc30.noarch 144/218 Verifying : perl-Pod-Escapes-1:1.07-418.fc30.noarch 145/218 Verifying : libinput-1.12.901-2.fc31.x86_64 146/218 Verifying : libevdev-1.6.0-2.fc30.x86_64 147/218 Verifying : libwacom-0.32-3.fc30.x86_64 148/218 Verifying : mtdev-1.1.5-14.fc30.x86_64 149/218 Verifying : libgudev-232-5.fc30.x86_64 150/218 Verifying : libwacom-data-0.32-3.fc30.noarch 151/218 Verifying : cups-devel-1:2.2.10-5.fc31.x86_64 152/218 Verifying : mesa-libEGL-devel-19.0.0-1.fc31.x86_64 153/218 Verifying : mesa-khr-devel-19.0.0-1.fc31.x86_64 154/218 Verifying : mesa-libGL-devel-19.0.0-1.fc31.x86_64 155/218 Verifying : python3-3.7.2-7.fc30.x86_64 156/218 Verifying : perl-Encode-4:3.01-10.fc31.x86_64 157/218 Verifying : perl-Storable-1:3.11-7.fc30.x86_64 158/218 Verifying : openblas-0.3.5-5.fc31.x86_64 159/218 Verifying : libX11-devel-1.6.7-2.fc31.x86_64 160/218 Verifying : xorg-x11-proto-devel-2018.4-3.fc30.noarch 161/218 Verifying : libXdamage-devel-1.1.4-16.fc30.x86_64 162/218 Verifying : libXext-devel-1.3.3-11.fc30.x86_64 163/218 Verifying : libXfixes-devel-5.0.3-9.fc30.x86_64 164/218 Verifying : libXxf86vm-devel-1.1.4-11.fc30.x86_64 165/218 Verifying : libdrm-devel-2.4.97-2.fc30.x86_64 166/218 Verifying : kernel-headers-5.1.0-0.rc0.git9.1.fc31.x86_64 167/218 Verifying : libxcb-devel-1.13.1-2.fc30.x86_64 168/218 Verifying : libXau-devel-1.0.9-1.fc30.x86_64 169/218 Verifying : gcc-9.0.1-0.10.fc30.x86_64 170/218 Verifying : annobin-8.71-1.fc31.x86_64 171/218 Verifying : cpp-9.0.1-0.10.fc30.x86_64 172/218 Verifying : isl-0.16.1-8.fc30.x86_64 173/218 Verifying : libgomp-9.0.1-0.10.fc30.x86_64 174/218 Verifying : systemd-241-2.gita09c170.fc31.x86_64 175/218 Verifying : acl-2.2.53-3.fc30.x86_64 176/218 Verifying : cryptsetup-libs-2.1.0-1.fc30.x86_64 177/218 Verifying : iptables-libs-1.8.0-5.fc30.x86_64 178/218 Verifying : kmod-libs-26-3.fc31.x86_64 179/218 Verifying : libseccomp-2.4.0-0.fc31.x86_64 180/218 Verifying : qrencode-libs-3.4.4-8.fc30.x86_64 181/218 Verifying : systemd-pam-241-2.gita09c170.fc31.x86_64 182/218 Verifying : systemd-rpm-macros-241-2.gita09c170.fc31.noarch 183/218 Verifying : device-mapper-libs-1.02.154-4.fc31.x86_64 184/218 Verifying : json-c-0.13.1-4.fc30.x86_64 185/218 Verifying : libargon2-20161029-7.fc30.x86_64 186/218 Verifying : libpcap-14:1.9.0-3.fc30.x86_64 187/218 Verifying : device-mapper-1.02.154-4.fc31.x86_64 188/218 Verifying : libstdc++-devel-9.0.1-0.10.fc30.x86_64 189/218 Verifying : glibc-devel-2.29.9000-6.fc31.x86_64 190/218 Verifying : libxcrypt-devel-4.4.4-2.fc31.x86_64 191/218 Verifying : dbus-1:1.12.12-5.fc31.x86_64 192/218 Verifying : dbus-broker-18-1.fc31.x86_64 193/218 Verifying : dbus-common-1:1.12.12-5.fc31.noarch 194/218 Verifying : gnutls-devel-3.6.6-1.fc30.x86_64 195/218 Verifying : gnutls-c++-3.6.6-1.fc30.x86_64 196/218 Verifying : gnutls-dane-3.6.6-1.fc30.x86_64 197/218 Verifying : unbound-libs-1.8.3-4.fc30.x86_64 198/218 Verifying : libevent-2.1.8-5.fc30.x86_64 199/218 Verifying : krb5-devel-1.17-6.fc31.x86_64 200/218 Verifying : libkadm5-1.17-6.fc31.x86_64 201/218 Verifying : zlib-devel-1.2.11-15.fc30.x86_64 202/218 Verifying : keyutils-libs-devel-1.6-2.fc30.x86_64 203/218 Verifying : libcom_err-devel-1.45.0-1.fc31.x86_64 204/218 Verifying : libselinux-devel-2.9-0.rc2.1.fc31.x86_64 205/218 Verifying : libsepol-devel-2.9-0.rc2.1.fc31.x86_64 206/218 Verifying : libverto-devel-0.3.0-7.fc30.x86_64 207/218 Verifying : libtasn1-devel-4.13-7.fc30.x86_64 208/218 Verifying : libtasn1-tools-4.13-7.fc30.x86_64 209/218 Verifying : nettle-devel-3.4.1rc1-2.fc30.x86_64 210/218 Verifying : gmp-devel-1:6.1.2-10.fc31.x86_64 211/218 Verifying : gmp-c++-1:6.1.2-10.fc31.x86_64 212/218 Verifying : p11-kit-devel-0.23.15-3.fc30.x86_64 213/218 Verifying : glibc-headers-2.29.9000-6.fc31.x86_64 214/218 Verifying : pcre2-devel-10.33-0.3.RC1.fc31.x86_64 215/218 Verifying : pcre2-utf32-10.33-0.3.RC1.fc31.x86_64 216/218 Verifying : dejavu-sans-fonts-2.37-1.fc30.noarch 217/218 Verifying : dejavu-fonts-common-2.37-1.fc30.noarch 218/218 Installed: boost-filesystem.x86_64 1.69.0-6.fc30 boost-iostreams.x86_64 1.69.0-6.fc30 boost-program-options.x86_64 1.69.0-6.fc30 boost-python3-devel.x86_64 1.69.0-6.fc30 boost-thread.x86_64 1.69.0-6.fc30 cmake.x86_64 3.14.0-1.fc31 gcc-c++.x86_64 9.0.1-0.10.fc30 icestorm.x86_64 0-0.7.20190311gitfa1c932.fc31 libglvnd-devel.x86_64 1:1.1.1-4.fc31 python3-devel.x86_64 3.7.2-7.fc30 qt5-qtconfiguration-devel.x86_64 0.3.1-8.fc30 acl.x86_64 2.2.53-3.fc30 annobin.x86_64 8.71-1.fc31 avahi-libs.x86_64 0.7-18.fc30 boost.x86_64 1.69.0-6.fc30 boost-atomic.x86_64 1.69.0-6.fc30 boost-chrono.x86_64 1.69.0-6.fc30 boost-container.x86_64 1.69.0-6.fc30 boost-context.x86_64 1.69.0-6.fc30 boost-contract.x86_64 1.69.0-6.fc30 boost-coroutine.x86_64 1.69.0-6.fc30 boost-date-time.x86_64 1.69.0-6.fc30 boost-devel.x86_64 1.69.0-6.fc30 boost-fiber.x86_64 1.69.0-6.fc30 boost-graph.x86_64 1.69.0-6.fc30 boost-locale.x86_64 1.69.0-6.fc30 boost-log.x86_64 1.69.0-6.fc30 boost-math.x86_64 1.69.0-6.fc30 boost-numpy3.x86_64 1.69.0-6.fc30 boost-python3.x86_64 1.69.0-6.fc30 boost-random.x86_64 1.69.0-6.fc30 boost-serialization.x86_64 1.69.0-6.fc30 boost-stacktrace.x86_64 1.69.0-6.fc30 boost-system.x86_64 1.69.0-6.fc30 boost-test.x86_64 1.69.0-6.fc30 boost-timer.x86_64 1.69.0-6.fc30 boost-type_erasure.x86_64 1.69.0-6.fc30 boost-wave.x86_64 1.69.0-6.fc30 cmake-data.noarch 3.14.0-1.fc31 cmake-filesystem.x86_64 3.14.0-1.fc31 cmake-rpm-macros.noarch 3.14.0-1.fc31 cpp.x86_64 9.0.1-0.10.fc30 cryptsetup-libs.x86_64 2.1.0-1.fc30 cups-devel.x86_64 1:2.2.10-5.fc31 cups-libs.x86_64 1:2.2.10-5.fc31 dbus.x86_64 1:1.12.12-5.fc31 dbus-broker.x86_64 18-1.fc31 dbus-common.noarch 1:1.12.12-5.fc31 dbus-libs.x86_64 1:1.12.12-5.fc31 dconf.x86_64 0.32.0-1.fc30 dejavu-fonts-common.noarch 2.37-1.fc30 dejavu-sans-fonts.noarch 2.37-1.fc30 device-mapper.x86_64 1.02.154-4.fc31 device-mapper-libs.x86_64 1.02.154-4.fc31 emacs-filesystem.noarch 1:26.1-8.fc30 fontconfig.x86_64 2.13.1-6.fc31 fontpackages-filesystem.noarch 1.44-24.fc30 freetype.x86_64 2.9.1-7.fc30 gcc.x86_64 9.0.1-0.10.fc30 glibc-devel.x86_64 2.29.9000-6.fc31 glibc-headers.x86_64 2.29.9000-6.fc31 glx-utils.x86_64 8.4.0-3.20181118git1830dcb.fc30 gmp-c++.x86_64 1:6.1.2-10.fc31 gmp-devel.x86_64 1:6.1.2-10.fc31 gnutls.x86_64 3.6.6-1.fc30 gnutls-c++.x86_64 3.6.6-1.fc30 gnutls-dane.x86_64 3.6.6-1.fc30 gnutls-devel.x86_64 3.6.6-1.fc30 graphite2.x86_64 1.3.10-7.fc30 groff-base.x86_64 1.22.3-19.fc30 harfbuzz.x86_64 2.3.1-1.fc30 hwdata.noarch 0.321-1.fc31 iptables-libs.x86_64 1.8.0-5.fc30 isl.x86_64 0.16.1-8.fc30 json-c.x86_64 0.13.1-4.fc30 jsoncpp.x86_64 1.8.4-6.fc30 kernel-headers.x86_64 5.1.0-0.rc0.git9.1.fc31 keyutils-libs-devel.x86_64 1.6-2.fc30 kmod-libs.x86_64 26-3.fc31 krb5-devel.x86_64 1.17-6.fc31 libICE.x86_64 1.0.9-15.fc30 libSM.x86_64 1.2.3-2.fc30 libX11.x86_64 1.6.7-2.fc31 libX11-common.noarch 1.6.7-2.fc31 libX11-devel.x86_64 1.6.7-2.fc31 libX11-xcb.x86_64 1.6.7-2.fc31 libXau.x86_64 1.0.9-1.fc30 libXau-devel.x86_64 1.0.9-1.fc30 libXdamage.x86_64 1.1.4-16.fc30 libXdamage-devel.x86_64 1.1.4-16.fc30 libXext.x86_64 1.3.3-11.fc30 libXext-devel.x86_64 1.3.3-11.fc30 libXfixes.x86_64 5.0.3-9.fc30 libXfixes-devel.x86_64 5.0.3-9.fc30 libXrender.x86_64 0.9.10-9.fc30 libXxf86vm.x86_64 1.1.4-11.fc30 libXxf86vm-devel.x86_64 1.1.4-11.fc30 libargon2.x86_64 20161029-7.fc30 libcom_err-devel.x86_64 1.45.0-1.fc31 libdrm.x86_64 2.4.97-2.fc30 libdrm-devel.x86_64 2.4.97-2.fc30 libevdev.x86_64 1.6.0-2.fc30 libevent.x86_64 2.1.8-5.fc30 libftdi.x86_64 1.3-16.fc31 libgfortran.x86_64 9.0.1-0.10.fc30 libglvnd.x86_64 1:1.1.1-4.fc31 libglvnd-core-devel.x86_64 1:1.1.1-4.fc31 libglvnd-egl.x86_64 1:1.1.1-4.fc31 libglvnd-gles.x86_64 1:1.1.1-4.fc31 libglvnd-glx.x86_64 1:1.1.1-4.fc31 libglvnd-opengl.x86_64 1:1.1.1-4.fc31 libgomp.x86_64 9.0.1-0.10.fc30 libgudev.x86_64 232-5.fc30 libicu-devel.x86_64 63.1-2.fc30 libinput.x86_64 1.12.901-2.fc31 libjpeg-turbo.x86_64 2.0.2-1.fc31 libkadm5.x86_64 1.17-6.fc31 libmpc.x86_64 1.1.0-3.fc30 libpcap.x86_64 14:1.9.0-3.fc30 libpciaccess.x86_64 0.14-3.fc30 libpng.x86_64 2:1.6.36-1.fc31 libquadmath.x86_64 9.0.1-0.10.fc30 libquadmath-devel.x86_64 9.0.1-0.10.fc30 libseccomp.x86_64 2.4.0-0.fc31 libselinux-devel.x86_64 2.9-0.rc2.1.fc31 libsepol-devel.x86_64 2.9-0.rc2.1.fc31 libstdc++-devel.x86_64 9.0.1-0.10.fc30 libtasn1-devel.x86_64 4.13-7.fc30 libtasn1-tools.x86_64 4.13-7.fc30 libusbx.x86_64 1.0.22-3.fc31 libuv.x86_64 1:1.26.0-1.fc30 libverto-devel.x86_64 0.3.0-7.fc30 libwacom.x86_64 0.32-3.fc30 libwacom-data.noarch 0.32-3.fc30 libwayland-client.x86_64 1.16.92-1.fc30 libwayland-server.x86_64 1.16.92-1.fc30 libxcb.x86_64 1.13.1-2.fc30 libxcb-devel.x86_64 1.13.1-2.fc30 libxcrypt-devel.x86_64 4.4.4-2.fc31 libxkbcommon.x86_64 0.8.3-1.fc30 libxkbcommon-x11.x86_64 0.8.3-1.fc30 libxshmfence.x86_64 1.3-4.fc30 mesa-khr-devel.x86_64 19.0.0-1.fc31 mesa-libEGL.x86_64 19.0.0-1.fc31 mesa-libEGL-devel.x86_64 19.0.0-1.fc31 mesa-libGL.x86_64 19.0.0-1.fc31 mesa-libGL-devel.x86_64 19.0.0-1.fc31 mesa-libgbm.x86_64 19.0.0-1.fc31 mesa-libglapi.x86_64 19.0.0-1.fc31 mtdev.x86_64 1.1.5-14.fc30 nettle.x86_64 3.4.1rc1-2.fc30 nettle-devel.x86_64 3.4.1rc1-2.fc30 openblas.x86_64 0.3.5-5.fc31 openblas-threads.x86_64 0.3.5-5.fc31 p11-kit-devel.x86_64 0.23.15-3.fc30 pcre2-devel.x86_64 10.33-0.3.RC1.fc31 pcre2-utf16.x86_64 10.33-0.3.RC1.fc31 pcre2-utf32.x86_64 10.33-0.3.RC1.fc31 perl-Carp.noarch 1.50-418.fc30 perl-Encode.x86_64 4:3.01-10.fc31 perl-Errno.x86_64 1.29-434.fc31 perl-Exporter.noarch 5.73-419.fc30 perl-File-Path.noarch 2.16-2.fc30 perl-File-Temp.noarch 1:0.230.900-2.fc30 perl-Getopt-Long.noarch 1:2.50-418.fc30 perl-HTTP-Tiny.noarch 0.076-2.fc30 perl-IO.x86_64 1.39-434.fc31 perl-MIME-Base64.x86_64 3.15-418.fc30 perl-PathTools.x86_64 3.75-2.fc30 perl-Pod-Escapes.noarch 1:1.07-418.fc30 perl-Pod-Perldoc.noarch 3.28.01-419.fc30 perl-Pod-Simple.noarch 1:3.35-418.fc30 perl-Pod-Usage.noarch 4:1.69-418.fc30 perl-Scalar-List-Utils.x86_64 3:1.50-418.fc30 perl-Socket.x86_64 4:2.029-1.fc31 perl-Storable.x86_64 1:3.11-7.fc30 perl-Term-ANSIColor.noarch 4.06-419.fc30 perl-Term-Cap.noarch 1.17-418.fc30 perl-Text-ParseWords.noarch 3.30-418.fc30 perl-Text-Tabs+Wrap.noarch 2013.0523-418.fc30 perl-Time-Local.noarch 2:1.280-4.fc30 perl-Unicode-Normalize.x86_64 1.26-418.fc30 perl-constant.noarch 1.33-419.fc30 perl-interpreter.x86_64 4:5.28.1-434.fc31 perl-libs.x86_64 4:5.28.1-434.fc31 perl-macros.x86_64 4:5.28.1-434.fc31 perl-parent.noarch 1:0.237-3.fc30 perl-podlators.noarch 1:4.11-4.fc30 perl-threads.x86_64 1:2.22-418.fc30 perl-threads-shared.x86_64 1.59-2.fc30 python-rpm-macros.noarch 3-42.fc30 python3.x86_64 3.7.2-7.fc30 python3-numpy.x86_64 1:1.16.2-1.fc31 python3-rpm-generators.noarch 7-2.fc30 python3-rpm-macros.noarch 3-42.fc30 python3-setuptools.noarch 40.8.0-1.fc30 qrencode-libs.x86_64 3.4.4-8.fc30 qt-settings.noarch 30.0-1.fc31 qt5-qtbase.x86_64 5.12.1-2.fc31 qt5-qtbase-common.noarch 5.12.1-2.fc31 qt5-qtbase-devel.x86_64 5.12.1-2.fc31 qt5-qtbase-gui.x86_64 5.12.1-2.fc31 qt5-qtconfiguration.x86_64 0.3.1-8.fc30 qt5-qtdeclarative.x86_64 5.12.1-1.fc31 qt5-rpm-macros.noarch 5.12.1-1.fc31 rhash.x86_64 1.3.8-1.fc30 systemd.x86_64 241-2.gita09c170.fc31 systemd-pam.x86_64 241-2.gita09c170.fc31 systemd-rpm-macros.noarch 241-2.gita09c170.fc31 unbound-libs.x86_64 1.8.3-4.fc30 xcb-util.x86_64 0.4.0-12.fc30 xcb-util-image.x86_64 0.4.0-12.fc30 xcb-util-keysyms.x86_64 0.4.0-10.fc30 xcb-util-renderutil.x86_64 0.3.9-13.fc30 xcb-util-wm.x86_64 0.4.1-15.fc30 xkeyboard-config.noarch 2.24-5.fc30 xorg-x11-proto-devel.noarch 2018.4-3.fc30 zlib-devel.x86_64 1.2.11-15.fc30 Complete! Finish: build setup for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Start: rpmbuild nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm Building target platforms: x86_64 Building for target x86_64 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.Va25pV + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/gzip -dc /builddir/build/SOURCES/nextpnr-cadbf42.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.rBCP9a + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON . '-DARCH=generic;ice40' -DICEBOX_ROOT=/usr/share/icestorm -- The C compiler identification is GNU 9.0.1 -- The CXX compiler identification is GNU 9.0.1 -- Check for working C compiler: /usr/bin/cc -- Check for working C compiler: /usr/bin/cc -- works -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Detecting C compile features -- Detecting C compile features - done -- Check for working CXX compiler: /usr/bin/c++ -- Check for working CXX compiler: /usr/bin/c++ -- works -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found PythonInterp: /usr/bin/python3 (found suitable version "3.7.2", minimum required is "3.5") -- Found PythonLibs: /usr/lib64/libpython3.7m.so (found suitable version "3.7.2", minimum required is "3.5") -- Looking for pthread.h -- Looking for pthread.h - found -- Looking for pthread_create -- Looking for pthread_create - not found -- Looking for pthread_create in pthreads -- Looking for pthread_create in pthreads - not found -- Looking for pthread_create in pthread -- Looking for pthread_create in pthread - found -- Found Threads: TRUE -- Boost version: 1.69.0 -- Found the following Boost libraries: -- filesystem -- thread -- program_options -- iostreams -- chrono -- date_time -- atomic -- regex -- Found OpenGL: /usr/lib64/libOpenGL.so CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:1799 (message): No header defined for python-py372; skipping header check Call Stack (most recent call first): CMakeLists.txt:133 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:1799 (message): No header defined for python-py37; skipping header check Call Stack (most recent call first): CMakeLists.txt:140 (find_package) CMake Warning at /usr/share/cmake/Modules/FindBoost.cmake:1799 (message): No header defined for python-py3; skipping header check Call Stack (most recent call first): CMakeLists.txt:140 (find_package) -- Configuring architecture : generic -- Configuring architecture : ice40 -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + make -j2 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db -B/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/progress.marks make -f CMakeFiles/Makefile2 all make[1]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake --color= make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= Scanning dependencies of target gui_generic_autogen make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build Scanning dependencies of target QtPropertyBrowser_autogen make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 1%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.cmake Release [ 2%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.cmake "" make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Built target QtPropertyBrowser_autogen make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/bbasm.dir/DependInfo.cmake --color= Scanning dependencies of target bbasm make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Building CXX object CMakeFiles/bbasm.dir/bba/main.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -std=gnu++11 -o CMakeFiles/bbasm.dir/bba/main.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/bba/main.cc make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 2%] Built target gui_generic_autogen make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake --color= Scanning dependencies of target gui_ice40_autogen make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 3%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.cmake Release make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 3%] Built target gui_ice40_autogen make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 3%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic/nextpnr.qrc'. [ 4%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/base.qrc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake --color= Scanning dependencies of target gui_generic make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp [ 6%] Linking CXX executable bbasm /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/c++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -rdynamic CMakeFiles/bbasm.dir/bba/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 6%] Built target bbasm make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 6%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/lib64/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake --color= Scanning dependencies of target QtPropertyBrowser make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc [ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc: In member function 'virtual bool nextpnr_generic::Application::notify(QObject*, QEvent*)': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc:56:32: warning: catching polymorphic type 'class nextpnr_generic::assertion_failure' by value [-Wcatch-value=] 56 | } catch (assertion_failure ex) { | ^~ [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/basewindow.cc [ 10%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/designwidget.cc [ 11%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 12%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 12%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/fpgaviewwidget.cc [ 14%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/line_editor.cc [ 16%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 16%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/lineshader.cc [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 18%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pyconsole.cc [ 19%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pythontab.cc [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/c++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -std=gnu++11 -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 20%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 /usr/bin/ar qc libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o /usr/bin/ranlib libQtPropertyBrowser.a make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 20%] Built target QtPropertyBrowser make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 21%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40/nextpnr.qrc [ 21%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/base.qrc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake --color= Scanning dependencies of target gui_ice40 make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 23%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/treemodel.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc [ 23%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/worker.cc /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc: In member function 'virtual bool nextpnr_ice40::Application::notify(QObject*, QEvent*)': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/application.cc:56:32: warning: catching polymorphic type 'class nextpnr_ice40::assertion_failure' by value [-Wcatch-value=] 56 | } catch (assertion_failure ex) { | ^~ [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/basewindow.cc [ 25%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic/mainwindow.cc [ 26%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ColumnFormatter.cpp [ 26%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.cpp [ 27%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 28%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/designwidget.cc [ 29%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseMessage.cpp [ 31%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyredirector.cc [ 32%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyinterpreter.cc [ 32%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp:36: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 33%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp:31: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 34%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp:849: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 35%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/fpgaviewwidget.cc [ 35%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_demo.cpp [ 36%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/ImGuiRenderer.cpp [ 37%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/QtImGui.cpp [ 37%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/line_editor.cc [ 37%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_base.cpp [ 38%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/qrc_nextpnr.cpp [ 39%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 /usr/bin/ar qc libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o /usr/bin/ranlib libgui_generic.a make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 39%] Built target gui_generic make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 40%] Generating ice40/chipdbs/chipdb-8k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba.new [ 41%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/lineshader.cc [ 42%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pyconsole.cc [ 42%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/pythontab.cc [ 43%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/treemodel.cc [ 44%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/worker.cc [ 44%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40/mainwindow.cc [ 45%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ColumnFormatter.cpp [ 46%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.cpp [ 47%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 47%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 48%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 49%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/ParseMessage.cpp [ 49%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyredirector.cc [ 50%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/python-console/modified/pyinterpreter.cc [ 51%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_widgets.cpp:36: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 52%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_draw.cpp:31: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 52%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp In file included from /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui.cpp:849: /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h: In constructor 'ImGuiInputTextState::ImGuiInputTextState()': /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:472:84: warning: 'void* memset(void*, int, size_t)' clearing an object of type 'struct ImGuiInputTextState' with no trivial copy-assignment; use assignment or value-initialization instead [-Wclass-memaccess] 472 | ImGuiInputTextState() { memset(this, 0, sizeof(*this)); } | ^ /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_internal.h:453:18: note: 'struct ImGuiInputTextState' declared here 453 | struct IMGUI_API ImGuiInputTextState | ^~~~~~~~~~~~~~~~~~~ [ 53%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/imgui/imgui_demo.cpp [ 54%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/ImGuiRenderer.cpp [ 54%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/qtimgui/QtImGui.cpp [ 55%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_base.cpp [ 56%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/../3rdparty/python-console/modified -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/qrc_nextpnr.cpp [ 56%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 /usr/bin/ar qc libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o /usr/bin/ranlib libgui_ice40.a make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 56%] Built target gui_ice40 make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake --color= Scanning dependencies of target nextpnr-generic make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/archcheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/archcheck.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/command.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/command.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/command.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/design_utils.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/design_utils.cc [ 59%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/handle_error.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/handle_error.cc [ 59%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/log.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/log.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/log.cc [ 60%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/nextpnr.cc [ 61%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place_common.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/place_common.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/place_common.cc [ 61%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/placer1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/placer1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/placer1.cc [ 62%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/project.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/project.cc [ 63%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/pybindings.cc [ 63%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/router1.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/router1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/router1.cc [ 64%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/rulecheck.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/rulecheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/rulecheck.cc [ 65%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/timing.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/timing.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing.cc [ 66%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/common/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing_opt.cc [ 66%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonparse.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/json/jsonparse.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json/jsonparse.cc [ 67%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/arch.cc [ 68%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/arch_pybindings.cc [ 68%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/main.cc [ 69%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/project.cc.o /usr/bin/c++ -DARCHNAME=generic -DARCH_GENERIC -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/generic -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-generic.dir/generic/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generic/project.cc mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba [ 69%] Generating ice40/chipdbs/chipdb-384.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba.new [ 70%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/c++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -rdynamic CMakeFiles/nextpnr-generic.dir/common/archcheck.cc.o CMakeFiles/nextpnr-generic.dir/common/command.cc.o CMakeFiles/nextpnr-generic.dir/common/design_utils.cc.o CMakeFiles/nextpnr-generic.dir/common/handle_error.cc.o CMakeFiles/nextpnr-generic.dir/common/log.cc.o CMakeFiles/nextpnr-generic.dir/common/nextpnr.cc.o CMakeFiles/nextpnr-generic.dir/common/place_common.cc.o CMakeFiles/nextpnr-generic.dir/common/placer1.cc.o CMakeFiles/nextpnr-generic.dir/common/project.cc.o CMakeFiles/nextpnr-generic.dir/common/pybindings.cc.o CMakeFiles/nextpnr-generic.dir/common/router1.cc.o CMakeFiles/nextpnr-generic.dir/common/rulecheck.cc.o CMakeFiles/nextpnr-generic.dir/common/timing.cc.o CMakeFiles/nextpnr-generic.dir/common/timing_opt.cc.o CMakeFiles/nextpnr-generic.dir/json/jsonparse.cc.o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o CMakeFiles/nextpnr-generic.dir/generic/project.cc.o -o nextpnr-generic /usr/lib64/libboost_python37.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so /usr/lib64/libboost_regex.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib64/libQt5OpenGL.so.5.12.1 /usr/lib64/libGL.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.7m.so /usr/lib64/libQt5Widgets.so.5.12.1 /usr/lib64/libQt5Gui.so.5.12.1 /usr/lib64/libQt5Core.so.5.12.1 mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba [ 71%] Generating ice40/chipdbs/chipdb-1k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba.new make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 71%] Built target nextpnr-generic [ 72%] Generating ice40/chipdbs/chipdb-5k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba [ 72%] Generating ice40/chipdbs/chipdb-u4k.bba /usr/bin/python3 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba [ 73%] Generating ice40/chipdbs/chipdb-8k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba [ 74%] Generating ice40/chipdbs/chipdb-384.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc [ 75%] Generating ice40/chipdbs/chipdb-1k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc [ 75%] Generating ice40/chipdbs/chipdb-5k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc [ 76%] Generating ice40/chipdbs/chipdb-u4k.cc ./bbasm --c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.bba /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc.new mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc mv /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc.new /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/ice40_chipdb.dir/DependInfo.cmake --color= Scanning dependencies of target ice40_chipdb make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 78%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-384.cc.o [ 78%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-1k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-384.cc /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-1k.cc [ 78%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-5k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-5k.cc [ 79%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-u4k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-u4k.cc [ 80%] Building CXX object CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-8k.cc.o /usr/bin/c++ -DGIT_COMMIT_HASH="" -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -g0 -O0 -w -std=gnu++11 -o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chipdbs/chipdb-8k.cc make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 80%] Built target ice40_chipdb make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= Scanning dependencies of target nextpnr-ice40 make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/archcheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/archcheck.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/command.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/command.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/command.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/design_utils.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/design_utils.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/handle_error.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/handle_error.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/log.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/log.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/log.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/nextpnr.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/nextpnr.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place_common.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/place_common.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/place_common.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/placer1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/placer1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/placer1.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/project.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/project.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/pybindings.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/router1.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/router1.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/router1.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/rulecheck.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/rulecheck.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/rulecheck.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/timing.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/timing.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/timing_opt.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/common/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common/timing_opt.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonparse.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/json/jsonparse.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json/jsonparse.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o [ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/arch.cc /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/arch_place.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/arch_pybindings.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/bitstream.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/cells.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/chains.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/delay.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/gfx.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/main.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/pack.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/pcf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/project.cc.o /usr/bin/c++ -DARCHNAME=ice40 -DARCH_ICE40 -DGIT_COMMIT_HASH="" -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/common -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/json -I/usr/include/python3.7m -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui/ice40 -I/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -fPIC -std=gnu++11 -o CMakeFiles/nextpnr-ice40.dir/ice40/project.cc.o -c /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/ice40/project.cc [100%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/c++ -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -fPIC -O3 -g -pipe -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -rdynamic CMakeFiles/nextpnr-ice40.dir/common/archcheck.cc.o CMakeFiles/nextpnr-ice40.dir/common/command.cc.o CMakeFiles/nextpnr-ice40.dir/common/design_utils.cc.o CMakeFiles/nextpnr-ice40.dir/common/handle_error.cc.o CMakeFiles/nextpnr-ice40.dir/common/log.cc.o CMakeFiles/nextpnr-ice40.dir/common/nextpnr.cc.o CMakeFiles/nextpnr-ice40.dir/common/place_common.cc.o CMakeFiles/nextpnr-ice40.dir/common/placer1.cc.o CMakeFiles/nextpnr-ice40.dir/common/project.cc.o CMakeFiles/nextpnr-ice40.dir/common/pybindings.cc.o CMakeFiles/nextpnr-ice40.dir/common/router1.cc.o CMakeFiles/nextpnr-ice40.dir/common/rulecheck.cc.o CMakeFiles/nextpnr-ice40.dir/common/timing.cc.o CMakeFiles/nextpnr-ice40.dir/common/timing_opt.cc.o CMakeFiles/nextpnr-ice40.dir/json/jsonparse.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o CMakeFiles/nextpnr-ice40.dir/ice40/project.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-384.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-1k.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-5k.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-u4k.cc.o CMakeFiles/ice40_chipdb.dir/ice40/chipdbs/chipdb-8k.cc.o -o nextpnr-ice40 /usr/lib64/libboost_python37.so /usr/lib64/libboost_filesystem.so -lboost_thread -lpthread /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so /usr/lib64/libboost_regex.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib64/libQt5OpenGL.so.5.12.1 /usr/lib64/libGL.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.7m.so /usr/lib64/libQt5Widgets.so.5.12.1 /usr/lib64/libQt5Gui.so.5.12.1 /usr/lib64/libQt5Core.so.5.12.1 make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [100%] Built target nextpnr-ice40 make[1]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles 0 + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.10mCjB + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 ++ dirname /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 'INSTALL=/usr/bin/install -p' /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db -B/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/progress.marks /usr/bin/make -f CMakeFiles/Makefile2 all make[1]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 1%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.cmake Release make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 1%] Built target gui_generic_autogen /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 23%] Built target gui_generic /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 24%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.cmake "" make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 24%] Built target QtPropertyBrowser_autogen /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 32%] Built target QtPropertyBrowser /usr/bin/make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/nextpnr-generic.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 46%] Built target nextpnr-generic /usr/bin/make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/bbasm.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/bbasm.dir/build.make CMakeFiles/bbasm.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/bbasm.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 47%] Built target bbasm /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 48%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.cmake Release make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 48%] Built target gui_ice40_autogen /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/gui /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40 /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 69%] Built target gui_ice40 /usr/bin/make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/ice40_chipdb.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/ice40_chipdb.dir/build.make CMakeFiles/ice40_chipdb.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/ice40_chipdb.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [ 80%] Built target ice40_chipdb /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' cd /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake --color= make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/make -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build make[2]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[2]: Nothing to be done for 'CMakeFiles/nextpnr-ice40.dir/build'. make[2]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' [100%] Built target nextpnr-ice40 make[1]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db/CMakeFiles 0 /usr/bin/make -f CMakeFiles/Makefile2 preinstall make[1]: Entering directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' make[1]: Nothing to be done for 'preinstall'. make[1]: Leaving directory '/builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db' Install the project... /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "Release" -- Installing: /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/bin/nextpnr-ice40 + /usr/lib/rpm/find-debuginfo.sh -j2 --strict-build-id -m -i --build-id-seed 0-0.1.20190319gitcadbf42.fc31 --unique-debug-suffix -0-0.1.20190319gitcadbf42.fc31.x86_64 --unique-debug-src-base nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/bin/nextpnr-ice40 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/bin/nextpnr-generic extracting debug info from /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/bin/nextpnr-ice40 extracting debug info from /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/bin/nextpnr-generic /usr/lib/rpm/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 7036 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile /usr/bin/python 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs Processing files: nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.Da9mfn + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + DOCDIR=/builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/doc/nextpnr + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/doc/nextpnr + cp -pr README.md /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/doc/nextpnr + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.17O4g9 + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + LICENSEDIR=/builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/licenses/nextpnr + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/licenses/nextpnr + cp -pr COPYING /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/licenses/nextpnr + cp -pr 3rdparty/imgui/LICENSE.txt /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/licenses/nextpnr + cp -pr 3rdparty/qtimgui/LICENSE /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/licenses/nextpnr + cp -pr 3rdparty/python-console/LICENSE /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64/usr/share/licenses/nextpnr + exit 0 warning: File listed twice: /usr/share/licenses/nextpnr/LICENSE Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 0-0.1.20190319gitcadbf42.fc31 nextpnr(x86-64) = 0-0.1.20190319gitcadbf42.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Core.so.5(Qt_5.12)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libboost_filesystem.so.1.69.0()(64bit) libboost_program_options.so.1.69.0()(64bit) libboost_python37.so.1.69.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.7)(64bit) libc.so.6(GLIBC_2.8)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.2.5)(64bit) libpython3.7m.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.1)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-0-0.1.20190319gitcadbf42.fc31.x86_64 Provides: nextpnr-debugsource = 0-0.1.20190319gitcadbf42.fc31 nextpnr-debugsource(x86-64) = 0-0.1.20190319gitcadbf42.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-0-0.1.20190319gitcadbf42.fc31.x86_64 Provides: debuginfo(build-id) = 8f7fbe6f9841222e0a508ef693426fd38ecd5a86 debuginfo(build-id) = ab029ce49496dd0fcef66e16ecfd6e2ff16b49f4 nextpnr-debuginfo = 0-0.1.20190319gitcadbf42.fc31 nextpnr-debuginfo(x86-64) = 0-0.1.20190319gitcadbf42.fc31 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(x86-64) = 0-0.1.20190319gitcadbf42.fc31 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 Wrote: /builddir/build/RPMS/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-0-0.1.20190319gitcadbf42.fc31.x86_64.rpm Wrote: /builddir/build/RPMS/nextpnr-debuginfo-0-0.1.20190319gitcadbf42.fc31.x86_64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.DnKw0D + umask 022 + cd /builddir/build/BUILD + cd nextpnr-cadbf42054f224d84e5c5800baf82c0fa3a2a6db + /usr/bin/rm -rf /builddir/build/BUILDROOT/nextpnr-0-0.1.20190319gitcadbf42.fc31.x86_64 + exit 0 Finish: rpmbuild nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/870523-fedora-rawhide-x86_64-1552998242.791504/root/var/log/dnf.log /var/lib/mock/870523-fedora-rawhide-x86_64-1552998242.791504/root/var/log/dnf.librepo.log /var/lib/mock/870523-fedora-rawhide-x86_64-1552998242.791504/root/var/log/dnf.rpm.log Finish: build phase for nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-0-0.1.20190319gitcadbf42.fc31.src.rpm) Config(child) 16 minutes 11 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run