Mock Version: 3.5 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target aarch64 --nodeps /builddir/build/SPECS/os-autoinst.spec'], chrootPath='/var/lib/mock/fedora-rawhide-aarch64-1679461601.481684/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv._ryl9p60:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=TrueprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv._ryl9p60:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', 'a73c17649b6f463183902d48518427eb', '-D', '/var/lib/mock/fedora-rawhide-aarch64-1679461601.481684/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv._ryl9p60:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target aarch64 --nodeps /builddir/build/SPECS/os-autoinst.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False warning: extra tokens at the end of %endif directive in line 91: %endif # no_fullstack warning: extra tokens at the end of %endif directive in line 139: %endif # no_fullstack warning: extra tokens at the end of %endif directive in line 143: %endif # no_osutils Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1674086400 Wrote: /builddir/build/SRPMS/os-autoinst-4.6^20221122git5a76fb8-3.fc39.src.rpm RPM build warnings: extra tokens at the end of %endif directive in line 91: %endif # no_fullstack extra tokens at the end of %endif directive in line 139: %endif # no_fullstack extra tokens at the end of %endif directive in line 143: %endif # no_osutils Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target aarch64 --nodeps /builddir/build/SPECS/os-autoinst.spec'], chrootPath='/var/lib/mock/fedora-rawhide-aarch64-1679461601.481684/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=0uid=1001gid=135user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv._ryl9p60:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=TrueprintOutput=True) Using nspawn with args ['--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv._ryl9p60:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '7db5d3bc6a7a469a80ffb31e59952a8a', '-D', '/var/lib/mock/fedora-rawhide-aarch64-1679461601.481684/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--rlimit=RLIMIT_NOFILE=10240', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv._ryl9p60:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target aarch64 --nodeps /builddir/build/SPECS/os-autoinst.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False warning: extra tokens at the end of %endif directive in line 91: %endif # no_fullstack warning: extra tokens at the end of %endif directive in line 139: %endif # no_fullstack warning: extra tokens at the end of %endif directive in line 143: %endif # no_osutils Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1674086400 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.r8aycK + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -f t/99-full-stack.t + rm -f t/02-test_ocr.t + rm xt/00-tidy.t + rm xt/30-make.t + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.dY4pHZ + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -S . -B redhat-linux-build -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON -DOS_AUTOINST_DOC_DIR:STRING=/usr/share/doc/os-autoinst -DOS_AUTOINST_VERSION:STRING=4.6 -DSYSTEMD_SERVICE_DIR:STRING=/usr/lib/systemd/system -GNinja CMake Warning (dev) at CMakeLists.txt:1 (project): cmake_minimum_required() should be called prior to this top-level project() call. Please see the cmake-commands(7) manual for usage documentation of both commands. This warning is for project developers. Use -Wno-dev to suppress it. -- The CXX compiler identification is GNU 13.0.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found PkgConfig: /usr/bin/pkg-config (found version "1.9.4") -- Found OpenCV: /usr (found version "4.7.0") -- The C compiler identification is GNU 13.0.1 -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Checking for module 'fftw3' -- Found fftw3, version 3.3.10 -- Checking for module 'sndfile' -- Found sndfile, version 1.1.0 -- Perl executable: /usr/bin/perl -- Detected Perl include paths: /usr/local/lib64/perl5/5.36;/usr/local/share/perl5/5.36;/usr/lib64/perl5/vendor_perl;/usr/share/perl5/vendor_perl;/usr/lib64/perl5;/usr/share/perl5 -- Detected Perl installvendorarch (for tinycv): /usr/lib64/perl5/vendor_perl -- xsubpp executable: /usr/bin/xsubpp -- Checking for module 'theoraenc>=1.1' -- Found theoraenc, version 1.1.1 -- Set BLACK_PATH to the path of the black executable to enable python style checks. -- Set SHELLCHECK_PATH to the path of shellcheck to enable Shell style checks. -- Set PERLCRITIC_PATH to the path of the perlcritic executable to enable Perl syntax/style checks. -- Set PODSPELL_PATH/SPELL_PATH to the path of the podspell/spell executable to enable spell checking. -- Configuring done (1.4s) -- Generating done (0.0s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_CXX_FLAGS_RELEASE CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP CMAKE_VERBOSE_MAKEFILE INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build + /usr/bin/ninja -v -j4 -C redhat-linux-build ninja: Entering directory `redhat-linux-build' [1/16] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && mkdir -p /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/install-target [2/16] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && sed -e "s,\$sysdir\ =\ undef\;,\$sysdir\ =\ '/usr/lib/os-autoinst'\;," /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/cv.pm > /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/install-target/cv.pm [3/16] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && sed -e "s,\$installprefix\ =\ undef\;,\$installprefix\ =\ '/usr/lib/os-autoinst'\;," -e "s,my\ \$thisversion\ =\ \(.*\);,my\ \$thisversion\ =\ '4.6';," /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo > /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/install-target/isotovideo [4/16] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/ppmclibs && /usr/bin/xsubpp -C++ -typemap /usr/share/perl5/ExtUtils/typemap -typemap /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/typemap /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/tinycv.xs -output /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/ppmclibs/tinycv-xs.cpp [5/16] /usr/bin/g++ -isystem /usr/include/opencv4 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -MD -MT debugviewer/CMakeFiles/debugviewer.dir/debugviewer.cpp.o -MF debugviewer/CMakeFiles/debugviewer.dir/debugviewer.cpp.o.d -o debugviewer/CMakeFiles/debugviewer.dir/debugviewer.cpp.o -c /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/debugviewer/debugviewer.cpp [6/16] /usr/bin/g++ -DVERSION=\"1.0\" -DXS_VERSION=\"1.0\" -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE -Dtinycv_EXPORTS -I/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs -I/usr/lib64/perl5/CORE -isystem /usr/include/opencv4 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -MD -MT ppmclibs/CMakeFiles/tinycv.dir/tinycv_ast2100.cc.o -MF ppmclibs/CMakeFiles/tinycv.dir/tinycv_ast2100.cc.o.d -o ppmclibs/CMakeFiles/tinycv.dir/tinycv_ast2100.cc.o -c /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/tinycv_ast2100.cc [7/16] : && /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic debugviewer/CMakeFiles/debugviewer.dir/debugviewer.cpp.o -o debugviewer/debugviewer /usr/lib64/libopencv_highgui.so.4.7.0 /usr/lib64/libopencv_videoio.so.4.7.0 /usr/lib64/libopencv_imgcodecs.so.4.7.0 /usr/lib64/libopencv_imgproc.so.4.7.0 /usr/lib64/libopencv_core.so.4.7.0 && : [8/16] /usr/bin/g++ -I/usr/include/opus -isystem /usr/include/opencv4 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -MD -MT snd2png/CMakeFiles/snd2png.dir/snd2png.cpp.o -MF snd2png/CMakeFiles/snd2png.dir/snd2png.cpp.o.d -o snd2png/CMakeFiles/snd2png.dir/snd2png.cpp.o -c /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/snd2png/snd2png.cpp [9/16] /usr/bin/g++ -isystem /usr/include/opencv4 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -MD -MT CMakeFiles/videoencoder.dir/videoencoder.cpp.o -MF CMakeFiles/videoencoder.dir/videoencoder.cpp.o.d -o CMakeFiles/videoencoder.dir/videoencoder.cpp.o -c /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/videoencoder.cpp /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/videoencoder.cpp: In function 'int main(int, char**)': /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/videoencoder.cpp:393:20: warning: ignoring return value of 'int symlink(const char*, const char*)' declared with attribute 'warn_unused_result' [-Wunused-result] 393 | symlink(basename(path), "qemuscreenshot/last.png"); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ [10/16] : && /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic snd2png/CMakeFiles/snd2png.dir/snd2png.cpp.o -o snd2png/snd2png -lfftw3 /usr/lib64/libopencv_imgcodecs.so.4.7.0 -lsndfile /usr/lib64/libopencv_imgproc.so.4.7.0 /usr/lib64/libopencv_core.so.4.7.0 && : [11/16] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/doc && /usr/bin/pod2html --infile /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/doc/../basetest.pm --outfile /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/doc/basetest.html [12/16] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/doc && /usr/bin/pod2html --infile /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/doc/../testapi.pm --outfile /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/doc/testapi.html [13/16] : && /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -rdynamic CMakeFiles/videoencoder.dir/videoencoder.cpp.o -o videoencoder /usr/lib64/libopencv_imgcodecs.so.4.7.0 -ltheoraenc -ltheoradec -logg /usr/lib64/libopencv_imgproc.so.4.7.0 /usr/lib64/libopencv_core.so.4.7.0 && : [14/16] /usr/bin/g++ -DVERSION=\"1.0\" -DXS_VERSION=\"1.0\" -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE -Dtinycv_EXPORTS -I/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs -I/usr/lib64/perl5/CORE -isystem /usr/include/opencv4 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -MD -MT ppmclibs/CMakeFiles/tinycv.dir/tinycv-xs.cpp.o -MF ppmclibs/CMakeFiles/tinycv.dir/tinycv-xs.cpp.o.d -o ppmclibs/CMakeFiles/tinycv.dir/tinycv-xs.cpp.o -c /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/ppmclibs/tinycv-xs.cpp [15/16] /usr/bin/g++ -DVERSION=\"1.0\" -DXS_VERSION=\"1.0\" -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE -Dtinycv_EXPORTS -I/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs -I/usr/lib64/perl5/CORE -isystem /usr/include/opencv4 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -MD -MT ppmclibs/CMakeFiles/tinycv.dir/tinycv_impl.cc.o -MF ppmclibs/CMakeFiles/tinycv.dir/tinycv_impl.cc.o.d -o ppmclibs/CMakeFiles/tinycv.dir/tinycv_impl.cc.o -c /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/tinycv_impl.cc [16/16] : && /usr/bin/g++ -fPIC -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -shared -o ppmclibs/tinycv.so ppmclibs/CMakeFiles/tinycv.dir/tinycv_ast2100.cc.o ppmclibs/CMakeFiles/tinycv.dir/tinycv_impl.cc.o ppmclibs/CMakeFiles/tinycv.dir/tinycv-xs.cpp.o /usr/lib64/libopencv_imgcodecs.so.4.7.0 /usr/lib64/libopencv_imgproc.so.4.7.0 /usr/lib64/libopencv_core.so.4.7.0 && : + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.28Vd8v + umask 022 + cd /builddir/build/BUILD + '[' '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' '!=' / ']' + rm -rf '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' ++ dirname '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' + mkdir -p /builddir/build/BUILDROOT + mkdir '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + DESTDIR='/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' + /usr/bin/ninja install -v -j4 -C redhat-linux-build install-openvswitch ninja: Entering directory `redhat-linux-build' [0/2] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && /usr/bin/cmake -P cmake_install.cmake -- Install configuration: "" -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/videoencoder -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin/isotovideo -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst/README.asciidoc -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst/COPYING -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst/basetest.html -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst/testapi.html -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/autotest.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/amt.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/baseclass.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/console_proxy.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/driver.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/generalhw.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/ikvm.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/ipmi.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/null.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/pvm_hmc.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/pvm.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/qemu.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/s390x.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/spvm.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/svirt.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/vagrant.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend/virt.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/basetest.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/bmwqemu.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/commands.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/amtSol.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/console.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/ipmiSol.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/localXvnc.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/network_console.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/s3270.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/serial_screen.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshIucvconn.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/ssh_screen.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshSerial.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshVirtsh.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshVirtshSUT.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshX3270.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshXtermIPMI.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/sshXtermVt.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/ttyConsole.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/video_base.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/video_stream.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/virtio_terminal.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/vnc_base.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/VNC.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/VMWare.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/distribution.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/lockapi.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/log.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/mmapi.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/myjsonrpc.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/needle.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/ocr.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Benchmark/Stopwatch.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Commands.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Exceptions.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo/Backend.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo/CommandHandler.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo/Interface.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo/NeedleDownloader.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo/Utils.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/NamedIOSelect.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/BlockDevConf.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/BlockDev.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/ControllerConf.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/DriveController.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/DriveDevice.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/DrivePath.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/MutParams.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/PFlashDevice.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/Proc.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/SnapshotConf.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu/Snapshot.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Test/RunArgs.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/osutils.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/signalblocker.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/testapi.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles/icewm.cfg -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/crop.py -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/testapi.py -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/dell_e6330/smbios_type_1.bin -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/dell_e6330/smbios_type_2.bin -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/dell_e6330/smbios_type_3.bin -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1/smbios_type_1.bin -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1/smbios_type_2.bin -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1/smbios_type_3.bin -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/dump -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/cv.pm -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/schema/Wheels-01.yaml -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/check_qemu_oom -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dewebsockify -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/vnctest -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin/debugviewer -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin/snd2png -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/auto/tinycv/tinycv.so -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/tinycv.pm [1/2] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && /usr/bin/cmake -DCMAKE_INSTALL_COMPONENT=openvswitch -P /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/cmake_install.cmake -- Install configuration: "" -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/os-autoinst-openvswitch -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc/dbus-1/system.d/org.opensuse.os_autoinst.switch.conf -- Installing: /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/systemd/system/os-autoinst-openvswitch.service + rm '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/crop.py' + rm '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst/COPYING' + ls -lR '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64: total 0 drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 etc drwxr-xr-x. 6 mockbuild mock 120 Mar 22 05:08 usr /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc: total 0 drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 dbus-1 /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc/dbus-1: total 0 drwxr-xr-x. 2 mockbuild mock 60 Mar 22 05:08 system.d /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc/dbus-1/system.d: total 4 -rw-r--r--. 1 mockbuild mock 658 Nov 22 13:40 org.opensuse.os_autoinst.switch.conf /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr: total 0 drwxr-xr-x. 2 mockbuild mock 100 Mar 22 05:08 bin drwxr-xr-x. 4 mockbuild mock 80 Mar 22 05:08 lib drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 lib64 drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 share /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin: total 956 -rwxr-xr-x. 1 mockbuild mock 430096 Mar 22 05:08 debugviewer -rwxr-xr-x. 1 mockbuild mock 9210 Mar 22 05:08 isotovideo -rwxr-xr-x. 1 mockbuild mock 528592 Mar 22 05:08 snd2png /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib: total 0 drwxr-xr-x. 7 mockbuild mock 560 Mar 22 05:08 os-autoinst drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 systemd /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst: total 800 drwxr-xr-x. 6 mockbuild mock 180 Mar 22 05:08 OpenQA -rw-r--r--. 1 mockbuild mock 15085 Nov 22 13:40 autotest.pm drwxr-xr-x. 2 mockbuild mock 360 Mar 22 05:08 backend -rw-r--r--. 1 mockbuild mock 20374 Nov 22 13:40 basetest.pm -rw-r--r--. 1 mockbuild mock 8533 Nov 22 13:40 bmwqemu.pm -rwxr-xr-x. 1 mockbuild mock 721 Nov 22 13:40 check_qemu_oom -rw-r--r--. 1 mockbuild mock 11711 Nov 22 13:40 commands.pm drwxr-xr-x. 2 mockbuild mock 500 Mar 22 05:08 consoles -rw-r--r--. 1 mockbuild mock 947 Mar 22 05:08 cv.pm -rwxr-xr-x. 1 mockbuild mock 4890 Nov 22 13:40 dewebsockify -rw-r--r--. 1 mockbuild mock 12903 Nov 22 13:40 distribution.pm drwxr-xr-x. 4 mockbuild mock 100 Mar 22 05:08 dmidata -rw-r--r--. 1 mockbuild mock 5896 Nov 22 13:40 lockapi.pm -rw-r--r--. 1 mockbuild mock 1671 Nov 22 13:40 log.pm -rw-r--r--. 1 mockbuild mock 7804 Nov 22 13:40 mmapi.pm -rw-r--r--. 1 mockbuild mock 4388 Nov 22 13:40 myjsonrpc.pm -rw-r--r--. 1 mockbuild mock 10221 Nov 22 13:40 needle.pm -rw-r--r--. 1 mockbuild mock 721 Nov 22 13:40 ocr.pm -rwxr-xr-x. 1 mockbuild mock 8635 Nov 22 13:40 os-autoinst-openvswitch -rw-r--r--. 1 mockbuild mock 3681 Nov 22 13:40 osutils.pm drwxr-xr-x. 2 mockbuild mock 60 Mar 22 05:08 schema -rw-r--r--. 1 mockbuild mock 1305 Nov 22 13:40 signalblocker.pm -rw-r--r--. 1 mockbuild mock 72944 Nov 22 13:40 testapi.pm -rw-r--r--. 1 mockbuild mock 320 Nov 22 13:40 testapi.py -rwxr-xr-x. 1 mockbuild mock 574928 Mar 22 05:08 videoencoder -rwxr-xr-x. 1 mockbuild mock 1484 Nov 22 13:40 vnctest /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA: total 12 drwxr-xr-x. 2 mockbuild mock 60 Mar 22 05:08 Benchmark -rw-r--r--. 1 mockbuild mock 2627 Nov 22 13:40 Commands.pm -rw-r--r--. 1 mockbuild mock 858 Nov 22 13:40 Exceptions.pm drwxr-xr-x. 2 mockbuild mock 140 Mar 22 05:08 Isotovideo -rw-r--r--. 1 mockbuild mock 914 Nov 22 13:40 NamedIOSelect.pm drwxr-xr-x. 2 mockbuild mock 260 Mar 22 05:08 Qemu drwxr-xr-x. 2 mockbuild mock 60 Mar 22 05:08 Test /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Benchmark: total 8 -rw-r--r--. 1 mockbuild mock 6835 Nov 22 13:40 Stopwatch.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo: total 48 -rw-r--r--. 1 mockbuild mock 779 Nov 22 13:40 Backend.pm -rw-r--r--. 1 mockbuild mock 17938 Nov 22 13:40 CommandHandler.pm -rw-r--r--. 1 mockbuild mock 831 Nov 22 13:40 Interface.pm -rw-r--r--. 1 mockbuild mock 4516 Nov 22 13:40 NeedleDownloader.pm -rw-r--r--. 1 mockbuild mock 11357 Nov 22 13:40 Utils.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu: total 68 -rw-r--r--. 1 mockbuild mock 5889 Nov 22 13:40 BlockDev.pm -rw-r--r--. 1 mockbuild mock 7543 Nov 22 13:40 BlockDevConf.pm -rw-r--r--. 1 mockbuild mock 1037 Nov 22 13:40 ControllerConf.pm -rw-r--r--. 1 mockbuild mock 520 Nov 22 13:40 DriveController.pm -rw-r--r--. 1 mockbuild mock 4568 Nov 22 13:40 DriveDevice.pm -rw-r--r--. 1 mockbuild mock 677 Nov 22 13:40 DrivePath.pm -rw-r--r--. 1 mockbuild mock 1564 Nov 22 13:40 MutParams.pm -rw-r--r--. 1 mockbuild mock 1098 Nov 22 13:40 PFlashDevice.pm -rw-r--r--. 1 mockbuild mock 16096 Nov 22 13:40 Proc.pm -rw-r--r--. 1 mockbuild mock 872 Nov 22 13:40 Snapshot.pm -rw-r--r--. 1 mockbuild mock 2117 Nov 22 13:40 SnapshotConf.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Test: total 4 -rw-r--r--. 1 mockbuild mock 314 Nov 22 13:40 RunArgs.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend: total 188 -rw-r--r--. 1 mockbuild mock 6936 Nov 22 13:40 amt.pm -rw-r--r--. 1 mockbuild mock 51918 Nov 22 13:40 baseclass.pm -rw-r--r--. 1 mockbuild mock 2072 Nov 22 13:40 console_proxy.pm -rw-r--r--. 1 mockbuild mock 4236 Nov 22 13:40 driver.pm -rw-r--r--. 1 mockbuild mock 6372 Nov 22 13:40 generalhw.pm -rw-r--r--. 1 mockbuild mock 1356 Nov 22 13:40 ikvm.pm -rw-r--r--. 1 mockbuild mock 6217 Nov 22 13:40 ipmi.pm -rw-r--r--. 1 mockbuild mock 421 Nov 22 13:40 null.pm -rw-r--r--. 1 mockbuild mock 8274 Nov 22 13:40 pvm.pm -rw-r--r--. 1 mockbuild mock 2235 Nov 22 13:40 pvm_hmc.pm -rw-r--r--. 1 mockbuild mock 42604 Nov 22 13:40 qemu.pm -rw-r--r--. 1 mockbuild mock 1253 Nov 22 13:40 s390x.pm -rw-r--r--. 1 mockbuild mock 2521 Nov 22 13:40 spvm.pm -rw-r--r--. 1 mockbuild mock 12217 Nov 22 13:40 svirt.pm -rw-r--r--. 1 mockbuild mock 7423 Nov 22 13:40 vagrant.pm -rw-r--r--. 1 mockbuild mock 311 Nov 22 13:40 virt.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles: total 184 -rw-r--r--. 1 mockbuild mock 6244 Nov 22 13:40 VMWare.pm -rw-r--r--. 1 mockbuild mock 35704 Nov 22 13:40 VNC.pm -rw-r--r--. 1 mockbuild mock 2441 Nov 22 13:40 amtSol.pm -rw-r--r--. 1 mockbuild mock 2460 Nov 22 13:40 console.pm -rw-r--r--. 1 mockbuild mock 2146 Nov 22 13:40 icewm.cfg -rw-r--r--. 1 mockbuild mock 2699 Nov 22 13:40 ipmiSol.pm -rw-r--r--. 1 mockbuild mock 4419 Nov 22 13:40 localXvnc.pm -rw-r--r--. 1 mockbuild mock 587 Nov 22 13:40 network_console.pm -rw-r--r--. 1 mockbuild mock 15208 Nov 22 13:40 s3270.pm -rw-r--r--. 1 mockbuild mock 9830 Nov 22 13:40 serial_screen.pm -rw-r--r--. 1 mockbuild mock 1686 Nov 22 13:40 sshIucvconn.pm -rw-r--r--. 1 mockbuild mock 2006 Nov 22 13:40 sshSerial.pm -rw-r--r--. 1 mockbuild mock 23753 Nov 22 13:40 sshVirtsh.pm -rw-r--r--. 1 mockbuild mock 1894 Nov 22 13:40 sshVirtshSUT.pm -rw-r--r--. 1 mockbuild mock 1101 Nov 22 13:40 sshX3270.pm -rw-r--r--. 1 mockbuild mock 1462 Nov 22 13:40 sshXtermIPMI.pm -rw-r--r--. 1 mockbuild mock 2290 Nov 22 13:40 sshXtermVt.pm -rw-r--r--. 1 mockbuild mock 3016 Nov 22 13:40 ssh_screen.pm -rw-r--r--. 1 mockbuild mock 422 Nov 22 13:40 ttyConsole.pm -rw-r--r--. 1 mockbuild mock 4146 Nov 22 13:40 video_base.pm -rw-r--r--. 1 mockbuild mock 7793 Nov 22 13:40 video_stream.pm -rw-r--r--. 1 mockbuild mock 4773 Nov 22 13:40 virtio_terminal.pm -rw-r--r--. 1 mockbuild mock 3237 Nov 22 13:40 vnc_base.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata: total 4 drwxr-xr-x. 2 mockbuild mock 100 Mar 22 05:08 dell_e6330 -rw-r--r--. 1 mockbuild mock 145 Nov 22 13:40 dump drwxr-xr-x. 2 mockbuild mock 100 Mar 22 05:08 hp_elitebook_820g1 /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/dell_e6330: total 12 -rw-r--r--. 1 mockbuild mock 63 Nov 22 13:40 smbios_type_1.bin -rw-r--r--. 1 mockbuild mock 63 Nov 22 13:40 smbios_type_2.bin -rw-r--r--. 1 mockbuild mock 67 Nov 22 13:40 smbios_type_3.bin /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1: total 12 -rw-r--r--. 1 mockbuild mock 128 Nov 22 13:40 smbios_type_1.bin -rw-r--r--. 1 mockbuild mock 72 Nov 22 13:40 smbios_type_2.bin -rw-r--r--. 1 mockbuild mock 55 Nov 22 13:40 smbios_type_3.bin /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/schema: total 4 -rw-r--r--. 1 mockbuild mock 375 Nov 22 13:40 Wheels-01.yaml /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/systemd: total 0 drwxr-xr-x. 2 mockbuild mock 60 Mar 22 05:08 system /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/systemd/system: total 4 -rw-r--r--. 1 mockbuild mock 576 Mar 22 05:08 os-autoinst-openvswitch.service /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64: total 0 drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 perl5 /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5: total 0 drwxr-xr-x. 3 mockbuild mock 80 Mar 22 05:08 vendor_perl /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl: total 8 drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 auto -rw-r--r--. 1 mockbuild mock 6015 Nov 22 13:40 tinycv.pm /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/auto: total 0 drwxr-xr-x. 2 mockbuild mock 60 Mar 22 05:08 tinycv /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/auto/tinycv: total 1008 -rwxr-xr-x. 1 mockbuild mock 1031040 Mar 22 05:08 tinycv.so /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share: total 0 drwxr-xr-x. 3 mockbuild mock 60 Mar 22 05:08 doc /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc: total 0 drwxr-xr-x. 2 mockbuild mock 100 Mar 22 05:08 os-autoinst /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst: total 68 -rw-r--r--. 1 mockbuild mock 14241 Nov 22 13:40 README.asciidoc -rw-r--r--. 1 mockbuild mock 4009 Mar 22 05:08 basetest.html -rw-r--r--. 1 mockbuild mock 47161 Mar 22 05:08 testapi.html + find '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' -type f -name .packlist -exec rm -f '{}' ';' + find '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' -depth -type d -and -not -name distri -exec rmdir '{}' ';' rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc/dbus-1/system.d': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc/dbus-1': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/etc': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/auto/tinycv': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/auto': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc/os-autoinst': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/doc': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/systemd/system': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/systemd': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/schema': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata/dell_e6330': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/dmidata': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Test': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Qemu': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Isotovideo': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA/Benchmark': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/OpenQA': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/consoles': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/backend': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr': Directory not empty rmdir: failed to remove '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64': Directory not empty + export NO_BRP_STALE_LINK_ERROR=yes + NO_BRP_STALE_LINK_ERROR=yes + /usr/bin/find-debuginfo -j4 --strict-build-id -m -i --build-id-seed '4.6^20221122git5a76fb8-3.fc39' --unique-debug-suffix '-4.6^20221122git5a76fb8-3.fc39.aarch64' --unique-debug-src-base 'os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 extracting debug info from /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin/snd2png extracting debug info from /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/bin/debugviewer extracting debug info from /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib/os-autoinst/videoencoder extracting debug info from /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/lib64/perl5/vendor_perl/auto/tinycv/tinycv.so original debug info size: 2072kB, size after compression: 1484kB /usr/bin/sepdebugcrcfix: Updated 4 CRC32s, 0 CRC32s did match. 228 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j4 + /usr/lib/rpm/redhat/brp-python-hardlink Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.Nlkzwi + umask 022 + cd /builddir/build/BUILD + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cforce-frame-pointers=yes -Clink-arg=-Wl,-z,relro -Clink-arg=-Wl,-z,now -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + export CI=1 + CI=1 + export OPENQA_TEST_TIMEOUT_SCALE_CI=20 + OPENQA_TEST_TIMEOUT_SCALE_CI=20 + export 'PROVE_ARGS=--timer -v --nocolor' + PROVE_ARGS='--timer -v --nocolor' + rm tools/lib/perlcritic/Perl/Critic/Policy/HashKeyQuotes.pm + /usr/bin/ninja -v -j4 -C redhat-linux-build check-pkg-build ninja: Entering directory `redhat-linux-build' [1/2] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && mkdir -p /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/blib/arch/auto/tinycv && mkdir -p /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/blib/lib && ln -fs ../../tinycv.pm /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/blib/lib/tinycv.pm && ln -fs /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/ppmclibs/tinycv.so /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/ppmclibs/blib/arch/auto/tinycv/tinycv.so && ln -fs /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/videoencoder /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/videoencoder && ln -fs /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/snd2png/snd2png /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/snd2png/snd2png && ln -fs /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/debugviewer/debugviewer /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/debugviewer/debugviewer [1/2] cd /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build && /usr/bin/ctest -V -E test-local-.* UpdateCTestConfiguration from :/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/DartConfiguration.tcl UpdateCTestConfiguration from :/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build/DartConfiguration.tcl Test project /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build Constructing a list of tests Done constructing a list of tests Updating test list for fixtures Added 0 tests to meet fixture requirements Checking test dependency graph... Checking test dependency graph end test 1 Start 1: test-installed-files 1: Test command: /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/tools/check-installed-files "/usr/bin/ninja-build" 1: Working Directory: /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build 1: Test timeout computed to be: 10000000 1: [0/1] Install the project... 1: -- Install configuration: "" 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/videoencoder 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/bin/isotovideo 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/share/doc/os-autoinst/README.asciidoc 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/share/doc/os-autoinst/COPYING 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/share/doc/os-autoinst/basetest.html 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/share/doc/os-autoinst/testapi.html 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/autotest.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/amt.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/baseclass.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/console_proxy.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/driver.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/generalhw.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/ikvm.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/ipmi.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/null.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/pvm_hmc.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/pvm.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/qemu.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/s390x.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/spvm.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/svirt.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/vagrant.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/backend/virt.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/basetest.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/bmwqemu.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/commands.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/amtSol.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/console.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/ipmiSol.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/localXvnc.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/network_console.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/s3270.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/serial_screen.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshIucvconn.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/ssh_screen.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshSerial.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshVirtsh.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshVirtshSUT.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshX3270.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshXtermIPMI.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/sshXtermVt.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/ttyConsole.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/video_base.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/video_stream.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/virtio_terminal.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/vnc_base.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/VNC.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/VMWare.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/distribution.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/lockapi.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/log.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/mmapi.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/myjsonrpc.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/needle.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/ocr.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Benchmark/Stopwatch.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Commands.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Exceptions.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Isotovideo/Backend.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Isotovideo/CommandHandler.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Isotovideo/Interface.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Isotovideo/NeedleDownloader.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Isotovideo/Utils.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/NamedIOSelect.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/BlockDevConf.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/BlockDev.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/ControllerConf.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/DriveController.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/DriveDevice.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/DrivePath.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/MutParams.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/PFlashDevice.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/Proc.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/SnapshotConf.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Qemu/Snapshot.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/OpenQA/Test/RunArgs.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/osutils.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/signalblocker.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/testapi.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/consoles/icewm.cfg 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/crop.py 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/testapi.py 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/dell_e6330/smbios_type_1.bin 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/dell_e6330/smbios_type_2.bin 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/dell_e6330/smbios_type_3.bin 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1/smbios_type_1.bin 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1/smbios_type_2.bin 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/hp_elitebook_820g1/smbios_type_3.bin 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dmidata/dump 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/cv.pm 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/schema/Wheels-01.yaml 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/check_qemu_oom 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/dewebsockify 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib/os-autoinst/vnctest 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/bin/debugviewer 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/bin/snd2png 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib64/perl5/vendor_perl/auto/tinycv/tinycv.so 1: -- Installing: /tmp/tmp.aZAcnU7Qe7/usr/lib64/perl5/vendor_perl/tinycv.pm 1/2 Test #1: test-installed-files ............. Passed 0.16 sec test 2 Start 2: test-perl-testsuite 2: Test command: /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/tools/invoke-tests "--prove-tool" "/usr/bin/prove" "--make-tool" "/usr/bin/ninja-build" "--unbuffer-tool" "/usr/bin/unbuffer" "--build-directory" "/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/redhat-linux-build" 2: Working Directory: /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 2: Test timeout computed to be: 10000000 2: [05:08:23] ./t/00-compile-check-all.t ................. 2: ok 1 - Syntax check vnctest 2: ok 2 - use strict vnctest 2: ok 3 - use warnings vnctest 2: ok 4 - Syntax check testapi.pm 2: ok 5 - use strict testapi.pm 2: ok 6 - use warnings testapi.pm 2: ok 7 - Syntax check signalblocker.pm 2: ok 8 - use strict signalblocker.pm 2: ok 9 - use warnings signalblocker.pm 2: ok 10 - Syntax check osutils.pm 2: ok 11 - use strict osutils.pm 2: ok 12 - use warnings osutils.pm 2: ok 13 - Syntax check os-autoinst-openvswitch 2: ok 14 - use strict os-autoinst-openvswitch 2: ok 15 - use warnings os-autoinst-openvswitch 2: ok 16 - Syntax check ocr.pm 2: ok 17 - use strict ocr.pm 2: ok 18 - use warnings ocr.pm 2: ok 19 - Syntax check needle.pm 2: ok 20 - use strict needle.pm 2: ok 21 - use warnings needle.pm 2: ok 22 - Syntax check myjsonrpc.pm 2: ok 23 - use strict myjsonrpc.pm 2: ok 24 - use warnings myjsonrpc.pm 2: ok 25 - Syntax check mmapi.pm 2: ok 26 - use strict mmapi.pm 2: ok 27 - use warnings mmapi.pm 2: ok 28 - Syntax check log.pm 2: ok 29 - use strict log.pm 2: ok 30 - use warnings log.pm 2: ok 31 - Syntax check lockapi.pm 2: ok 32 - use strict lockapi.pm 2: ok 33 - use warnings lockapi.pm 2: ok 34 - Syntax check isotovideo 2: ok 35 - use strict isotovideo 2: ok 36 - use warnings isotovideo 2: ok 37 - Syntax check imgsearch 2: ok 38 - use strict imgsearch 2: ok 39 - use warnings imgsearch 2: ok 40 - Syntax check distribution.pm 2: ok 41 - use strict distribution.pm 2: ok 42 - use warnings distribution.pm 2: ok 43 - Syntax check dewebsockify 2: ok 44 - use strict dewebsockify 2: ok 45 - use warnings dewebsockify 2: ok 46 - Syntax check cv.pm 2: ok 47 - use strict cv.pm 2: ok 48 - use warnings cv.pm 2: ok 49 - Syntax check commands.pm 2: ok 50 - use strict commands.pm 2: ok 51 - use warnings commands.pm 2: ok 52 - Syntax check check_qemu_oom 2: ok 53 - use strict check_qemu_oom 2: ok 54 - use warnings check_qemu_oom 2: ok 55 - Syntax check check_needles.pl 2: ok 56 - use strict check_needles.pl 2: ok 57 - use warnings check_needles.pl 2: ok 58 - Syntax check bmwqemu.pm 2: ok 59 - use strict bmwqemu.pm 2: ok 60 - use warnings bmwqemu.pm 2: ok 61 - Syntax check basetest.pm 2: ok 62 - use strict basetest.pm 2: ok 63 - use warnings basetest.pm 2: ok 64 - Syntax check autotest.pm 2: ok 65 - use strict autotest.pm 2: ok 66 - use warnings autotest.pm 2: ok 67 - Syntax check redhat-linux-build/install-target/isotovideo 2: ok 68 - use strict redhat-linux-build/install-target/isotovideo 2: ok 69 - use warnings redhat-linux-build/install-target/isotovideo 2: ok 70 - Syntax check redhat-linux-build/install-target/cv.pm 2: ok 71 - use strict redhat-linux-build/install-target/cv.pm 2: ok 72 - use warnings redhat-linux-build/install-target/cv.pm 2: ok 73 - Syntax check xt/27-make-update-deps.t 2: ok 74 - use strict xt/27-make-update-deps.t 2: ok 75 - use warnings xt/27-make-update-deps.t 2: ok 76 - Syntax check xt/06-pod-coverage.t 2: ok 77 - use strict xt/06-pod-coverage.t 2: ok 78 - use warnings xt/06-pod-coverage.t 2: ok 79 - Syntax check xt/05-pod.t 2: ok 80 - use strict xt/05-pod.t 2: ok 81 - use warnings xt/05-pod.t 2: ok 82 - Syntax check xt/01-style.t 2: ok 83 - use strict xt/01-style.t 2: ok 84 - use warnings xt/01-style.t 2: ok 85 - Syntax check tools/update-deps 2: ok 86 - use strict tools/update-deps 2: ok 87 - use warnings tools/update-deps 2: ok 88 - Syntax check tools/check_coverage 2: ok 89 - use strict tools/check_coverage 2: ok 90 - use warnings tools/check_coverage 2: ok 91 - Syntax check tools/absolutize 2: ok 92 - use strict tools/absolutize 2: ok 93 - use warnings tools/absolutize 2: ok 94 - Syntax check t/44-scripts.t 2: ok 95 - use strict t/44-scripts.t 2: ok 96 - use warnings t/44-scripts.t 2: ok 97 - Syntax check t/38-carp-trace.t 2: ok 98 - use strict t/38-carp-trace.t 2: ok 99 - use warnings t/38-carp-trace.t 2: ok 100 - Syntax check t/37-mutparams.t 2: ok 101 - use strict t/37-mutparams.t 2: ok 102 - use warnings t/37-mutparams.t 2: ok 103 - Syntax check t/36-openqa-namedioselect.t 2: ok 104 - use strict t/36-openqa-namedioselect.t 2: ok 105 - use warnings t/36-openqa-namedioselect.t 2: ok 106 - Syntax check t/35-imgsearch.t 2: ok 107 - use strict t/35-imgsearch.t 2: ok 108 - use warnings t/35-imgsearch.t 2: ok 109 - Syntax check t/34-git.t 2: ok 110 - use strict t/34-git.t 2: ok 111 - use warnings t/34-git.t 2: ok 112 - Syntax check t/33-vagrant.t 2: ok 113 - use strict t/33-vagrant.t 2: ok 114 - use warnings t/33-vagrant.t 2: ok 115 - Syntax check t/32-console_proxy.t 2: ok 116 - use strict t/32-console_proxy.t 2: ok 117 - use warnings t/32-console_proxy.t 2: ok 118 - Syntax check t/31-sshSerial.t 2: ok 119 - use strict t/31-sshSerial.t 2: ok 120 - use warnings t/31-sshSerial.t 2: ok 121 - Syntax check t/30-mmapi.t 2: ok 122 - use strict t/30-mmapi.t 2: ok 123 - use warnings t/30-mmapi.t 2: ok 124 - Syntax check t/29-backend-svirt.t 2: ok 125 - use strict t/29-backend-svirt.t 2: ok 126 - use warnings t/29-backend-svirt.t 2: ok 127 - Syntax check t/29-backend-spvm.t 2: ok 128 - use strict t/29-backend-spvm.t 2: ok 129 - use warnings t/29-backend-spvm.t 2: ok 130 - Syntax check t/29-backend-s390x.t 2: ok 131 - use strict t/29-backend-s390x.t 2: ok 132 - use warnings t/29-backend-s390x.t 2: ok 133 - Syntax check t/29-backend-pvm.t 2: ok 134 - use strict t/29-backend-pvm.t 2: ok 135 - use warnings t/29-backend-pvm.t 2: ok 136 - Syntax check t/29-backend-null.t 2: ok 137 - use strict t/29-backend-null.t 2: ok 138 - use warnings t/29-backend-null.t 2: ok 139 - Syntax check t/29-backend-ipmi.t 2: ok 140 - use strict t/29-backend-ipmi.t 2: ok 141 - use warnings t/29-backend-ipmi.t 2: ok 142 - Syntax check t/29-backend-ikvm.t 2: ok 143 - use strict t/29-backend-ikvm.t 2: ok 144 - use warnings t/29-backend-ikvm.t 2: ok 145 - Syntax check t/29-backend-generalhw.t 2: ok 146 - use strict t/29-backend-generalhw.t 2: ok 147 - use warnings t/29-backend-generalhw.t 2: ok 148 - Syntax check t/29-backend-driver.t 2: ok 149 - use strict t/29-backend-driver.t 2: ok 150 - use warnings t/29-backend-driver.t 2: ok 151 - Syntax check t/29-backend-amt.t 2: ok 152 - use strict t/29-backend-amt.t 2: ok 153 - use warnings t/29-backend-amt.t 2: ok 154 - Syntax check t/28-signalblocker.t 2: ok 155 - use strict t/28-signalblocker.t 2: ok 156 - use warnings t/28-signalblocker.t 2: ok 157 - Syntax check t/27-consoles-vnc_base.t 2: ok 158 - use strict t/27-consoles-vnc_base.t 2: ok 159 - use warnings t/27-consoles-vnc_base.t 2: ok 160 - Syntax check t/27-consoles-vnc.t 2: ok 161 - use strict t/27-consoles-vnc.t 2: ok 162 - use warnings t/27-consoles-vnc.t 2: ok 163 - Syntax check t/27-consoles-vmware.t 2: ok 164 - use strict t/27-consoles-vmware.t 2: ok 165 - use warnings t/27-consoles-vmware.t 2: ok 166 - Syntax check t/27-consoles-ssh_virtsh_sut.t 2: ok 167 - use strict t/27-consoles-ssh_virtsh_sut.t 2: ok 168 - use warnings t/27-consoles-ssh_virtsh_sut.t 2: ok 169 - Syntax check t/27-consoles-network_console.t 2: ok 170 - use strict t/27-consoles-network_console.t 2: ok 171 - use warnings t/27-consoles-network_console.t 2: ok 172 - Syntax check t/27-consoles-local_xvnc.t 2: ok 173 - use strict t/27-consoles-local_xvnc.t 2: ok 174 - use warnings t/27-consoles-local_xvnc.t 2: ok 175 - Syntax check t/27-consoles-amt_sol.t 2: ok 176 - use strict t/27-consoles-amt_sol.t 2: ok 177 - use warnings t/27-consoles-amt_sol.t 2: ok 178 - Syntax check t/26-video_stream.t 2: ok 179 - use strict t/26-video_stream.t 2: ok 180 - use warnings t/26-video_stream.t 2: ok 181 - Syntax check t/26-ssh_screen.t 2: ok 182 - use strict t/26-ssh_screen.t 2: ok 183 - use warnings t/26-ssh_screen.t 2: ok 184 - Syntax check t/26-serial_screen.t 2: ok 185 - use strict t/26-serial_screen.t 2: ok 186 - use warnings t/26-serial_screen.t 2: ok 187 - Syntax check t/25-spvm.t 2: ok 188 - use strict t/25-spvm.t 2: ok 189 - use warnings t/25-spvm.t 2: ok 190 - Syntax check t/24-myjsonrpc.t 2: ok 191 - use strict t/24-myjsonrpc.t 2: ok 192 - use warnings t/24-myjsonrpc.t 2: ok 193 - Syntax check t/24-myjsonrpc-debug.t 2: ok 194 - use strict t/24-myjsonrpc-debug.t 2: ok 195 - use warnings t/24-myjsonrpc-debug.t 2: ok 196 - Syntax check t/23-baseclass.t 2: ok 197 - use strict t/23-baseclass.t 2: ok 198 - use warnings t/23-baseclass.t 2: ok 199 - Syntax check t/22-svirt.t 2: ok 200 - use strict t/22-svirt.t 2: ok 201 - use warnings t/22-svirt.t 2: ok 202 - Syntax check t/21-needle-downloader.t 2: ok 203 - use strict t/21-needle-downloader.t 2: ok 204 - use warnings t/21-needle-downloader.t 2: ok 205 - Syntax check t/20-openqa-isotovideo-utils.t 2: ok 206 - use strict t/20-openqa-isotovideo-utils.t 2: ok 207 - use warnings t/20-openqa-isotovideo-utils.t 2: ok 208 - Syntax check t/20-openqa-benchmark-stopwatch-utils.t 2: ok 209 - use strict t/20-openqa-benchmark-stopwatch-utils.t 2: ok 210 - use warnings t/20-openqa-benchmark-stopwatch-utils.t 2: ok 211 - Syntax check t/19-isotovideo-command-processing.t 2: ok 212 - use strict t/19-isotovideo-command-processing.t 2: ok 213 - use warnings t/19-isotovideo-command-processing.t 2: ok 214 - Syntax check t/18-qemu.t 2: ok 215 - use strict t/18-qemu.t 2: ok 216 - use warnings t/18-qemu.t 2: ok 217 - Syntax check t/18-qemu-options.t 2: ok 218 - use strict t/18-qemu-options.t 2: ok 219 - use warnings t/18-qemu-options.t 2: ok 220 - Syntax check t/18-backend-qemu.t 2: ok 221 - use strict t/18-backend-qemu.t 2: ok 222 - use warnings t/18-backend-qemu.t 2: ok 223 - Syntax check t/17-basetest.t 2: ok 224 - use strict t/17-basetest.t 2: ok 225 - use warnings t/17-basetest.t 2: ok 226 - Syntax check t/16-send_with_fd.t 2: ok 227 - use strict t/16-send_with_fd.t 2: ok 228 - use warnings t/16-send_with_fd.t 2: ok 229 - Syntax check t/15-logging.t 2: ok 230 - use strict t/15-logging.t 2: ok 231 - use warnings t/15-logging.t 2: ok 232 - Syntax check t/14-isotovideo.t 2: ok 233 - use strict t/14-isotovideo.t 2: ok 234 - use warnings t/14-isotovideo.t 2: ok 235 - Syntax check t/14-debugging-tools.t 2: ok 236 - use strict t/14-debugging-tools.t 2: ok 237 - use warnings t/14-debugging-tools.t 2: ok 238 - Syntax check t/13-osutils.t 2: ok 239 - use strict t/13-osutils.t 2: ok 240 - use warnings t/13-osutils.t 2: ok 241 - Syntax check t/12-bmwqemu.t 2: ok 242 - use strict t/12-bmwqemu.t 2: ok 243 - use warnings t/12-bmwqemu.t 2: ok 244 - Syntax check t/11-image-ppm.t 2: ok 245 - use strict t/11-image-ppm.t 2: ok 246 - use warnings t/11-image-ppm.t 2: ok 247 - Syntax check t/10-virtio_terminal.t 2: ok 248 - use strict t/10-virtio_terminal.t 2: ok 249 - use warnings t/10-virtio_terminal.t 2: ok 250 - Syntax check t/10-test-image-conversion-benchmark.t 2: ok 251 - use strict t/10-test-image-conversion-benchmark.t 2: ok 252 - use warnings t/10-test-image-conversion-benchmark.t 2: ok 253 - Syntax check t/10-terminal.t 2: ok 254 - use strict t/10-terminal.t 2: ok 255 - use warnings t/10-terminal.t 2: ok 256 - Syntax check t/08-autotest.t 2: ok 257 - use strict t/08-autotest.t 2: ok 258 - use warnings t/08-autotest.t 2: ok 259 - Syntax check t/07-commands.t 2: ok 260 - use strict t/07-commands.t 2: ok 261 - use warnings t/07-commands.t 2: ok 262 - Syntax check t/05-distribution.t 2: ok 263 - use strict t/05-distribution.t 2: ok 264 - use warnings t/05-distribution.t 2: ok 265 - Syntax check t/04-testapi-python.t 2: ok 266 - use strict t/04-testapi-python.t 2: ok 267 - use warnings t/04-testapi-python.t 2: ok 268 - Syntax check t/04-check_vars_docu.t 2: ok 269 - use strict t/04-check_vars_docu.t 2: ok 270 - use warnings t/04-check_vars_docu.t 2: ok 271 - Syntax check t/03-testapi.t 2: ok 272 - use strict t/03-testapi.t 2: ok 273 - use warnings t/03-testapi.t 2: ok 274 - Syntax check t/01-test_needle.t 2: ok 275 - use strict t/01-test_needle.t 2: ok 276 - use warnings t/01-test_needle.t 2: ok 277 - Syntax check t/00-compile-check-all.t 2: ok 278 - use strict t/00-compile-check-all.t 2: ok 279 - use warnings t/00-compile-check-all.t 2: ok 280 - Syntax check t/lib/OpenQA/Test/CheckGitStatus.pm 2: ok 281 - use strict t/lib/OpenQA/Test/CheckGitStatus.pm 2: ok 282 - use warnings t/lib/OpenQA/Test/CheckGitStatus.pm 2: ok 283 - Syntax check t/fake/tests/start.pm 2: ok 284 - use strict t/fake/tests/start.pm 2: ok 285 - use warnings t/fake/tests/start.pm 2: ok 286 - Syntax check t/fake/tests/scheduler.pm 2: ok 287 - use strict t/fake/tests/scheduler.pm 2: ok 288 - use warnings t/fake/tests/scheduler.pm 2: ok 289 - Syntax check t/fake/tests/run_args.pm 2: ok 290 - use strict t/fake/tests/run_args.pm 2: ok 291 - use warnings t/fake/tests/run_args.pm 2: ok 292 - Syntax check t/fake/tests/next.pm 2: ok 293 - use strict t/fake/tests/next.pm 2: ok 294 - use warnings t/fake/tests/next.pm 2: ok 295 - Syntax check t/fake/tests/ignore_failure.pm 2: ok 296 - use strict t/fake/tests/ignore_failure.pm 2: ok 297 - use warnings t/fake/tests/ignore_failure.pm 2: ok 298 - Syntax check t/fake/tests/fatal.pm 2: ok 299 - use strict t/fake/tests/fatal.pm 2: ok 300 - use warnings t/fake/tests/fatal.pm 2: ok 301 - Syntax check t/data/tests/foo.pm 2: ok 302 - use strict t/data/tests/foo.pm 2: ok 303 - use warnings t/data/tests/foo.pm 2: ok 304 - Syntax check t/data/tests/tests/typing.pm 2: ok 305 - use strict t/data/tests/tests/typing.pm 2: ok 306 - use warnings t/data/tests/tests/typing.pm 2: ok 307 - Syntax check t/data/tests/tests/shutdown.pm 2: ok 308 - use strict t/data/tests/tests/shutdown.pm 2: ok 309 - use warnings t/data/tests/tests/shutdown.pm 2: ok 310 - Syntax check t/data/tests/tests/select_ssh_console_fail_test.pm 2: ok 311 - use strict t/data/tests/tests/select_ssh_console_fail_test.pm 2: ok 312 - use warnings t/data/tests/tests/select_ssh_console_fail_test.pm 2: ok 313 - Syntax check t/data/tests/tests/select_console_fail_test.pm 2: ok 314 - use strict t/data/tests/tests/select_console_fail_test.pm 2: ok 315 - use warnings t/data/tests/tests/select_console_fail_test.pm 2: ok 316 - Syntax check t/data/tests/tests/reload_needles.pm 2: ok 317 - use strict t/data/tests/tests/reload_needles.pm 2: ok 318 - use warnings t/data/tests/tests/reload_needles.pm 2: ok 319 - Syntax check t/data/tests/tests/noop.pm 2: ok 320 - use strict t/data/tests/tests/noop.pm 2: ok 321 - use warnings t/data/tests/tests/noop.pm 2: ok 322 - Syntax check t/data/tests/tests/modify_and_upload_file.pm 2: ok 323 - use strict t/data/tests/tests/modify_and_upload_file.pm 2: ok 324 - use warnings t/data/tests/tests/modify_and_upload_file.pm 2: ok 325 - Syntax check t/data/tests/tests/freeze.pm 2: ok 326 - use strict t/data/tests/tests/freeze.pm 2: ok 327 - use warnings t/data/tests/tests/freeze.pm 2: ok 328 - Syntax check t/data/tests/tests/failing_module.pm 2: ok 329 - use strict t/data/tests/tests/failing_module.pm 2: ok 330 - use warnings t/data/tests/tests/failing_module.pm 2: ok 331 - Syntax check t/data/tests/tests/boot.pm 2: ok 332 - use strict t/data/tests/tests/boot.pm 2: ok 333 - use warnings t/data/tests/tests/boot.pm 2: ok 334 - Syntax check t/data/tests/tests/assert_screen_fail_test.pm 2: ok 335 - use strict t/data/tests/tests/assert_screen_fail_test.pm 2: ok 336 - use warnings t/data/tests/tests/assert_screen_fail_test.pm 2: ok 337 - Syntax check t/data/tests/tests/assert_screen.pm 2: ok 338 - use strict t/data/tests/tests/assert_screen.pm 2: ok 339 - use warnings t/data/tests/tests/assert_screen.pm 2: ok 340 - Syntax check t/data/tests/lib/testdistribution.pm 2: ok 341 - use strict t/data/tests/lib/testdistribution.pm 2: ok 342 - use warnings t/data/tests/lib/testdistribution.pm 2: ok 343 - Syntax check t/data/tests/bar/baz.pm 2: ok 344 - use strict t/data/tests/bar/baz.pm 2: ok 345 - use warnings t/data/tests/bar/baz.pm 2: ok 346 - Syntax check ppmclibs/tinycv.pm 2: ok 347 - use strict ppmclibs/tinycv.pm 2: ok 348 - use warnings ppmclibs/tinycv.pm 2: ok 349 - Syntax check ppmclibs/blib/lib/tinycv.pm 2: ok 350 - use strict ppmclibs/blib/lib/tinycv.pm 2: ok 351 - use warnings ppmclibs/blib/lib/tinycv.pm 2: ok 352 - Syntax check external/os-autoinst-common/tools/update-deps 2: ok 353 - use strict external/os-autoinst-common/tools/update-deps 2: ok 354 - use warnings external/os-autoinst-common/tools/update-deps 2: ok 355 - Syntax check external/os-autoinst-common/lib/OpenQA/Test/TimeLimit.pm 2: ok 356 - use strict external/os-autoinst-common/lib/OpenQA/Test/TimeLimit.pm 2: ok 357 - use warnings external/os-autoinst-common/lib/OpenQA/Test/TimeLimit.pm 2: ok 358 - Syntax check external/os-autoinst-common/lib/OpenQA/Test/PatchDeparse.pm 2: ok 359 - use strict external/os-autoinst-common/lib/OpenQA/Test/PatchDeparse.pm 2: ok 360 - use warnings external/os-autoinst-common/lib/OpenQA/Test/PatchDeparse.pm 2: ok 361 - Syntax check consoles/vnc_base.pm 2: ok 362 - use strict consoles/vnc_base.pm 2: ok 363 - use warnings consoles/vnc_base.pm 2: ok 364 - Syntax check consoles/virtio_terminal.pm 2: ok 365 - use strict consoles/virtio_terminal.pm 2: ok 366 - use warnings consoles/virtio_terminal.pm 2: ok 367 - Syntax check consoles/video_stream.pm 2: ok 368 - use strict consoles/video_stream.pm 2: ok 369 - use warnings consoles/video_stream.pm 2: ok 370 - Syntax check consoles/video_base.pm 2: ok 371 - use strict consoles/video_base.pm 2: ok 372 - use warnings consoles/video_base.pm 2: ok 373 - Syntax check consoles/ttyConsole.pm 2: ok 374 - use strict consoles/ttyConsole.pm 2: ok 375 - use warnings consoles/ttyConsole.pm 2: ok 376 - Syntax check consoles/ssh_screen.pm 2: ok 377 - use strict consoles/ssh_screen.pm 2: ok 378 - use warnings consoles/ssh_screen.pm 2: ok 379 - Syntax check consoles/sshXtermVt.pm 2: ok 380 - use strict consoles/sshXtermVt.pm 2: ok 381 - use warnings consoles/sshXtermVt.pm 2: ok 382 - Syntax check consoles/sshXtermIPMI.pm 2: ok 383 - use strict consoles/sshXtermIPMI.pm 2: ok 384 - use warnings consoles/sshXtermIPMI.pm 2: ok 385 - Syntax check consoles/sshX3270.pm 2: ok 386 - use strict consoles/sshX3270.pm 2: ok 387 - use warnings consoles/sshX3270.pm 2: ok 388 - Syntax check consoles/sshVirtshSUT.pm 2: ok 389 - use strict consoles/sshVirtshSUT.pm 2: ok 390 - use warnings consoles/sshVirtshSUT.pm 2: ok 391 - Syntax check consoles/sshVirtsh.pm 2: ok 392 - use strict consoles/sshVirtsh.pm 2: ok 393 - use warnings consoles/sshVirtsh.pm 2: ok 394 - Syntax check consoles/sshSerial.pm 2: ok 395 - use strict consoles/sshSerial.pm 2: ok 396 - use warnings consoles/sshSerial.pm 2: ok 397 - Syntax check consoles/sshIucvconn.pm 2: ok 398 - use strict consoles/sshIucvconn.pm 2: ok 399 - use warnings consoles/sshIucvconn.pm 2: ok 400 - Syntax check consoles/serial_screen.pm 2: ok 401 - use strict consoles/serial_screen.pm 2: ok 402 - use warnings consoles/serial_screen.pm 2: ok 403 - Syntax check consoles/s3270.pm 2: ok 404 - use strict consoles/s3270.pm 2: ok 405 - use warnings consoles/s3270.pm 2: ok 406 - Syntax check consoles/network_console.pm 2: ok 407 - use strict consoles/network_console.pm 2: ok 408 - use warnings consoles/network_console.pm 2: ok 409 - Syntax check consoles/localXvnc.pm 2: ok 410 - use strict consoles/localXvnc.pm 2: ok 411 - use warnings consoles/localXvnc.pm 2: ok 412 - Syntax check consoles/ipmiSol.pm 2: ok 413 - use strict consoles/ipmiSol.pm 2: ok 414 - use warnings consoles/ipmiSol.pm 2: ok 415 - Syntax check consoles/console.pm 2: ok 416 - use strict consoles/console.pm 2: ok 417 - use warnings consoles/console.pm 2: ok 418 - Syntax check consoles/amtSol.pm 2: ok 419 - use strict consoles/amtSol.pm 2: ok 420 - use warnings consoles/amtSol.pm 2: ok 421 - Syntax check consoles/VNC.pm 2: ok 422 - use strict consoles/VNC.pm 2: ok 423 - use warnings consoles/VNC.pm 2: ok 424 - Syntax check consoles/VMWare.pm 2: ok 425 - use strict consoles/VMWare.pm 2: ok 426 - use warnings consoles/VMWare.pm 2: ok 427 - Syntax check backend/virt.pm 2: ok 428 - use strict backend/virt.pm 2: ok 429 - use warnings backend/virt.pm 2: ok 430 - Syntax check backend/vagrant.pm 2: ok 431 - use strict backend/vagrant.pm 2: ok 432 - use warnings backend/vagrant.pm 2: ok 433 - Syntax check backend/svirt.pm 2: ok 434 - use strict backend/svirt.pm 2: ok 435 - use warnings backend/svirt.pm 2: ok 436 - Syntax check backend/spvm.pm 2: ok 437 - use strict backend/spvm.pm 2: ok 438 - use warnings backend/spvm.pm 2: ok 439 - Syntax check backend/s390x.pm 2: ok 440 - use strict backend/s390x.pm 2: ok 441 - use warnings backend/s390x.pm 2: ok 442 - Syntax check backend/qemu.pm 2: ok 443 - use strict backend/qemu.pm 2: ok 444 - use warnings backend/qemu.pm 2: ok 445 - Syntax check backend/pvm_hmc.pm 2: ok 446 - use strict backend/pvm_hmc.pm 2: ok 447 - use warnings backend/pvm_hmc.pm 2: ok 448 - Syntax check backend/pvm.pm 2: ok 449 - use strict backend/pvm.pm 2: ok 450 - use warnings backend/pvm.pm 2: ok 451 - Syntax check backend/null.pm 2: ok 452 - use strict backend/null.pm 2: ok 453 - use warnings backend/null.pm 2: ok 454 - Syntax check backend/ipmi.pm 2: ok 455 - use strict backend/ipmi.pm 2: ok 456 - use warnings backend/ipmi.pm 2: ok 457 - Syntax check backend/ikvm.pm 2: ok 458 - use strict backend/ikvm.pm 2: ok 459 - use warnings backend/ikvm.pm 2: ok 460 - Syntax check backend/generalhw.pm 2: ok 461 - use strict backend/generalhw.pm 2: ok 462 - use warnings backend/generalhw.pm 2: ok 463 - Syntax check backend/driver.pm 2: ok 464 - use strict backend/driver.pm 2: ok 465 - use warnings backend/driver.pm 2: ok 466 - Syntax check backend/console_proxy.pm 2: ok 467 - use strict backend/console_proxy.pm 2: ok 468 - use warnings backend/console_proxy.pm 2: ok 469 - Syntax check backend/baseclass.pm 2: ok 470 - use strict backend/baseclass.pm 2: ok 471 - use warnings backend/baseclass.pm 2: ok 472 - Syntax check backend/amt.pm 2: ok 473 - use strict backend/amt.pm 2: ok 474 - use warnings backend/amt.pm 2: ok 475 - Syntax check OpenQA/NamedIOSelect.pm 2: ok 476 - use strict OpenQA/NamedIOSelect.pm 2: ok 477 - use warnings OpenQA/NamedIOSelect.pm 2: ok 478 - Syntax check OpenQA/Exceptions.pm 2: ok 479 - use strict OpenQA/Exceptions.pm 2: ok 480 - use warnings OpenQA/Exceptions.pm 2: ok 481 - Syntax check OpenQA/Commands.pm 2: ok 482 - use strict OpenQA/Commands.pm 2: ok 483 - use warnings OpenQA/Commands.pm 2: ok 484 - Syntax check OpenQA/Test/RunArgs.pm 2: ok 485 - use strict OpenQA/Test/RunArgs.pm 2: ok 486 - use warnings OpenQA/Test/RunArgs.pm 2: ok 487 - Syntax check OpenQA/Qemu/SnapshotConf.pm 2: ok 488 - use strict OpenQA/Qemu/SnapshotConf.pm 2: ok 489 - use warnings OpenQA/Qemu/SnapshotConf.pm 2: ok 490 - Syntax check OpenQA/Qemu/Snapshot.pm 2: ok 491 - use strict OpenQA/Qemu/Snapshot.pm 2: ok 492 - use warnings OpenQA/Qemu/Snapshot.pm 2: ok 493 - Syntax check OpenQA/Qemu/Proc.pm 2: ok 494 - use strict OpenQA/Qemu/Proc.pm 2: ok 495 - use warnings OpenQA/Qemu/Proc.pm 2: ok 496 - Syntax check OpenQA/Qemu/PFlashDevice.pm 2: ok 497 - use strict OpenQA/Qemu/PFlashDevice.pm 2: ok 498 - use warnings OpenQA/Qemu/PFlashDevice.pm 2: ok 499 - Syntax check OpenQA/Qemu/MutParams.pm 2: ok 500 - use strict OpenQA/Qemu/MutParams.pm 2: ok 501 - use warnings OpenQA/Qemu/MutParams.pm 2: ok 502 - Syntax check OpenQA/Qemu/DrivePath.pm 2: ok 503 - use strict OpenQA/Qemu/DrivePath.pm 2: ok 504 - use warnings OpenQA/Qemu/DrivePath.pm 2: ok 505 - Syntax check OpenQA/Qemu/DriveDevice.pm 2: ok 506 - use strict OpenQA/Qemu/DriveDevice.pm 2: ok 507 - use warnings OpenQA/Qemu/DriveDevice.pm 2: ok 508 - Syntax check OpenQA/Qemu/DriveController.pm 2: ok 509 - use strict OpenQA/Qemu/DriveController.pm 2: ok 510 - use warnings OpenQA/Qemu/DriveController.pm 2: ok 511 - Syntax check OpenQA/Qemu/ControllerConf.pm 2: ok 512 - use strict OpenQA/Qemu/ControllerConf.pm 2: ok 513 - use warnings OpenQA/Qemu/ControllerConf.pm 2: ok 514 - Syntax check OpenQA/Qemu/BlockDevConf.pm 2: ok 515 - use strict OpenQA/Qemu/BlockDevConf.pm 2: ok 516 - use warnings OpenQA/Qemu/BlockDevConf.pm 2: ok 517 - Syntax check OpenQA/Qemu/BlockDev.pm 2: ok 518 - use strict OpenQA/Qemu/BlockDev.pm 2: ok 519 - use warnings OpenQA/Qemu/BlockDev.pm 2: ok 520 - Syntax check OpenQA/Isotovideo/Utils.pm 2: ok 521 - use strict OpenQA/Isotovideo/Utils.pm 2: ok 522 - use warnings OpenQA/Isotovideo/Utils.pm 2: ok 523 - Syntax check OpenQA/Isotovideo/NeedleDownloader.pm 2: ok 524 - use strict OpenQA/Isotovideo/NeedleDownloader.pm 2: ok 525 - use warnings OpenQA/Isotovideo/NeedleDownloader.pm 2: ok 526 - Syntax check OpenQA/Isotovideo/Interface.pm 2: ok 527 - use strict OpenQA/Isotovideo/Interface.pm 2: ok 528 - use warnings OpenQA/Isotovideo/Interface.pm 2: ok 529 - Syntax check OpenQA/Isotovideo/CommandHandler.pm 2: ok 530 - use strict OpenQA/Isotovideo/CommandHandler.pm 2: ok 531 - use warnings OpenQA/Isotovideo/CommandHandler.pm 2: ok 532 - Syntax check OpenQA/Isotovideo/Backend.pm 2: ok 533 - use strict OpenQA/Isotovideo/Backend.pm 2: ok 534 - use warnings OpenQA/Isotovideo/Backend.pm 2: ok 535 - Syntax check OpenQA/Benchmark/Stopwatch.pm 2: ok 536 - use strict OpenQA/Benchmark/Stopwatch.pm 2: ok 537 - use warnings OpenQA/Benchmark/Stopwatch.pm 2: 1..537 2: ok 44594 ms ( 0.07 usr 0.00 sys + 37.95 cusr 6.49 csys = 44.51 CPU) 2: [05:09:08] ./t/01-test_needle.t ....................... 2: ok 1 - died when constructing needle without prior call to needle::init() 2: ok 2 - log output for needle init 2: ok 3 - tag found 2: ok 4 - tag not found 2: ok 5 - property found 2: ok 6 - property not found 2: ok 7 - match with exclude area 2: ok 8 - match in array context 2: ok 9 - match in array context ok == 1 2: ok 10 - match in array context result == ok 2: ok 11 - candidates must be undefined 2: ok 12 - no match 2: ok 13 - no match in array context 2: ok 14 - candidates must be array 2: ok 15 - found area is the original one 2: ok 16 - found area is the original one too 2: ok 17 - no match with different art 2: # Subtest: handle failure to load image 2: ok 1 - image returned 2: [ INFO:0@0.036] global registry_parallel.impl.hpp:96 ParallelBackendRegistry core(parallel): Enabled backends(2, sorted by priority): TBB(1000); OPENMP(990) 2: [ INFO:0@0.036] global parallel_for.tbb.hpp:54 ParallelForBackend Initializing TBB parallel backend: TBB_INTERFACE_VERSION=11103 2: [ INFO:0@0.036] global parallel.cpp:77 createParallelForAPI core(parallel): using backend: TBB (priority=1000) 2: ok 2 - get_image returns undef if no image present 2: ok 3 - log output for missing image 2: ok 4 - has best candidate 2: ok 5 - needle with png is best candidate 2: ok 6 - missing needle not even considered as candidate 2: ok 7 - needle with missing PNG skipped 2: 1..7 2: ok 18 - handle failure to load image 2: ok 19 - no match different console screenshots 2: # Subtest: candidate is almost true 2: ok 1 - similarity within tolerance 2: ok 2 - coordinates/result 2: 1..2 2: ok 20 - candidate is almost true 2: ok 21 - no match different perform installation tabs 2: ok 22 - search margin have the default value 2: ok 23 - no found a match for an small margin 2: ok 24 - search margin have the defined value 2: ok 25 - found match for a large margin 2: ok 26 - mach area coordinates 2: ok 27 - no found a match for an small margin 2: ok 28 - found match after timeout 2: ok 29 - search margin have the default value 2: ok 30 - found a match for 300 margin 2: ok 31 - log output for needle init 2: ok 32 - four needles found 2: ok 33 - no needles after unregister 2: ok 34 - no tags registered 2: ok 35 - all tags restored 2: ok 36 - similarity is too small 2: ok 37 - match screenlock 2: ok 38 - KDE clearly not ready 2: ok 39 - hostname is different 2: ok 40 - the mixer has a hover effect 2: ok 41 - the contrast is just too different 2: ok 42 - xterm on GNOME is more blurry 2: # Subtest: we find the xterm though 2: ok 1 - similarity within tolerance 2: ok 2 - coordinates/result 2: 1..2 2: ok 43 - we find the xterm though 2: ok 44 - the prompt is the same to the human eye, but it differs in shades of gray 2: ok 45 - offered for needle recreation though 2: ok 46 - the headline is completely different 2: ok 47 - candidate total fail, but not at 0x0 2: ok 48 - different text 2: ok 49 - font rendering changed 2: ok 50 - candidate total fail, but position still good 2: ok 51 - match welcome 2: ok 52 - license to confirm 2: ok 53 - just some dark shade 2: ok 54 - no match for blinking cursor 2: ok 55 - the y goes into the line 2: ok 56 - match kde is not selected 2: ok 57 2: ok 58 2: ok 59 2: ok 60 2: ok 61 - the green mark is unselected 2: ok 62 - the hot keys don't match 2: ok 63 - perfect match should win 2: ok 64 - workaround match should win 2: ok 65 - image cache completely cleaned 2: ok 66 - image returned 2: ok 67 - cache size increased 2: ok 68 - cached image returned on next call 2: ok 69 - cache size not further increased 2: ok 70 - different image returned for other needle instance 2: ok 71 - cache size increased 2: ok 72 - cleaning cache to keep only 2 images should not affect cache size 2: ok 73 - cached image still returned 2: ok 74 - cached image still returned 2: ok 75 - cleaning cache to keep 1 image deleted $img2 2: ok 76 - cleaning cache to keep 1 image kept $img1 2: ok 77 - cleaning cache to keep 1 image kept $img2 2: ok 78 - cleaning cache to keep 1 image deleted $img1 2: ok 79 - needle json path is relative to needles dir 2: # Subtest: needle::init accepts custom NEEDLES_DIR within working directory and otherwise falls back to "$bmwqemu::vars{PRODUCTDIR}/needles" 2: # Subtest: custom NEEDLES_DIR used when within working directory 2: # using working directory /tmp/NI7wLyzHdw 2: ok 1 - log output for needle init 2: ok 2 - custom needle dir accepted 2: ok 3 - needle object created with needle from working directory 2: ok 4 - file path relative to needle directory 2: ok 5 - absolute image path assigned 2: 1..5 2: ok 1 - custom NEEDLES_DIR used when within working directory 2: 1..1 2: ok 80 - needle::init accepts custom NEEDLES_DIR within working directory and otherwise falls back to "$bmwqemu::vars{PRODUCTDIR}/needles" 2: # Subtest: click point 2: ok 1 - click point parsed 2: ok 2 - click point "center" parsed 2: ok 3 - warning shown 2: ok 4 - multiple click points not accepted 2: 1..4 2: ok 81 - click point 2: # Subtest: workaround property 2: ok 1 - workaround property found when it is recorded in string 2: ok 2 - workaround property found when it is recorded in hash 2: ok 3 - workaround property found in mixed properties 2: ok 4 - workaround property found in mixed properties 2: ok 5 - glossy property found 2: ok 6 - workaround property not found 2: ok 7 - glossy property not found 2: ok 8 - glossy property not found 2: ok 9 - get correct value when workaround is recorded in string 2: ok 10 - get ccorrect value when workaround is recorded in hash 2: ok 11 - workaround value is correct 2: ok 12 - workaround value is correct 2: ok 13 - no test value 2: ok 14 - no workaround property 2: ok 15 - glossy property is a string, has no value 2: 1..15 2: ok 82 - workaround property 2: # Subtest: clarify error message when needles directory does not exist 2: ok 1 - do not combine CASEDIR when the default needles directory is an absolute path 2: ok 2 - combine CASEDIR when the default needles directory is a relative path 2: 1..2 2: ok 83 - clarify error message when needles directory does not exist 2: ok 84 - no (unexpected) warnings (via done_testing) 2: 1..84 2: ok 1376 ms ( 0.02 usr 0.00 sys + 1.26 cusr 0.19 csys = 1.47 CPU) 2: [05:09:09] ./t/03-testapi.t ........................... 2: ok 1 - isotovideo version set (variable is considered part of test API) 2: # Subtest: type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 1 - type_string log output 2: ok 2 - type_string called 2: # mock method not implemented $cmd: backend_type_string 2: ok 3 - type_string log output 2: ok 4 2: # mock method not implemented $cmd: backend_type_string 2: ok 5 2: # mock method not implemented $cmd: backend_type_string 2: ok 6 2: ok 7 - expected timeout passed to wait_screen_change 2: ok 8 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 9 - expected timeout passed to wait_screen_change 2: ok 10 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 11 2: ok 12 - expected timeout passed to wait_screen_change 2: ok 13 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 14 - expected timeout passed to wait_screen_change 2: ok 15 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 16 - expected timeout passed to wait_screen_change 2: ok 17 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 18 2: ok 19 - expected timeout passed to wait_screen_change 2: ok 20 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 21 - expected timeout passed to wait_screen_change 2: ok 22 - no_wait parameter passed to wait_screen_change 2: # mock method not implemented $cmd: backend_type_string 2: ok 23 2: # mock method not implemented $cmd: backend_type_string 2: ok 24 2: # mock method not implemented $cmd: backend_type_string 2: ok 25 2: # mock method not implemented $cmd: backend_type_string 2: ok 26 2: # mock method not implemented $cmd: backend_type_string 2: ok 27 2: 1..27 2: ok 2 - type_string 2: # Subtest: wait_screen_change 2: ok 1 - change found 2: ok 2 - callback invoked 2: ok 3 - backend function wait_screen_change called (1) 2: ok 4 - no change found 2: ok 5 - backend function wait_screen_change called (2) 2: 1..5 2: ok 3 - wait_screen_change 2: # Subtest: enter_cmd 2: # mock method not implemented $cmd: backend_type_string 2: ok 1 2: 1..1 2: ok 4 - enter_cmd 2: # Subtest: eject_cd 2: # mock method not implemented $cmd: backend_eject_cd 2: # mock method not implemented $cmd: backend_eject_cd 2: ok 1 2: 1..1 2: ok 5 - eject_cd 2: # Subtest: switch_network 2: # mock method not implemented $cmd: backend_switch_network 2: ok 1 2: # mock method not implemented $cmd: backend_switch_network 2: ok 2 2: 1..2 2: ok 6 - switch_network 2: # Subtest: type_string with wait_still_screen 2: # mock method not implemented $cmd: backend_type_string 2: ok 1 2: ok 2 - wait still screen should have been called 2: # mock method not implemented $cmd: backend_type_string 2: ok 3 2: ok 4 - wait still screen should have been called 2: # mock method not implemented $cmd: backend_type_string 2: ok 5 2: ok 6 - wait still screen should have been called 2: 1..6 2: ok 7 - type_string with wait_still_screen 2: # mock method not implemented $cmd: backend_type_string 2: ok 8 2: # mock method not implemented $cmd: backend_send_key 2: ok 9 - send_key with no default arguments 2: # Subtest: send_key with wait_screen_change 2: # mock method not implemented $cmd: backend_send_key 2: ok 1 - send_key waits for screen change 2: ok 2 - wait_screen_change called by send_key 2: 1..2 2: ok 10 - send_key with wait_screen_change 2: ok 11 - no soft failures so far 2: ok 12 - soft failure with reason 2: ok 13 - one more dent recorded 2: ok 14 - exactly one more detail added recorded 2: ok 15 - title for soft failure added 2: ok 16 - result correct 2: ok 17 - file for soft failure added 2: ok 18 - Not a serial terminal 2: ok 19 - Current console is the a-console 2: # Subtest: script_run 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 1 - nothing happens on success 2: ok 2 - log 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 3 - with timeout option (deprecated mode) 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_send_key 2: ok 4 - exception message distinguishes failed/timed out 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 5 - custom message on die (deprecated mode) 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 6 - using named arguments 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_send_key 2: ok 7 - using two named arguments; fail message does not apply on timeout 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 8 - script_run with no check of success, returns exit code 2: ok 9 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 10 - script_run with no check of success and output, returns exit code 2: ok 11 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 12 - script_run with no check of success, returns exit code 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 13 - script_run with no check of success and output, returns exit code 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_send_key 2: ok 14 - script_run with no check of success, returns undef when not waiting 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 15 - exception occured on script_run() timeout 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 16 - exception occured on script_run() timeout 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 17 - DEPRECATED message appear if `die_on_timeout` is not given. 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 18 - DEPRECATED does not appear, if `die_on_timeout=>0` is set. 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 19 - DEPRECATED does not appear, if `die_on_timeout=>1` is set. 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 20 - background_script_run returns a PID 2: # mock method not implemented $cmd: backend_type_string 2: # mock method not implemented $cmd: backend_type_string 2: ok 21 - background_script_run with output returns valid PID 2: 1..21 2: ok 20 - script_run 2: # Subtest: check_assert_screen 2: ok 1 - error if tag(s) is falsy scalar 2: ok 2 - error if tag(s) is empty array 2: ok 3 - error if current test undefined 2: ok 4 - expected and found MATCH reported 2: ok 5 2: ok 6 - named over positional 2: ok 7 - default timeout 2: ok 8 - no wait option 2: ok 9 - check_screen with timeout of 0 2: ok 10 - check_screen with timeout variable 2: ok 11 - check_screen with multiple tags 2: ok 12 - report_timeout not called yet 2: # Subtest: handle check_screen timeout 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: ok 1 2: ok 2 - report_timeout called for check_screen 2: ok 3 - RPC messages correct (especially check == 1) 2: ok 4 - result (to create a new neede from) has been added 2: 1..4 2: ok 13 - handle check_screen timeout 2: # Subtest: handle assert_screen timeout 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: ok 1 - no candidate needle matched tags 2: ok 2 - report_timeout called on timeout 2: ok 3 - RPC messages correct (especially check == 0) 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: # mock method not implemented $cmd: backend_reload_needles 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: ok 4 - no candidate needle matched tags 2: ok 5 - report_timeout called once, and then again after pause 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: # mock method not implemented $cmd: backend_reload_needles 2: ok 6 - report_timeout called only once 2: 1..6 2: ok 14 - handle assert_screen timeout 2: 1..14 2: ok 21 - check_assert_screen 2: ok 22 2: ok 23 - match_has_tag on not matched tag -> undef 2: # Subtest: assert_and_click 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 1 2: ok 2 - assert_and_click succeeds and move to old mouse set 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 3 2: ok 4 - assert_and_click clicks at the click point 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 5 2: ok 6 - assert_and_click clicks at the click point specified as "center" 2: ok 7 - assert_and_click succeeds and move to old mouse set 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 8 2: ok 9 - assert_and_click succeeds and hides mouse with mousehide => 1 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 10 2: ok 11 - assert_and_click succeeds with right click 2: ok 12 - assert_and_click succeeds and move to old mouse set 2: 1..12 2: ok 24 - assert_and_click 2: # Subtest: assert_and_dclick 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 1 2: ok 2 - assert_and_dclick succeeds with bstate => 0 2: ok 3 - assert_and_dclick succeeds with bstate => 0 2: ok 4 - assert_and_dclick succeeds with bstate => 1 2: ok 5 - assert_and_dclick succeeds with bstate => 1 2: ok 6 - assert_and_dclick succeeds and hides mouse with mousehide => 1 2: 1..6 2: ok 25 - assert_and_dclick 2: # Subtest: record_info 2: ok 1 - simple call 2: ok 2 - all arguments 2: ok 3 - invalid result 2: 1..3 2: ok 26 - record_info 2: # Subtest: script_output 2: # Subtest: Test with is_serial_terminal==0 2: ok 1 - sucessfull retrieves output of script 2: ok 2 - calling script_output does not fail if script returns with success 2: ok 3 - script_output return only the actual output of the script 2: ok 4 - proceed_on_failure=1 retrieves retrieves output of script and do not die 2: ok 5 - die expected with timeout 2: # Subtest: script_output check error codes 2: ok 1 - script_output die expected on exitcode 1 2: ok 2 - script_output die expected on exitcode 10 2: ok 3 - script_output die expected on exitcode 100 2: ok 4 - script_output die expected on exitcode 255 2: 1..4 2: ok 6 - script_output check error codes 2: ok 7 - Check default quiet argument 2: ok 8 - pass $wait value to wait_serial 2: ok 9 - 2: ok 10 - Check default quiet argument 2: ok 11 - pass $wait value to wait_serial 2: ok 12 - 2: ok 13 - Check quiet argument 2: ok 14 - 2: 1..14 2: ok 1 - Test with is_serial_terminal==0 2: # Subtest: Test with is_serial_terminal==1 2: ok 1 - sucessfull retrieves output of script 2: ok 2 - calling script_output does not fail if script returns with success 2: ok 3 - script_output return only the actual output of the script 2: ok 4 - proceed_on_failure=1 retrieves retrieves output of script and do not die 2: ok 5 - die expected with timeout 2: # Subtest: script_output check error codes 2: ok 1 - script_output die expected on exitcode 1 2: ok 2 - script_output die expected on exitcode 10 2: ok 3 - script_output die expected on exitcode 100 2: ok 4 - script_output die expected on exitcode 255 2: 1..4 2: ok 6 - script_output check error codes 2: ok 7 - Check default quiet argument 2: ok 8 - Check default quiet argument 2: ok 9 - Check default quiet argument 2: ok 10 - Check default quiet argument 2: ok 11 - Check default quiet argument 2: ok 12 - Check default quiet argument 2: ok 13 - Check default quiet argument 2: ok 14 - Check default quiet argument 2: ok 15 - pass $wait value to wait_serial 2: ok 16 - 2: ok 17 - Check default quiet argument 2: ok 18 - Check default quiet argument 2: ok 19 - Check default quiet argument 2: ok 20 - Check default quiet argument 2: ok 21 - Check default quiet argument 2: ok 22 - Check default quiet argument 2: ok 23 - Check default quiet argument 2: ok 24 - Check default quiet argument 2: ok 25 - pass $wait value to wait_serial 2: ok 26 - 2: ok 27 - Check quiet argument 2: ok 28 - Check quiet argument 2: ok 29 - Check quiet argument 2: ok 30 - Check quiet argument 2: ok 31 - Check quiet argument 2: ok 32 - Check quiet argument 2: ok 33 - Check quiet argument 2: ok 34 - Check quiet argument 2: ok 35 - 2: 1..35 2: ok 2 - Test with is_serial_terminal==1 2: 1..2 2: ok 27 - script_output 2: # Subtest: validate_script_output 2: ok 1 - validating output with default timeout 2: ok 2 - validating output with regex and default timeout 2: ok 3 - specifying timeout 2: ok 4 - Die on output not match 2: ok 5 - Die on output not match for regex 2: ok 6 - Die on invalid parameter 2: ok 7 - Die on output not match 2: ok 8 - Arguments passed to script_output 2: ok 9 - Arguments passed to script_output 2: ok 10 - Arguments passed to script_output 2: ok 11 - Arguments passed to script_output 2: ok 12 - Arguments passed to script_output 2: 1..12 2: ok 28 - validate_script_output 2: # Subtest: save_tmp_file 2: ok 1 - Expected file contents written 2: 1..1 2: ok 29 - save_tmp_file 2: # Subtest: wait_still_screen & assert_still_screen 2: ok 1 - default arguments 2: ok 2 - still time specified 2: ok 3 - still time and timeout 2: ok 4 - no_wait option can be specified 2: ok 5 - Add similarity_level & timeout 2: ok 6 - log 2: ok 7 - two named args, with timeout below stilltime - which will always return false 2: ok 8 - named over positional 2: ok 9 - default arguments to assert_still_screen 2: ok 10 - assert_still_screen forwards arguments to wait_still_screen 2: ok 11 - falsy return value on timeout 2: 1..11 2: ok 30 - wait_still_screen & assert_still_screen 2: # Subtest: test console::console argument settings 2: ok 1 2: ok 2 2: ok 3 2: ok 4 2: 1..4 2: ok 31 - test console::console argument settings 2: # Subtest: check_assert_shutdown 2: ok 1 - check_shutdown should return "true" if shutdown finished before timeout is hit 2: ok 2 - assert_shutdown should return "undef" if shutdown finished before timeout is hit 2: ok 3 - check_shutdown should return "true" if backend does not implement is_shutdown 2: ok 4 - assert_shutdown should return "undef" if backend does not implement is_shutdown 2: ok 5 - check_shutdown should return "false" if timeout is hit 2: ok 6 - assert_shutdown should throw exception if timeout is hit 2: 1..6 2: ok 32 - check_assert_shutdown 2: # Subtest: compat_args 2: ok 1 - Check defaults 1 2: ok 2 - Check defaults 2 2: ok 3 - Check defaults 3 2: ok 4 - Check named parameter 1 2: ok 5 - Check named parameter 2 2: ok 6 - Check named parameter 3 2: ok 7 - Check named parameter 4 2: ok 8 - Check named parameter 5 2: ok 9 - Check named parameter 6 2: ok 10 - Check mixed parameter 1 2: ok 11 - Check mixed parameter 2 2: ok 12 - Check mixed parameter 3 2: ok 13 - Check mixed parameter 4 2: ok 14 - Check mixed parameter 5 2: ok 15 - Check mixed parameter 6 2: ok 16 - Check mixed parameter 7 2: ok 17 - Check mixed parameter 8 2: ok 18 - Check mixed parameter 9 2: ok 19 - Check mixed parameter 10 2: ok 20 - Undef in parameter 1 2: ok 21 - Undef in parameter 2 2: ok 22 - Undef in parameter 3 2: ok 23 - Additional parameter 1 2: ok 24 - Additional parameter 2 2: ok 25 - Additional parameter - one fixed parameter 2: ok 26 - Additional parameter 3 2: ok 27 - Warned on Odd number 1 2: ok 28 - Warned on Odd number 2 2: ok 29 - Check invalid regex string 2: 1..29 2: ok 33 - compat_args 2: # Subtest: check quiet option on script runs 2: ok 1 - Check default quiet argument 2: ok 2 - Check default quiet argument 2: ok 3 - Check default quiet argument 2: ok 4 - Check default quiet argument 2: ok 5 - Check default quiet argument 2: ok 6 - Check default quiet argument 2: ok 7 - Check default quiet argument 2: ok 8 - Check default quiet argument 2: ok 9 - script_output with _QUIET_SCRIPT_CALLS=1 expects command output 2: ok 10 - Check default quiet argument 2: ok 11 - Check default quiet argument 2: ok 12 - Check default quiet argument 2: ok 13 - script_run with _QUIET_SCRIPT_CALLS=1 2: ok 14 - Check default quiet argument 2: ok 15 - Check default quiet argument 2: ok 16 - Check default quiet argument 2: ok 17 - assert_script_run with _QUIET_SCRIPT_CALLS=1 2: ok 18 - validate_script_output with _QUIET_SCRIPT_CALLS=1 2: ok 19 - Check default quiet argument 2: ok 20 - Check default quiet argument 2: ok 21 - Check default quiet argument 2: ok 22 - Check default quiet argument 2: ok 23 - Check default quiet argument 2: ok 24 - Check default quiet argument 2: ok 25 - Check default quiet argument 2: ok 26 - Check default quiet argument 2: ok 27 - script_output with _QUIET_SCRIPT_CALLS=1 and quiet=>0 2: ok 28 - Check default quiet argument 2: ok 29 - Check default quiet argument 2: ok 30 - Check default quiet argument 2: ok 31 - script_run with _QUIET_SCRIPT_CALLS=1 and quiet=>0 2: ok 32 - Check default quiet argument 2: ok 33 - Check default quiet argument 2: ok 34 - Check default quiet argument 2: ok 35 - assert_script_run with _QUIET_SCRIPT_CALLS=1 and quiet=>0 2: ok 36 - validate_script_output with _QUIET_SCRIPT_CALLS=1 and quiet=>0 2: 1..36 2: ok 34 - check quiet option on script runs 2: # Subtest: host_ip, autoinst_url 2: ok 1 - autoinst_url returns reasonable URL based on WORKER_HOSTNAME 2: ok 2 - host_ip has sane default 2: ok 3 - autoinst_url returns static IP for qemu 2: ok 4 - host_ip has sane default for qemu 2: ok 5 - autoinst_url returns configured static IP 2: ok 6 - we can configure the hostname that autoinst_url returns 2: 1..6 2: ok 35 - host_ip, autoinst_url 2: # Subtest: data_url 2: ok 1 - data_url returns local data reference by default 2: ok 2 - data_url returns local data reference by default 2: 1..2 2: ok 36 - data_url 2: # Subtest: _calculate_clickpoint 2: ok 1 - clickpoint x 2: ok 2 - clickpoint y 2: ok 3 - clickpoint x centered 2: ok 4 - clickpoint y centered 2: ok 5 - clickpoint x from area 2: ok 6 - clickpoint y from area 2: ok 7 - clickpoint x from needle 2: ok 8 - clickpoint y from needle 2: 1..8 2: ok 37 - _calculate_clickpoint 2: # Subtest: mouse_drag 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 1 - mouse drag (startpoint defined by a needle) 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 2 - mouse drag (endpoint defined by a needle) 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 3 - mouse drag (start and endpoints defined by coordinates) 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 4 - mouse drag (redundant definition by a needle) 2: 1..4 2: ok 38 - mouse_drag 2: # Subtest: show_curl_progress_meter 2: ok 1 - show_curl_progress_meter returns curl output parameter pointing to /dev/ttyS0 2: ok 2 - show_curl_progress_meter returns "0" when UPLOAD_METER is not set 2: 1..2 2: ok 39 - show_curl_progress_meter 2: # Subtest: get_wait_still_screen_on_here_doc_input 2: ok 1 - Sanity check, that wait_still_screen_on_here_doc_input returns not 42! 2: ok 2 - The variable `_WAIT_STILL_SCREEN_ON_HERE_DOC_INPUT` has precedence over backend value! 2: 1..2 2: ok 40 - get_wait_still_screen_on_here_doc_input 2: # Subtest: init 2: ok 1 - init sets default serial device 2: ok 2 - init sets serial device for OFW/PPC 2: ok 3 - custom serial device can be set 2: 1..3 2: ok 41 - init 2: ok 42 - can call force_soft_failure 2: # Subtest: set_var 2: # mock method not implemented $cmd: backend_reload_needles 2: ok 1 - can call set_var with reload_needles 2: ok 2 - reload_needles called 2: 1..2 2: ok 43 - set_var 2: # Subtest: get_var_array and check_var_array 2: ok 1 - can check for value in array 2: ok 2 - not present entry returns false 2: 1..2 2: ok 44 - get_var_array and check_var_array 2: ok 45 - x11_start_program needs specific implementation 2: # Subtest: send_key_until_needlematch 2: ok 1 - needle matches immediately, no key sent 2: ok 2 2: ok 3 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: # mock method not implemented $cmd: backend_send_key 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: # mock method not implemented $cmd: backend_send_key 2: # mock method not implemented $cmd: is_configured_to_pause_on_timeout 2: # mock method not implemented $cmd: backend_send_key 2: ok 4 - no candidate needle matched tags 2: ok 5 - timeout for other check_screen is nonzero 2: ok 6 - timeout for other check_screen is nonzero 2: ok 7 - tried to send_key three times 2: 1..7 2: ok 46 - send_key_until_needlematch 2: # Subtest: mouse click 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 1 - mouse_click called with default button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 2 - mouse_dclick called with default button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: # mock method not implemented $cmd: backend_mouse_button 2: ok 3 - mouse_tclick called with default button 2: 1..3 2: ok 47 - mouse click 2: ok 48 - no (unexpected) warnings (via done_testing) 2: 1..48 2: ok 613 ms ( 0.04 usr 0.00 sys + 0.54 cusr 0.07 csys = 0.65 CPU) 2: [05:09:10] ./t/04-check_vars_docu.t ................... 2: ok 1 - No errors found 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 150 ms ( 0.00 usr 0.00 sys + 0.15 cusr 0.00 csys = 0.15 CPU) 2: [05:09:10] ./t/04-testapi-python.t .................... 2: ok 1 - no (unexpected) warnings (via done_testing) 2: 1..1 2: ok 379 ms ( 0.00 usr 0.00 sys + 0.34 cusr 0.04 csys = 0.38 CPU) 2: [05:09:10] ./t/05-distribution.t ...................... 2: # Subtest: script_run 2: ok 1 - Error on incorrect usage 2: ok 2 - Warning on undefined serialdev 2: ok 3 - script_run succeeds with trivial command 2: ok 4 - command is typed plus marker and redirection 2: ok 5 - script_run with terminator is caught 2: ok 6 - escaped terminator is accepted 2: ok 7 - AND operator is accepted 2: ok 8 - quoted & is accepted 2: 1..8 2: ok 1 - script_run 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 367 ms ( 0.00 usr 0.00 sys + 0.32 cusr 0.05 csys = 0.37 CPU) 2: [05:09:11] ./t/07-commands.t .......................... 2: ok 1 - change command server working directory to /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/pool 2: ok 2 - change command server working directory 2: ok 3 - command server started 2: ok 4 - change overall test working directory back to /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t 2: # Subtest: failure if jobtoken wrong 2: ok 1 - GET http://localhost:45855/NEVEREVER 2: ok 2 - 404 Not Found 2: ok 3 - GET http://localhost:45855/isotovideo/version 2: ok 4 - 404 Not Found 2: 1..4 2: ok 5 - failure if jobtoken wrong 2: # Subtest: query isotovideo version 2: ok 1 - GET http://localhost:45855/Hallo/isotovideo/version 2: ok 2 - 200 OK 2: ok 3 - has value for JSON Pointer "/json_cmd_token" 2: ok 4 - exact match for JSON Pointer "" 2: 1..4 2: ok 6 - query isotovideo version 2: # Subtest: web socket route 2: ok 1 - WebSocket handshake with http://localhost:45855/Hallo/ws 2: ok 2 - command passed to isotovideo 2: ok 3 - result from isotovideo is passed back 2: ok 4 - exact match for JSON Pointer "/response_for" 2: ok 5 - exact match for JSON Pointer "/name" 2: # Subtest: broadcast messages to websocket clients 2: ok 1 - POST http://localhost:45855/Hallo/broadcast 2: ok 2 - 200 OK 2: ok 3 - message from broadcast route received 2: ok 4 - exact match for JSON Pointer "/stopping_test_execution" 2: 1..4 2: ok 6 - broadcast messages to websocket clients 2: ok 7 - closed WebSocket 2: 1..7 2: ok 7 - web socket route 2: # Subtest: data api (directory download) 2: ok 1 - GET http://localhost:45855/Hallo/data 2: ok 2 - 200 OK 2: ok 3 - Content-Type: application/x-cpio 2: ok 4 - Extract cpio archive 2: ok 5 - Recursive directory download 1.1 2: ok 6 - Recursive directory download 1.2 2: ok 7 - Recursive directory download 1.3 2: ok 8 - Recursive directory download 1.4 2: ok 9 - Recursive directory download 1.5 2: ok 10 - Recursive directory download 1.6 2: ok 11 - Recursive directory download 1.7 2: ok 12 - GET http://localhost:45855/Hallo/data/mod1 2: ok 13 - 200 OK 2: ok 14 - Content-Type: application/x-cpio 2: ok 15 - Extract cpio archive 2: ok 16 - Recursive directory download 2.1 2: ok 17 - Recursive directory download 2.2 2: ok 18 - Recursive directory download 2.3 2: ok 19 - Recursive directory download 2.4 2: ok 20 - Recursive directory download 2.5 2: ok 21 - GET http://localhost:45855/Hallo/data/mod1/sub 2: ok 22 - 200 OK 2: ok 23 - Content-Type: application/x-cpio 2: 1..23 2: ok 8 - data api (directory download) 2: # Subtest: data api (single file download) 2: ok 1 - GET http://localhost:45855/Hallo/data/mod1/not/present 2: ok 2 - 404 Not Found 2: ok 3 - GET http://localhost:45855/Hallo/data/mod1/test1.txt 2: ok 4 - 200 OK 2: ok 5 - Content-Type is similar 2: ok 6 - exact match for content 2: ok 7 - GET http://localhost:45855/Hallo/data/mod1/sub/test2.txt 2: ok 8 - 200 OK 2: ok 9 - Content-Type is similar 2: ok 10 - exact match for content 2: ok 11 - GET http://localhost:45855/Hallo/data/autoinst.xml 2: ok 12 - 200 OK 2: ok 13 - Content-Type is similar 2: 1..13 2: ok 9 - data api (single file download) 2: # Subtest: asset api 2: # Subtest: asset served from working directory (pool directory) 2: ok 1 - GET http://localhost:45855/Hallo/assets/other/01377524-autoinst.xml 2: ok 2 - 200 OK 2: ok 3 - Content-Type: application/xml 2: ok 4 - content is similar 2: 1..4 2: ok 1 - asset served from working directory (pool directory) 2: # Subtest: asset served from ASSETDIR 2: ok 1 - GET http://localhost:45855/Hallo/assets/other/01377523-autoinst.xml 2: ok 2 - 200 OK 2: ok 3 - Content-Type: application/xml 2: ok 4 - content is similar 2: 1..4 2: ok 2 - asset served from ASSETDIR 2: # Subtest: asset not present 2: ok 1 - GET http://localhost:45855/Hallo/assets/other/01377522-autoinst.xml 2: ok 2 - 404 Not Found 2: 1..2 2: ok 3 - asset not present 2: # Subtest: file from parent directory not served 2: ok 1 - GET http://localhost:45855/Hallo/assets/../accept-ssh-host-key.png 2: ok 2 - 404 Not Found 2: ok 3 - GET http://localhost:45855/Hallo/assets/other/../../accept-ssh-host-key.png 2: ok 4 - 404 Not Found 2: 1..4 2: ok 4 - file from parent directory not served 2: 1..4 2: ok 10 - asset api 2: # Subtest: upload api 2: # Subtest: file content missing 2: ok 1 - POST http://localhost:45855/Hallo/upload_asset/foo 2: ok 2 - 400 Bad Request 2: ok 3 - exact match for content 2: 1..3 2: ok 1 - file content missing 2: # Subtest: target directory cannot be created 2: ok 1 - POST http://localhost:45855/Hallo/upload_asset/foo 2: ok 2 - 500 Internal Server Error 2: ok 3 - content is similar 2: 1..3 2: ok 2 - target directory cannot be created 2: # Subtest: file exceeds limit 2: ok 1 - POST http://localhost:45855/Hallo/upload_asset/foo 2: ok 2 - 400 Bad Request 2: ok 3 - exact match for content 2: 1..3 2: ok 3 - file exceeds limit 2: # Subtest: successful upload 2: ok 1 - POST http://localhost:45855/Hallo/upload_asset/private-asset 2: ok 2 - 200 OK 2: ok 3 - exact match for content 2: ok 4 - private asset created 2: ok 5 - POST http://localhost:45855/Hallo/upload_asset/public-asset 2: ok 6 - 200 OK 2: ok 7 - exact match for content 2: ok 8 - public asset created 2: 1..8 2: ok 4 - successful upload 2: 1..4 2: ok 11 - upload api 2: ok 12 - commands server stopped 2: # Subtest: decode failure 2: ok 1 - debug output like expected 2: ok 2 - warn output like expected 2: ok 3 - pass_message_from_ws_client_to_isotovideo returns undef 2: 1..3 2: ok 13 - decode failure 2: ok 14 - no (unexpected) warnings (via done_testing) 2: 1..14 2: ok 588 ms ( 0.00 usr 0.01 sys + 0.37 cusr 0.15 csys = 0.53 CPU) 2: [05:09:11] ./t/08-autotest.t .......................... 2: ok 1 - runalltests needs tests loaded first 2: ok 2 - loadtest catches incorrect test script paths 2: ok 3 - loadtest outputs on stderr 2: ok 4 - run_all outputs status on stderr 2: ok 5 - run_all with no tests should catch runalltests dying 2: ok 6 - run_all with no tests should not complete 2: ok 7 2: ok 8 2: ok 9 - two tests have been scheduled 2: ok 10 - rescheduling same step later 2: ok 11 - three steps have been scheduled (one twice) 2: ok 12 - handle duplicate tests 2: ok 13 - duplicate tests point to the same script 2: ok 14 - duplicate tests point to the same fullname 2: ok 15 - duplicate tests point to the same category 2: ok 16 - duplicate tests point to the same class 2: ok 17 - autotest methods need a valid isotovideo socket 2: ok 18 - run_all outputs status on stderr 2: ok 19 - start+next+start should not die 2: ok 20 - start+next+start should complete 2: # Subtest: test always_rollback flag 2: # Subtest: no rollback is triggered when flag is not explicitly set to true 2: ok 1 - run_all outputs status on stderr 2: ok 2 - start+next+start should not die when always_rollback flag is set 2: ok 3 - start+next+start should complete when always_rollback flag is set 2: ok 4 - no snapshots loaded when flag is not explicitly set to true 2: ok 5 - no snapshots made if snapshots are not supported 2: 1..5 2: ok 1 - no rollback is triggered when flag is not explicitly set to true 2: # Subtest: no rollback is triggered if snapshots are not supported 2: ok 1 - run_all outputs status on stderr 2: ok 2 - start+next+start should not die when always_rollback flag is set 2: ok 3 - start+next+start should complete when always_rollback flag is set 2: ok 4 - no snapshots loaded if snapshots are not supported 2: ok 5 - no snapshots made if snapshots are not supported 2: 1..5 2: ok 2 - no rollback is triggered if snapshots are not supported 2: # Subtest: snapshot loading triggered even when tests successful 2: ok 1 - run_all outputs status on stderr 2: ok 2 - start+next+start should not die when always_rollback flag is set 2: ok 3 - start+next+start should complete when always_rollback flag is set 2: ok 4 - no snapshots loaded if not test with milestone flag 2: ok 5 - no snapshots made if snapshots are not supported 2: 1..5 2: ok 3 - snapshot loading triggered even when tests successful 2: # Subtest: snapshot loading with milestone flag 2: ok 1 - run_all outputs status on stderr 2: ok 2 - start+next+start should not die when always_rollback flag is set 2: ok 3 - start+next+start should complete when always_rollback flag is set 2: ok 4 - snapshots are loaded even when tests succeed 2: ok 5 - milestone snapshots are made for all except the last 2: 1..5 2: ok 4 - snapshot loading with milestone flag 2: # Subtest: snapshot loading with milestone flag and fatal test 2: ok 1 - run_all outputs status on stderr 2: ok 2 - start+next+start should not die as fatal milestones 2: ok 3 - start+next+start should complete as fatal milestones 2: ok 4 - no rollbacks done 2: ok 5 - no snapshots made as no test needed them 2: 1..5 2: ok 5 - snapshot loading with milestone flag and fatal test 2: # Subtest: stopping overall test execution early due to fatal test failure 2: ok 1 - reason logged 2: ok 2 - tests still not considered died if only a test module failed 2: ok 3 - tests not considered completed 2: ok 4 - no rollbacks done 2: ok 5 - no snapshots made 2: ok 6 - VM has been stopped 2: 1..6 2: ok 6 - stopping overall test execution early due to fatal test failure 2: # Subtest: stopping overall test execution early due to snapshotting not available 2: ok 1 - reason logged 2: 1..1 2: ok 7 - stopping overall test execution early due to snapshotting not available 2: # Subtest: stopping overall test execution early due to TESTDEBUG 2: ok 1 - reason logged (TESTDEBUG) 2: 1..1 2: ok 8 - stopping overall test execution early due to TESTDEBUG 2: 1..8 2: ok 21 - test always_rollback flag 2: ok 22 2: ok 23 - dynamic scheduled alt_name shows up 2: ok 24 - run_args test should not die 2: ok 25 - run_args test should complete 2: ok 26 2: ok 27 - run_all outputs status on stderr 2: ok 28 - run_args test should not die if there is no run_args 2: ok 29 - run_args test should not complete if there is no run_args 2: ok 30 - error message mentions RunArgs 2: ok 31 - run_all outputs status on stderr 2: ok 32 - non-fatal test failure should not die 2: ok 33 - non-fatal test failure should complete 2: ok 34 - record_resultfile was called 2: ok 35 2: ok 36 - run_all outputs status on stderr 2: ok 37 - unimportant test failure should not die 2: ok 38 - unimportant test failure should complete 2: ok 39 - run_all outputs status on stderr 2: ok 40 - fatal serial failure test should not die 2: ok 41 - fatal serial failure test should not complete 2: ok 42 - run_all outputs status on stderr 2: ok 43 - non-fatal serial failure test should not die 2: ok 44 - non-fatal serial failure test should complete 2: ok 45 - snapshots actually disabled 2: ok 46 - no attempt to load VM snapshot 2: ok 47 - non-fatal serial failure test should not die 2: ok 48 - non-fatal serial failure test should not complete by default without snapshot support 2: ok 49 - snapshots actually disabled 2: ok 50 - no attempt to load VM snapshot 2: ok 51 - non-fatal serial failure test should not die 2: ok 52 - non-fatal serial failure test should complete with {fatal => 0} and not snapshot support 2: ok 53 2: ok 54 - run_all outputs status on stderr 2: ok 55 - fatal test failure should not die 2: ok 56 - fatal test failure should not complete 2: ok 57 - rescheduling same step later 2: ok 58 - rescheduling same step later 2: ok 59 - rescheduling same step later 2: ok 60 - rescheduling same step later 2: ok 61 - rescheduling same step later 2: ok 62 - rescheduling same step later 2: ok 63 - rescheduling same step later 2: ok 64 - rescheduling same step later 2: ok 65 - rescheduling same step later 2: ok 66 - rescheduling same step later 2: ok 67 - tests-fatal1 share same options with tests-fatal 2: ok 68 2: ok 69 - tests-fatal2 share same options with tests-fatal 2: ok 70 2: ok 71 - tests-fatal3 share same options with tests-fatal 2: ok 72 2: ok 73 - tests-fatal4 share same options with tests-fatal 2: ok 74 2: ok 75 - tests-fatal5 share same options with tests-fatal 2: ok 76 2: ok 77 - tests-fatal6 share same options with tests-fatal 2: ok 78 2: ok 79 - tests-fatal7 share same options with tests-fatal 2: ok 80 2: ok 81 - tests-fatal8 share same options with tests-fatal 2: ok 82 2: ok 83 - tests-fatal9 share same options with tests-fatal 2: ok 84 2: ok 85 - tests-fatal10 share same options with tests-fatal 2: ok 86 2: # Subtest: test scheduling test modules at test runtime 2: ok 1 2: ok 2 - loadtest should not create test_order.json before tests started 2: ok 3 - new test module gets scheduled at runtime 2: ok 4 - loadtest adds new modules at runtime 2: ok 5 - loadtest updates test_order.json at test runtime 2: 1..5 2: ok 87 - test scheduling test modules at test runtime 2: ok 88 2: ok 89 2: ok 90 2: # Subtest: load test successfully when CASEDIR is a relative path 2: ok 1 2: ok 2 - We get a warning for loading a test a second time 2: 1..2 2: ok 91 - load test successfully when CASEDIR is a relative path 2: ok 92 - can load test module 2: ok 93 - python test module referenced 2: ok 94 - we can also parse python test modules 2: ok 95 - dies on Python exception 2: ok 96 - Python traceback logged 2: ok 97 - no (unexpected) warnings (via done_testing) 2: 1..97 2: ok 470 ms ( 0.02 usr 0.00 sys + 0.42 cusr 0.04 csys = 0.48 CPU) 2: [05:09:12] ./t/10-terminal.t .......................... 2: ok 1 - Fake terminal process exits cleanly 2: ok 2 - Fake terminal process exit status is 0 2: ok 3 - Direct test VIRTIO_CONSOLE not set process exits cleanly 2: ok 4 - Direct test VIRTIO_CONSOLE not set process exit status is 0 2: ok 5 - Direct test with VIRTIO_CONSOLE=0 process exits cleanly 2: ok 6 - Direct test with VIRTIO_CONSOLE=0 process exit status is 0 2: ok 7 - [Child 1671] fake_terminal reads: Entered user name 2: ok 8 - [Child 1671] fake_terminal reads: Entered password 2: ok 9 - [Child 1671] fake_terminal reads: Normalised bash prompt 2: ok 10 - [Child 1671] fake_terminal reads: C0 EOT control code 2: ok 11 - [Child 1671] fake_terminal reads: C0 ETX control code 2: ok 12 - [Child 1671] fake_terminal reads: ret 2: ok 13 - [Child 1671] fake_terminal managed to get all the way to the end without timing out! 2: ok 14 - [Child 1672] console_key set correct 2: ok 15 - [Child 1672] Create screen 2: ok 16 - [Child 1672] direct: find login prompt 2: ok 17 - [Child 1672] direct: find password prompt 2: ok 18 - [Child 1672] direct: find first command prompt 2: ok 19 - [Child 1672] direct: find normalised prompt 2: ok 20 - [Child 1672] direct: use array of regexs 2: ok 21 - [Child 1672] direct: returned data is same length as buffer 2: ok 22 - [Child 1672] direct: read a large amount of data with small ring buffer 2: ok 23 - [Child 1672] direct: record a large amount of data 2: ok 24 - [Child 1672] direct: read including trailing data with no_regex 2: ok 25 - [Child 1672] direct: trailing data is carried over to next read 2: ok 26 - [Child 1672] direct: read including trailing data 2: ok 27 - [Child 1672] direct: trailing data is carried over to next read 2: ok 28 - [Child 1672] direct: peaked 2: ok 29 - [Child 1672] direct: read after peak 2: ok 30 - [Child 1672] direct: timeout 2: ok 31 - no (unexpected) warnings (via done_testing) 2: 1..31 2: The IO log file is at /tmp/10-terminalvTU0Y.log and the error log is /tmp/10-terminal-ERRORdqBWo.log. 2: ok 1529 ms ( 0.00 usr 0.00 sys + 0.39 cusr 0.08 csys = 0.47 CPU) 2: [05:09:13] ./t/10-test-image-conversion-benchmark.t ... 2: ok 1 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-JtAi3zypper_ref.test.png 2: ok 2 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-ZfylBzypper_ref.ref.png 2: ok 3 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-a6s2Lyast2_lan-hostname-tab-20140630.test.png 2: ok 4 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-7eVN9yast2_lan-hostname-tab-20140630.png 2: ok 5 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-laoBzxterm-started-20141204.test.png 2: ok 6 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-dr8Zgxterm-started-20141204.png 2: ok 7 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-etJd3xorg_vt-Xorg-20140729.test.png 2: ok 8 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-goeKPxorg_vt-Xorg-20140729.png 2: ok 9 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-XiduCwelcome.test.png 2: ok 10 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-foGmEwelcome.ref.png 2: ok 11 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-T3Hy_user_settings-2.png 2: ok 12 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-LqGVeuser_settings-1.png 2: ok 13 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-dzEfWuefi.test.png 2: ok 14 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-VWp0Yuefi.ref.png 2: ok 15 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-E6lv0uefi-margin.ref.png 2: ok 16 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-iDh2Zselect_patterns.test.png 2: ok 17 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-U8Tddselect_patterns.png 2: ok 18 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-GpMplscreenlock.test.png 2: ok 19 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-SgfSvscreenlock.ref.png 2: ok 20 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-gDHlxreclaim_space_delete_btn-20160823.test.png 2: ok 21 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-ANBhlreclaim_space_delete_btn-20160823.ref.png 2: ok 22 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-zRNglpkcon-proceed-prompt-20141205.test.png 2: ok 23 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-iEBAJpkcon-proceed-prompt-20141205.png 2: ok 24 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-XEIaAother-desktop-dvd-20140904.test.png 2: ok 25 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-q5gUcother-desktop-dvd-20140904.png 2: ok 26 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-cGqYUooffice-save-prompt-gnome-20160713.test.png 2: ok 27 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-kh7Saooffice-save-prompt-gnome-20160713.png 2: ok 28 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-ySKXglogin_sddm.test.png 2: ok 29 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-w9IW1login_sddm.ref.workaround.imperfect.png 2: ok 30 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-wZw7ulogin_sddm.ref.perfect.png 2: ok 31 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-B1q1clogin_sddm.ref.imperfect.png 2: ok 32 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-7y3Rykde.test.png 2: ok 33 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-jzAeAkde.ref.png 2: ok 34 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-kI5ezkde-unselected-20141211.test.png 2: ok 35 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-B6g8Pkde-unselected-20141211.png 2: ok 36 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-6OG4tinstdetails.test.png 2: ok 37 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-5SUP9instdetails.ref.png 2: ok 38 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-1H70_inst-welcome-20140902.test.png 2: ok 39 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8OxOrinst-welcome-20140902.png 2: ok 40 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-Va5Bfinst-video-typed-sles12b9.test.png 2: ok 41 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8_fH3inst-video-typed-sles12b9.png 2: ok 42 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-nHdc3inst-rescuesystem-20141027.test.png 2: ok 43 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-yyEIcinst-rescuesystem-20141027.png 2: ok 44 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-gfQFOglibc_i686.test.png 2: ok 45 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-XSY2vglibc_i686.ref.png 2: ok 46 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-inr5Pframe2.png 2: ok 47 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8XQ3Qframe1.png 2: ok 48 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-k0Lbrfont-kerning.test.png 2: ok 49 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-EM2m0font-kerning.ref.png 2: ok 50 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-Qrc95displaymanager-sle12.test.png 2: ok 51 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-WRTnMdisplaymanager-sle12.png 2: ok 52 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-41pT5desktop_mainmenu-gnomesled-sles12.test.png 2: ok 53 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-fhmwVdesktop_mainmenu-gnomesled-sles12.png 2: ok 54 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-oLC3udesktop-runner-20140523.test.png 2: ok 55 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-QYNvCdesktop-runner-20140523.png 2: ok 56 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-pTAZodesktop-at-first-boot-kde-without-greeter-20140926.test.png 2: ok 57 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-WXIRSdesktop-at-first-boot-kde-without-greeter-20140926.png 2: ok 58 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-5Yy5Iconsole.test.png 2: ok 59 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8jbsqconsole.ref.png 2: ok 60 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-a2ZyGconfirmlicense-sle12.test.png 2: ok 61 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-GoRErconfirmlicense-sle12.png 2: ok 62 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-sQ7ODbootmenu.test.png 2: ok 63 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-k97Skbootmenu.ref.png 2: ok 64 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-yd0MIbootmenu-ocr.ref.png 2: ok 65 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-qx74Tbootmenu-fail.ref.png 2: ok 66 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-VyDTtaccept-ssh-host-key.test.png 2: ok 67 - Passed /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-4gdNLaccept-ssh-host-key.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-4gdNLaccept-ssh-host-key.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-VyDTtaccept-ssh-host-key.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-qx74Tbootmenu-fail.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-yd0MIbootmenu-ocr.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-k97Skbootmenu.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-sQ7ODbootmenu.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-GoRErconfirmlicense-sle12.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-a2ZyGconfirmlicense-sle12.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8jbsqconsole.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-5Yy5Iconsole.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-WXIRSdesktop-at-first-boot-kde-without-greeter-20140926.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-pTAZodesktop-at-first-boot-kde-without-greeter-20140926.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-QYNvCdesktop-runner-20140523.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-oLC3udesktop-runner-20140523.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-fhmwVdesktop_mainmenu-gnomesled-sles12.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-41pT5desktop_mainmenu-gnomesled-sles12.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-WRTnMdisplaymanager-sle12.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-Qrc95displaymanager-sle12.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-EM2m0font-kerning.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-k0Lbrfont-kerning.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8XQ3Qframe1.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-inr5Pframe2.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-XSY2vglibc_i686.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-gfQFOglibc_i686.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-yyEIcinst-rescuesystem-20141027.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-nHdc3inst-rescuesystem-20141027.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8_fH3inst-video-typed-sles12b9.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-Va5Bfinst-video-typed-sles12b9.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-8OxOrinst-welcome-20140902.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-1H70_inst-welcome-20140902.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-5SUP9instdetails.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-6OG4tinstdetails.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-B6g8Pkde-unselected-20141211.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-kI5ezkde-unselected-20141211.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-jzAeAkde.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-7y3Rykde.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-B1q1clogin_sddm.ref.imperfect.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-wZw7ulogin_sddm.ref.perfect.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-w9IW1login_sddm.ref.workaround.imperfect.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-ySKXglogin_sddm.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-kh7Saooffice-save-prompt-gnome-20160713.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-cGqYUooffice-save-prompt-gnome-20160713.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-q5gUcother-desktop-dvd-20140904.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-XEIaAother-desktop-dvd-20140904.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-iEBAJpkcon-proceed-prompt-20141205.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-zRNglpkcon-proceed-prompt-20141205.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-ANBhlreclaim_space_delete_btn-20160823.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-gDHlxreclaim_space_delete_btn-20160823.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-SgfSvscreenlock.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-GpMplscreenlock.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-U8Tddselect_patterns.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-iDh2Zselect_patterns.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-E6lv0uefi-margin.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-VWp0Yuefi.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-dzEfWuefi.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-LqGVeuser_settings-1.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-T3Hy_user_settings-2.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-foGmEwelcome.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-XiduCwelcome.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-goeKPxorg_vt-Xorg-20140729.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-etJd3xorg_vt-Xorg-20140729.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-dr8Zgxterm-started-20141204.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-laoBzxterm-started-20141204.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-7eVN9yast2_lan-hostname-tab-20140630.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-a6s2Lyast2_lan-hostname-tab-20140630.test.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-ZfylBzypper_ref.ref.png 2: unlink /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results/test-JtAi3zypper_ref.test.png 2: rmdir /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/results 2: NAME TIME CUMULATIVE PERCENTAGE 2: zypper_ref.test.png 0.016 0.016 1.098% 2: zypper_ref.ref.png 0.014 0.030 0.944% 2: yast2_lan-hostname-tab- 0.018 0.048 1.230% 2: yast2_lan-hostname-tab- 0.012 0.060 0.861% 2: xterm-started-20141204. 0.022 0.082 1.496% 2: xterm-started-20141204. 0.023 0.105 1.591% 2: xorg_vt-Xorg-20140729.t 0.014 0.119 0.981% 2: xorg_vt-Xorg-20140729.p 0.010 0.130 0.722% 2: welcome.test.png 0.027 0.156 1.825% 2: welcome.ref.png 0.026 0.182 1.808% 2: user_settings-2.png 0.017 0.199 1.144% 2: user_settings-1.png 0.016 0.215 1.103% 2: uefi.test.png 0.023 0.238 1.611% 2: uefi.ref.png 0.023 0.262 1.612% 2: uefi-margin.ref.png 0.023 0.285 1.607% 2: select_patterns.test.pn 0.013 0.298 0.914% 2: select_patterns.png 0.013 0.312 0.909% 2: screenlock.test.png 0.015 0.326 1.007% 2: screenlock.ref.png 0.015 0.341 1.006% 2: reclaim_space_delete_bt 0.016 0.357 1.105% 2: reclaim_space_delete_bt 0.016 0.373 1.109% 2: pkcon-proceed-prompt-20 0.025 0.398 1.705% 2: pkcon-proceed-prompt-20 0.022 0.420 1.512% 2: other-desktop-dvd-20140 0.019 0.438 1.294% 2: other-desktop-dvd-20140 0.014 0.452 0.940% 2: ooffice-save-prompt-gno 0.015 0.467 1.058% 2: ooffice-save-prompt-gno 0.015 0.483 1.058% 2: login_sddm.test.png 0.040 0.523 2.773% 2: login_sddm.ref.workarou 0.040 0.563 2.741% 2: login_sddm.ref.perfect. 0.040 0.603 2.739% 2: login_sddm.ref.imperfec 0.040 0.642 2.736% 2: kde.test.png 0.031 0.674 2.160% 2: kde.ref.png 0.032 0.706 2.229% 2: kde-unselected-20141211 0.035 0.741 2.401% 2: kde-unselected-20141211 0.030 0.771 2.043% 2: instdetails.test.png 0.021 0.791 1.414% 2: instdetails.ref.png 0.018 0.809 1.205% 2: inst-welcome-20140902.t 0.021 0.829 1.415% 2: inst-welcome-20140902.p 0.014 0.843 0.967% 2: inst-video-typed-sles12 0.031 0.875 2.164% 2: inst-video-typed-sles12 0.023 0.898 1.597% 2: inst-rescuesystem-20141 0.034 0.932 2.314% 2: inst-rescuesystem-20141 0.024 0.955 1.621% 2: glibc_i686.test.png 0.021 0.976 1.431% 2: glibc_i686.ref.png 0.012 0.988 0.860% 2: frame2.png 0.030 1.018 2.040% 2: frame1.png 0.025 1.043 1.732% 2: font-kerning.test.png 0.025 1.068 1.722% 2: font-kerning.ref.png 0.025 1.093 1.716% 2: displaymanager-sle12.te 0.016 1.109 1.094% 2: displaymanager-sle12.pn 0.011 1.120 0.775% 2: desktop_mainmenu-gnomes 0.025 1.145 1.732% 2: desktop_mainmenu-gnomes 0.016 1.161 1.085% 2: desktop-runner-20140523 0.030 1.191 2.043% 2: desktop-runner-20140523 0.015 1.205 1.001% 2: desktop-at-first-boot-k 0.024 1.229 1.629% 2: desktop-at-first-boot-k 0.025 1.254 1.728% 2: console.test.png 0.012 1.266 0.857% 2: console.ref.png 0.012 1.279 0.856% 2: confirmlicense-sle12.te 0.021 1.300 1.465% 2: confirmlicense-sle12.pn 0.016 1.316 1.076% 2: bootmenu.test.png 0.028 1.344 1.961% 2: bootmenu.ref.png 0.026 1.370 1.774% 2: bootmenu-ocr.ref.png 0.025 1.395 1.751% 2: bootmenu-fail.ref.png 0.026 1.421 1.767% 2: accept-ssh-host-key.tes 0.016 1.437 1.106% 2: accept-ssh-host-key.png 0.012 1.449 0.824% 2: _stop_ 0.003 1.452 0.207% 2: ok 68 - no (unexpected) warnings (via done_testing) 2: 1..68 2: ok 1880 ms ( 0.01 usr 0.00 sys + 1.72 cusr 0.16 csys = 1.89 CPU) 2: [05:09:15] ./t/10-virtio_terminal.t ................... 2: # Subtest: set_pipe_sz() error handling (ensuring stable test coverage of that function) 2: ok 1 - error returned 2: ok 2 - fcntl invoked 2: 1..2 2: ok 1 - set_pipe_sz() error handling (ensuring stable test coverage of that function) 2: # Subtest: Test open_pipe() error condition 2: ok 1 - is a serial terminal 2: ok 2 - Expect die if pipe_sz fail 2: ok 3 - log 2: ok 4 - Log mention size 2: ok 5 - PIPE_SZ is 2048 2: ok 6 - Log mention new size 2: ok 7 - Size didn't changed 2: ok 8 - Log mention new size 2: ok 9 - PIPE_SZ is 65536 2: ok 10 - Log mention new size 2: ok 11 - PIPE_SZ is 5555 from VIRTIO_CONSOLE_PIPE_SZ 2: ok 12 - Throw exception if pipe doesn't exists 2: ok 13 - log for open_pipe on non-existent pipe 2: ok 14 - maximum pipe size 65536 is larger than 1 2: ok 15 - Log mention new size 2: 1..15 2: ok 2 - Test open_pipe() error condition 2: # Subtest: Test snapshot handling 2: ok 1 - Return undef, if snapshot and key doesn't exist 2: ok 2 - Snapshots are empty 2: ok 3 - Snapshots are empty after select/activate 2: ok 4 - [snap1] virtio_terminal stored all available data 2: ok 5 - [snap1] console snapshot is activated 2: ok 6 - [snap1] return undef, if key doesn't exist 2: ok 7 - [snap1] Snapshots data verified 2: ok 8 - [snap2] virtio_terminal stored all available data 2: ok 9 - [snap2] console snapshot is activated 2: ok 10 - [snap2] Snapshots data verified 2: ok 11 - Verified peak retrieves "foo" 2: ok 12 - Verify console is not activated after reset() 2: ok 13 - [snap1] carry over buffer successful loaded 2: ok 14 - [snap1] console is still activated 2: ok 15 - [snap3] carry over buffer successful loaded 2: ok 16 - [snap3] console is not activated 2: ok 17 - [snap4] virtio_terminal stored preload_buffer if screen is not set 2: ok 18 - [snap4] preload_buffer is restored after loading snapshot 2: 1..18 2: ok 3 - Test snapshot handling 2: ok 4 - no (unexpected) warnings (via done_testing) 2: 1..4 2: ok 436 ms ( 0.01 usr 0.00 sys + 0.36 cusr 0.08 csys = 0.45 CPU) 2: [05:09:16] ./t/11-image-ppm.t ......................... 2: ok 1 - is a ppm 2: ok 2 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 273 ms ( 0.00 usr 0.00 sys + 0.21 cusr 0.06 csys = 0.27 CPU) 2: [05:09:16] ./t/12-bmwqemu.t ........................... 2: # Subtest: log_call 2: ok 1 - log_call escapes special characters 2: ok 2 - log_call escapes special characters 2: ok 3 - log_call escapes special characters 2: ok 4 - log_call auto indentation 2: ok 5 - log_call hides sensitive info 2: ok 6 - log_call hides sensitive info 2: ok 7 - Hide secrets with special regex chars 2: ok 8 - Hide secrets if it occure multiple times 2: ok 9 - Hide multiple secrets given as array 2: ok 10 - Hide multiple secrets given as multiple arguments 2: ok 11 - Hide multiple secrets given in mixed format 2: ok 12 - Hide secrets as part of a string 2: ok 13 - Value evaluate to false 2: ok 14 - Undef as value 2: 1..14 2: ok 1 - log_call 2: # Subtest: update_line_number 2: ok 1 - update_line_number needs current_test defined 2: ok 2 - update_line_number identifies caller scope 2: 1..2 2: ok 2 - update_line_number 2: # Subtest: CASEDIR is mandatory 2: ok 1 - bmwqemu refuses to init 2: ok 2 - DISTRI unchanged by init call 2: ok 3 - CASEDIR not set 2: 1..3 2: ok 3 - CASEDIR is mandatory 2: # Subtest: save_vars 2: ok 1 - init successful 2: ok 2 - _SECRET_TEST unchanged 2: ok 3 - CASEDIR unchanged 2: 1..3 2: ok 4 - save_vars 2: # Subtest: save_vars no_secret 2: ok 1 - init successful 2: ok 2 - _SECRET_TEST not written to vars.json 2: ok 3 - MY_PASSWORD not written to vars.json 2: ok 4 - CASEDIR unchanged 2: 1..4 2: ok 5 - save_vars no_secret 2: # Subtest: HDD variables sanity check 2: ok 1 - one HDD for reading, one for publishing is ok 2: ok 2 - overwriting source HDD is prevented 2: 1..2 2: ok 6 - HDD variables sanity check 2: # Subtest: invalid vars characters 2: ok 1 - Invalid keys results in an exception 2: ok 2 - %vars in scalar context works 2: ok 3 - exists $vars{...} works, lowercase key not found 2: ok 4 - exists $vars{...} works 2: 1..4 2: ok 7 - invalid vars characters 2: ok 8 - JSON file can be saved with save_json_file 2: ok 9 - JSON file written with correct content 2: ok 10 - wait for one more screenshot is ok 2: ok 11 - no (unexpected) warnings (via done_testing) 2: 1..11 2: ok 382 ms ( 0.01 usr 0.00 sys + 0.34 cusr 0.05 csys = 0.40 CPU) 2: [05:09:16] ./t/13-osutils.t ........................... 2: # Subtest: qv 2: ok 1 - Can interpolate variables 2: ok 2 - Can interpolate variables even if on new lines 2: ok 3 - Can interpolate words 2: ok 4 - Can interpolate variables and hash values 2: 1..4 2: ok 1 - qv 2: # Subtest: gen_params 2: ok 1 - added parameter 2: ok 2 - didn't added any parameter 2: ok 3 - Added parameter if parameter is an arrayref 2: ok 4 - Added parameter if parameter is an arrayref supplied with qv() 2: ok 5 - don't add parameter if it's empty 2: ok 6 - Added parameter if parameter is an arrayref and with custom prefix 2: ok 7 - Quote itself if parameter contains whitespace 2: ok 8 - Do not quote itself if pass no_quotes argument 2: ok 9 - Do not quote itself if pass no_quotes argument with custom prefix 2: 1..9 2: ok 2 - gen_params 2: # Subtest: dd_gen_params 2: ok 1 - added parameter 2: ok 2 - didn't added any parameter 2: ok 3 - Added parameter if parameter is an arrayref 2: ok 4 - Added parameter if parameter is an arrayref supplied with qv() 2: ok 5 - don't add parameter if it's empty 2: 1..5 2: ok 3 - dd_gen_params 2: # Subtest: find_bin 2: ok 1 - Executable file found 2: ok 2 - Executable file found but not executable 2: ok 3 - Executable file not found 2: 1..3 2: ok 4 - find_bin 2: # Subtest: quote 2: ok 1 - Quote variables 2: ok 2 - Quote words 2: ok 3 - Quote words and variables 2: ok 4 - Quote variables and hash values 2: 1..4 2: ok 5 - quote 2: # Subtest: runcmd 2: ok 1 - debug runcmd progress output 2: ok 2 - qemu-image creation and get its return code 2: ok 3 - debug runcmd output with rm 2: ok 4 - delete image and get its return code 2: ok 5 - no image found as expected 2: ok 6 - command failed and calls die 2: 1..6 2: ok 6 - runcmd 2: # Subtest: run_diag 2: ok 1 - Return stdout 2: ok 2 - Exit code appear in log 2: ok 3 - Return stderr 2: ok 4 - Command appear in log 2: ok 5 - Empty string, if command does not produce output 2: ok 6 - No empty line, if command does not produce output 2: ok 7 - Output appear in the log 2: ok 8 - STDERR output appear in the log 2: ok 9 - Return undef on execution error and do not die 2: ok 10 - Error message appear in log 2: 1..10 2: ok 7 - run_diag 2: # Subtest: attempt 2: ok 1 - attempts conducted 2: ok 2 - all attempts exhausted 2: ok 3 - attempts conducted with named parameters 2: ok 4 - correct attempts with named parameters 2: ok 5 - attempts with alternative return 2: ok 6 - alternative return set 2: 1..6 2: ok 8 - attempt 2: ok 9 - no (unexpected) warnings (via done_testing) 2: 1..9 2: ok 337 ms ( 0.00 usr 0.00 sys + 0.27 cusr 0.07 csys = 0.34 CPU) 2: [05:09:17] ./t/14-debugging-tools.t ................... 2: # Subtest: debuggers 2: ok 1 - vncviewer was not executed 2: ok 2 - debugviewer was not executed 2: ok 3 - vncviewer was executed 2: ok 4 - debugviewer was executed 2: 1..4 2: ok 1 - debuggers 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 354 ms ( 0.00 usr 0.00 sys + 0.30 cusr 0.06 csys = 0.36 CPU) 2: [05:09:17] ./t/14-isotovideo.t ........................ 2: # Subtest: get the version number 2: ok 1 - version printed 2: ok 2 - no state file was written 2: 1..2 2: ok 1 - get the version number 2: # Subtest: color output can be configured via the command-line 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null --color=yes casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests schedule=foo,bar/baz _exit_after_schedule=1 2: ok 1 - isotovideo exit code 2: ok 2 - logs use colors when requested 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null --color=no casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests schedule=foo,bar/baz _exit_after_schedule=1 2: ok 3 - isotovideo exit code 2: ok 4 - no colors in logs 2: 1..4 2: ok 2 - color output can be configured via the command-line 2: # Subtest: standalone isotovideo without vars.json file and only command line parameters 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests schedule=foo,bar/baz _exit_after_schedule=1 2: ok 1 - isotovideo exit code 2: ok 2 - requested modules scheduled 2: 1..2 2: ok 3 - standalone isotovideo without vars.json file and only command line parameters 2: # Subtest: standard tests based on simple vars.json file 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null 2: ok 1 - isotovideo exit code 2: ok 2 - shutdown scheduled 2: 1..2 2: ok 4 - standard tests based on simple vars.json file 2: # Subtest: isotovideo with custom git repo parameters specified 2: ok 1 - initialized test repo 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=file:///tmp/14-isotovideo.t-FT71/pool/repo.git#foo needles_dir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data _exit_after_schedule=1 2: ok 2 - isotovideo exit code 2: ok 3 - repo picked up 2: ok 4 - git repository attempted to be cloned 2: ok 5 - branch in git repository attempted to be checked out 2: ok 6 - fatal Git error logged 2: ok 7 - execution of isotovideo aborted; no follow-up error about empty CASEDIR produced 2: # Subtest: fatal error recorded for passing as reason 2: ok 1 - state file contains object 2: ok 2 - state file contains component 2: ok 3 - state file contains error message 2: 1..3 2: ok 8 - fatal error recorded for passing as reason 2: 1..8 2: ok 5 - isotovideo with custom git repo parameters specified 2: # Subtest: isotovideo with git refspec specified 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests test_git_refspec=deadbeef _exit_after_schedule=1 2: ok 1 - isotovideo exit code 2: ok 2 - refspec in local git repository would be checked out 2: 1..2 2: ok 6 - isotovideo with git refspec specified 2: # Subtest: isotovideo with wheels 2: ok 1 - invalid YAML causes error 2: ok 2 - unsupported version 2: ok 3 - repo with full URL 2: ok 4 - one wheel 2: ok 5 - repo URL with branch 2: ok 6 - one wheel 2: ok 7 - one wheel 2: ok 8 - only wheel 2: ok 9 - second wheel 2: ok 10 - two wheels 2: ok 11 - no wheels 2: ok 12 - git never called 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null wheels_dir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests schedule=pen/ink _exit_after_schedule=1 2: ok 13 - isotovideo exit code 2: ok 14 - already cloned wheel picked up 2: ok 15 - module from the wheel scheduled 2: 1..15 2: ok 7 - isotovideo with wheels 2: # Subtest: productdir variable relative/absolute 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests _exit_after_schedule=1 productdir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests 2: ok 1 - isotovideo exit code 2: ok 2 - schedule has been evaluated 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests _exit_after_schedule=1 productdir=product/foo 2: ok 3 - isotovideo exit code 2: ok 4 - schedule can still be found 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests _exit_after_schedule=1 productdir=product integration_tests=1 2: ok 5 - isotovideo exit code 2: ok 6 - schedule can still be found for productdir relative to casedir 2: ok 7 - assert screen test not scheduled 2: 1..7 2: ok 8 - productdir variable relative/absolute 2: # Subtest: upload assets on demand even in failed jobs 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=/builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests schedule=tests/failing_module force_publish_hdd_1=foo.qcow2 qemu_no_kvm=1 arch=i386 backend=qemu qemu=i386 2: ok 1 - isotovideo exit code 2: ok 2 - module scheduled 2: ok 3 - requested image is published even though the job failed 2: ok 4 - published image exists 2: ok 5 - no fatal error recorded 2: 1..5 2: ok 9 - upload assets on demand even in failed jobs 2: # Subtest: load test success when casedir and productdir are relative path 2: # Starting isotovideo with: /usr/bin/perl /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/isotovideo --workdir /tmp/14-isotovideo.t-FT71/pool -d backend=null casedir=my_cases productdir=my_cases/products/foo schedule=tests/failing_module 2: ok 1 - isotovideo exit code 2: ok 2 - no warnings 2: ok 3 - schedule can still be found 2: ok 4 - loaded needles successfully 2: 1..4 2: ok 10 - load test success when casedir and productdir are relative path 2: # Subtest: publish assets 2: # Subtest: publish 2: ok 1 - publication of asset 2: ok 2 - The asset was uploaded successfully 2: ok 3 - test.qcow2 image exists 2: 1..3 2: ok 1 - publish 2: # Subtest: upload the asset even in an incomplete job 2: ok 1 - forced publication of asset 2: ok 2 - The asset was uploaded successfully 2: ok 3 - test.qcow2 image exists 2: ok 4 - the asset defined by PUBLISH_HDD_X would not be generated in an incomplete job 2: 1..4 2: ok 2 - upload the asset even in an incomplete job 2: # Subtest: unclean shutdown 2: ok 1 - correct output 2: ok 2 - Unsuccessful handle_generated_assets 2: ok 3 - test.qcow2 does not exist 2: 1..3 2: ok 3 - unclean shutdown 2: # Subtest: unsuccessful do_extract_assets 2: ok 1 - correct output 2: ok 2 - Unsuccessful handle_generated_assets 2: ok 3 - test.qcow2 does not exist 2: 1..3 2: ok 4 - unsuccessful do_extract_assets 2: # Subtest: UEFI & PUBLISH_PFLASH_VARS 2: ok 1 - correct output 2: ok 2 - Successful handle_generated_assets 2: ok 3 - test.qcow2 does not exist 2: 1..3 2: ok 5 - UEFI & PUBLISH_PFLASH_VARS 2: 1..5 2: ok 11 - publish assets 2: ok 12 - no (unexpected) warnings (via done_testing) 2: 1..12 2: ok 10263 ms ( 0.01 usr 0.00 sys + 5.94 cusr 1.46 csys = 7.41 CPU) 2: [05:09:27] ./t/15-logging.t ........................... 2: # Subtest: Logging to STDERR 2: # [2023-03-22T05:09:28.069132Z] [debug] Via diag function 2: # [2023-03-22T05:09:28.069225Z] [debug] >>> main::output_once: Via fctres function 2: # [2023-03-22T05:09:28.069264Z] [info] ::: main::output_once: Via fctinfo function 2: # [2023-03-22T05:09:28.069295Z] [warn] !!! main::output_once: Via fctwarn function 2: # [2023-03-22T05:09:28.069323Z] [debug] ||| Via modstate function 2: # [2023-03-22T05:09:28.069657Z] [debug] Via diag function 2: # [2023-03-22T05:09:28.069931Z] [debug] >>> main::__ANON__: Via fctres function 2: # [2023-03-22T05:09:28.070198Z] [info] ::: main::__ANON__: Via fctinfo function 2: # [2023-03-22T05:09:28.070469Z] [warn] !!! main::__ANON__: Via fctwarn function 2: # [2023-03-22T05:09:28.070722Z] [debug] ||| Via modstate function 2: ok 1 - All messages logged to STDERR 2: ok 2 - Logging diag match! 2: ok 3 - Logging fctres match! 2: ok 4 - Logging fctinfo match! 2: ok 5 - Logging fctwarn match! 2: ok 6 - Logging modstate match! 2: 1..6 2: ok 1 - Logging to STDERR 2: # Subtest: Color output can be disabled 2: ok 1 - logs use colors 2: ok 2 - no colors in logs 2: 1..2 2: ok 2 - Color output can be disabled 2: # Subtest: Logging to file 2: ok 1 - All messages logged to file 2: ok 2 - Logging diag match! 2: ok 3 - Logging fctres match! 2: ok 4 - Logging fctinfo match! 2: ok 5 - Logging fctwarn match! 2: ok 6 - Logging modstate match! 2: 1..6 2: ok 3 - Logging to file 2: ok 4 - no (unexpected) warnings (via done_testing) 2: 1..4 2: ok 192 ms ( 0.00 usr 0.00 sys + 0.18 cusr 0.02 csys = 0.20 CPU) 2: [05:09:28] ./t/16-send_with_fd.t ...................... 2: ok 1 - Send file handle 2: ok 2 - Receive echo on pipe FD we sent 2: ok 3 - Child process exited cleanly 2: ok 4 - no (unexpected) warnings (via done_testing) 2: 1..4 2: ok 286 ms ( 0.00 usr 0.00 sys + 0.16 cusr 0.12 csys = 0.28 CPU) 2: [05:09:28] ./t/17-basetest.t .......................... 2: # Subtest: run_post_fail_test 2: # mock method not implemented $cmd: set_current_test 2: # mock method not implemented $cmd: set_current_test 2: ok 1 - run_post_fail end up with die 2: ok 2 - test died 2: # mock method not implemented $cmd: set_current_test 2: # mock method not implemented $cmd: set_current_test 2: ok 3 - post fail hooks runtime 2: ok 4 - Post fail hooks runtime present 2: ok 5 - test name updated (to show post fail hook in developer mode) 2: # mock method not implemented $cmd: set_current_test 2: # mock method not implemented $cmd: set_current_test 2: ok 6 - behavior persists regardless of _SKIP_POST_FAIL_HOOKS setting 2: ok 7 - test died 2: 1..7 2: ok 1 - run_post_fail_test 2: # Subtest: modules_test 2: ok 1 - module can be created 2: ok 2 - module is applicable by default 2: ok 3 - module can be excluded 2: ok 4 - model can be excluded by fullname 2: ok 5 - modules can be excluded based on a passlist 2: ok 6 - a passlisted module shows up 2: ok 7 - passlisted modules are overridden by blocklist 2: 1..7 2: ok 2 - modules_test 2: # Subtest: parse_serial_output 2: ok 1 - test result untouched without match 2: ok 2 - test details do not have extra message 2: ok 3 - test result set to ok 2: ok 4 - log message matches output 2: ok 5 - test result stays at softfail on ok match 2: ok 6 - test result stays at fail on ok match 2: ok 7 - test result stays at fail on softfail match 2: ok 8 - test result set to soft failure 2: ok 9 - log message matches output 2: ok 10 - test result set to soft failure 2: ok 11 - log message matches output 2: ok 12 - test died hard after match 2: ok 13 - test result set to hard failure 2: ok 14 - test died hard after match with fatal type 2: ok 15 - test result set to fail after fatal failure 2: ok 16 - test died because of wrong serial failure type 2: ok 17 - test result set to hard failure 2: ok 18 - test died because of missing message 2: ok 19 - test result set to hard failure 2: 1..19 2: ok 3 - parse_serial_output 2: # Subtest: get_new_serial_output 2: ok 1 - returns serial output 2: ok 2 - returns nothing if nothing got added 2: ok 3 - returns new serial output 2: 1..3 2: ok 4 - get_new_serial_output 2: # Subtest: record_testresult 2: ok 1 - adding unknown result 2: ok 2 - test result unaffected 2: ok 3 - test count increased 2: ok 4 - adding "ok" result 2: ok 5 - test result is now "ok" 2: ok 6 - adding "softfail" result 2: ok 7 - test result is now "softfail" 2: ok 8 - adding one more "ok" result 2: ok 9 - test result is still "softfail" 2: ok 10 - adding "fail" result 2: ok 11 - test result is now "fail" 2: ok 12 - adding one more "ok" result 2: ok 13 - test result is still "fail" 2: ok 14 - adding one more "softfail" result 2: ok 15 - test result is still "fail" 2: ok 16 - adding one more "unk" result 2: ok 17 - test result is still "fail" 2: ok 18 - adding one more "softfail" result but forcing the status 2: ok 19 - test result was forced to "softfail" 2: ok 20 - unknown result from take_screenshot not added to details 2: ok 21 - frametime returned 2: ok 22 - mock image added to details 2: ok 23 - test_count accumulated 2: ok 24 - all details added 2: 1..24 2: ok 5 - record_testresult 2: # Subtest: record_screenmatch 2: ok 1 - screenmatch detail recorded as expected 2: ok 2 - needle workaround debug message found 2: ok 3 - screenmatch detail with workaround property recorded as expected 2: 1..3 2: ok 6 - record_screenmatch 2: # Subtest: register_extra_test_results 2: ok 1 - add extra test results 2: ok 2 - unknown script is replaced with self->{script}. 2: ok 3 - existing script is untouched. 2: ok 4 - undefined script is replaced with self->{script}. 2: 1..4 2: ok 7 - register_extra_test_results 2: # Subtest: execute_time 2: ok 1 - the execution time is initiated correctly 2: ok 2 - finish status message found 2: ok 3 - the execution time is correct 2: 1..3 2: ok 8 - execute_time 2: # Subtest: skip_if_not_running 2: # mock method not implemented $cmd: set_current_test 2: ok 1 - skip_if_not_running works as expected 2: 1..1 2: ok 9 - skip_if_not_running 2: # Subtest: capture_filename 2: ok 1 - capture_filename works as expected 2: 1..1 2: ok 10 - capture_filename 2: # Subtest: stop_audiocapture 2: ok 1 - audio capture stopped 2: ok 2 - audio capture stopped 2: ok 3 - result appended to details 2: 1..3 2: ok 11 - stop_audiocapture 2: # Subtest: verify_sound_image 2: [2023-03-22T05:09:28.851427Z] [debug] >>> basetest::verify_sound_image: found foundneedle, similarity 100.00 @ 1/2 2: ok 1 - area was returned 2: ok 2 - needle file was returned 2: ok 3 - needle name was returned 2: 1..3 2: ok 12 - verify_sound_image 2: ok 13 - no (unexpected) warnings (via done_testing) 2: 1..13 2: ok 496 ms ( 0.00 usr 0.00 sys + 0.40 cusr 0.10 csys = 0.50 CPU) 2: [05:09:28] ./t/18-backend-qemu.t ...................... 2: ok 1 - backend can be created 2: ok 2 - qemu can be started 2: ok 3 - preparing local files 2: ok 4 - a console has been added 2: ok 5 - one console has been added 2: # Subtest: using Open vSwitch D-Bus service 2: ok 1 - error about missing service in exception 2: ok 2 - failed dbus call ignored gracefully 2: ok 3 - error about missing service 2: ok 4 - failed dbus call throws exception 2: ok 5 - result returned 2: 1..5 2: ok 6 - using Open vSwitch D-Bus service 2: ok 7 - a qmp command has been called 2: ok 8 - quit has been called for off 2: ok 9 - powerdown has been called for acpi 2: # Subtest: eject cd 2: ok 1 - eject called with correct defaults 2: ok 2 - blockdev-remove-medium called with correct defaults 2: ok 3 - eject called with custom parameters 2: ok 4 - blockdev-remove-medium called with custom parameters 2: 1..4 2: ok 10 - eject cd 2: # Subtest: switch_network 2: ok 1 - network must be disabled 2: ok 2 - qmp command for setlink is passed 2: ok 3 - a qmp command has been called 2: ok 4 - Network name can be specified, network can be enabled 2: 1..4 2: ok 11 - switch_network 2: # Subtest: setting graphics backend 2: ok 1 - default backend is VGA with EDID info (no QEMUVGA or QEMU_VIDEO_DEVICE set) 2: ok 2 - default backend for ARM is virtio with EDID info (no QEMUVGA or QEMU_VIDEO_DEVICE set) 2: ok 3 - QEMU_OVERRIDE_VIDEO_DEVICE_AARCH64 changes ARM default to VGA 2: ok 4 - QEMUVGA=virtio results in device virtio-vga with EDID info 2: ok 5 - QEMUVGA=cirrus results in device cirrus-vga with no EDID info 2: ok 6 - QEMU_VIDEO_DEVICE wins if both it and QEMUVGA are set 2: ok 7 - QEMU_VIDEO_DEVICE_OPTIONS gets appended to EDID values 2: 1..7 2: ok 12 - setting graphics backend 2: # Subtest: execute arbitrary QMP command 2: ok 1 - query params passed as-is 2: 1..1 2: ok 13 - execute arbitrary QMP command 2: # Subtest: process_qemu_output 2: [2023-03-22T05:09:29.428481Z] [warn] !!! backend::qemu::_set_graphics_backend: QEMU_OVERRIDE_VIDEO_DEVICE_AARCH64 is deprecated, please set QEMU_VIDEO_DEVICE=VGA instead 2: [2023-03-22T05:09:29.428678Z] [warn] !!! backend::qemu::_set_graphics_backend: QEMUVGA is deprecated, please set QEMU_VIDEO_DEVICE 2: [2023-03-22T05:09:29.428845Z] [warn] !!! backend::qemu::_set_graphics_backend: QEMUVGA is deprecated, please set QEMU_VIDEO_DEVICE 2: [2023-03-22T05:09:29.429010Z] [warn] !!! backend::qemu::_set_graphics_backend: Both QEMUVGA and QEMU_VIDEO_DEVICE set, ignoring deprecated QEMUVGA! 2: ok 1 - qemu output logged with distinct log levels 2: 1..1 2: ok 14 - process_qemu_output 2: ok 15 - res is set for ppc/sparc 2: ok 16 - OFW workarounds applied 2: # Subtest: qemu_huge_pages_option 2: ok 1 - -mem-prealloc option added 2: ok 2 - -mem-path /no/dev/hugepages/ 2: 1..2 2: ok 17 - qemu_huge_pages_option 2: # Subtest: qemu_tpm_option 2: ok 1 - -chardev socket option added (instance) 2: ok 2 - -tpmdev emulator option added 2: ok 3 - -device tpm-tis option added 2: ok 4 - -chardev socket option added (2) 2: ok 5 - -chardev socket option added (instance) 2: ok 6 - -tpmdev emulator option added 2: ok 7 - -device tpm-spapr option added 2: ok 8 - -device spapr-vscsi option added 2: ok 9 - -chardev socket option added (instance) 2: ok 10 - -tpmdev emulator option added 2: ok 11 - -device tpm-tis option added 2: ok 12 - swtpm default device created 2: ok 13 - swtpm 2.0 device created 2: ok 14 - swtpm 1.2 device created 2: 1..14 2: ok 18 - qemu_tpm_option 2: # Subtest: capturing audio 2: ok 1 - expected QMP command called 2: 1..1 2: ok 19 - capturing audio 2: # Subtest: wait functions 2: # Subtest: waiting until status changes 2: ok 1 - dies on timeout 2: ok 2 - would have waited 3 seconds 2: ok 3 - waited no further as status differs 2: ok 4 - status queries (0) 2: ok 5 - status queries (1) 2: ok 6 - status queries (2) 2: ok 7 - status queries (3) 2: ok 8 - status queries (4) 2: 1..8 2: ok 1 - waiting until status changes 2: # Subtest: waiting for migration (failure) 2: ok 1 - migration considered failed after timeout 2: ok 2 - migration queried 2: ok 3 - migration cancelled 2: 1..3 2: ok 2 - waiting for migration (failure) 2: # Subtest: waitinng for migration (success) 2: ok 1 - migration queried 2: ok 2 - waited for status change 2: 1..2 2: ok 3 - waitinng for migration (success) 2: 1..3 2: ok 20 - wait functions 2: # Subtest: migration to file 2: ok 1 - migration awaited 2: ok 2 - expected QMP commands invoked 2: 1..2 2: ok 21 - migration to file 2: # Subtest: misc functions 2: ok 1 - cpu_stat returns two values 2: ok 2 - cpu_stat value 0 is a number 2: ok 3 - cpu_stat value 1 is a number 2: ok 4 - NVMe snapshots not supported 2: ok 5 - expected QMP command called for "set_migrate_capability" 2: ok 6 - expected QMP command called for "open_file_and_send_fd_to_qemu" 2: ok 7 - locating ovmf (normally "/usr/share/qemu/ovmf-x86_64-ms-code.bin") 2: 1..7 2: ok 22 - misc functions 2: # Subtest: saving memory dump 2: ok 1 - completion logged 2: ok 2 - expected QMP command called for "save_memory_dump" 2: ok 3 - expected compression command invoked 2: ok 4 - fallback to bzip2 logged 2: ok 5 - expected compression fallback command invoked 2: 1..5 2: ok 23 - saving memory dump 2: # Subtest: saving storage drives 2: ok 1 - extraction logged 2: ok 2 - expected assets extracted 2: 1..2 2: ok 24 - saving storage drives 2: # Subtest: "balloon" handling 2: ok 1 - no QMP commands invoked without QEMU_BALLOON_TARGET 2: ok 2 - expected QMP commands invoked when "inflating balloon" 2: ok 3 - expected QMP commands invoked when "deflating balloon" 2: 1..3 2: ok 25 - "balloon" handling 2: # Subtest: snapshot handling 2: ok 1 - completion logged (1) 2: ok 2 - snapshot file passed 2: ok 3 - expected QMP commands invoked when saving snapshot 2: ok 4 - completion logged (2) 2: ok 5 - expected QMP commands invoked when saving snapshot with error 2: ok 6 - restoration logged 2: ok 7 - expected QMP commands invoked when loading snapshot 2: 1..7 2: ok 26 - snapshot handling 2: # Subtest: special cases when starting QEMU 2: ok 1 - deprecation warning for UEFI_PFLASH/BIOS logged, slirpvde started, DELAYED_START logged 2: ok 2 - BIOS set to @bmwqemu::ovmf_locations for UEFI_PFLASH=1 and ARCH=x86_64 2: ok 3 - KERNEL set to absolute location 2: ok 4 - default laptop model assigned for LAPTOP=1 2: ok 5 - BOOTFROM defaults to "c" for BOOT_HDD_IMAGE=1 2: ok 6 - HDDMODEL set for MULTIPATH=1 2: ok 7 - PATHCNT set for MULTIPATH=1 2: ok 8 - VDE_SOCKETDIR set for NICTYPE=vde 2: ok 9 - VDE_PORT set for NICTYPE=vde 2: ok 10 - load_state called once due to KEEPHDDS=1 2: ok 11 - smbios params present 2: ok 12 - ipxe kernel param for NBF=1 present 2: ok 13 - menu parameter present for BOOT_MENU=1 2: ok 14 - order parameter not present despite BOOT_HDD_IMAGE=1 because UEFI=1 2: ok 15 - bios parameter not present despite BIOS=1 because UEFI=1 2: ok 16 - numa parameters present for QEMU_NUMA=1/QEMUCPUS=1 2: ok 17 - vde and swtpm commands invoked 2: ok 18 - invoked with tap 2: ok 19 - parameters for NICTYPE=tap present 2: ok 20 - order parameter present due to BOOT_HDD_IMAGE=1 and UEFI=0 2: ok 21 - bios parameter present due to BIOS=1 and UEFI=0 2: ok 22 - BOOTFROM set to "d" for "cdrom" 2: ok 23 - two D-Bus invocatios made 2: ok 24 - vlan set for tap device via D-Bus call 2: ok 25 - networking status shown for OVS_DEBUG=1 2: ok 26 - cleanup callback set 2: ok 27 - vlan unset in cleanup handler via D-Bus call 2: ok 28 - collected callback set 2: ok 29 - emit called 2: ok 30 - cleanup event emitted 2: ok 31 - invoked with PXEBOOT=once 2: ok 32 - order parameter not present due to PXEBOOT 2: ok 33 - once=n parameter present due to PXEBOOT 2: # Subtest: various error cases 2: ok 1 - dies on unknown NICTYPE 2: ok 2 - expected logs until exception thrown (1) 2: ok 3 - dies on unsupported boot order 2: ok 4 - expected logs until exception thrown (2) 2: ok 5 - dies on invalid characters in LAPTOP 2: ok 6 - expected logs until exception thrown (3) 2: ok 7 - dies on unknown LAPTOP 2: ok 8 - expected logs until exception thrown (4) 2: ok 9 - dies on non-existant BOOT/KERNEL/INITRD 2: ok 10 - expected logs until exception thrown (5) 2: ok 11 - dies if UEFI firmware not found 2: ok 12 - expected logs until exception thrown (6) 2: 1..12 2: ok 34 - various error cases 2: 1..34 2: ok 27 - special cases when starting QEMU 2: # Subtest: special cases when handling QMP command 2: ok 1 - handling skipped via QEMU_ONLY_EXEC 2: ok 2 - skipping logged 2: 1..2 2: ok 28 - special cases when handling QMP command 2: ok 29 - no (unexpected) warnings (via done_testing) 2: 1..29 2: ok 1163 ms ( 0.01 usr 0.00 sys + 0.71 cusr 0.46 csys = 1.18 CPU) 2: [05:09:30] ./t/18-qemu-options.t ...................... 2: # Subtest: qemu_append_option 2: [2023-03-22T05:09:30.580957Z] [debug] Current version is UNKNOWN [interface v34] 2: [2023-03-22T05:09:30.583401Z] [debug] Setting forced test parameter QEMU_DISABLE_SNAPSHOTS -> 1 2: sh: warning: setlocale: LC_ALL: cannot change locale (en_US.UTF-8) 2: [2023-03-22T05:09:30.590853Z] [debug] git hash in /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests: UNKNOWN 2: [2023-03-22T05:09:30.591213Z] [info] ::: OpenQA::Isotovideo::Utils::load_test_schedule: Enforced test schedule by 'SCHEDULE' variable in action 2: [2023-03-22T05:09:30.598097Z] [debug] scheduling noop tests/noop.pm 2: [2023-03-22T05:09:30.647048Z] [info] cmdsrv: daemon reachable under http://*:15223/dTEJfuQrLF/ 2: sh: warning: setlocale: LC_ALL: cannot change locale (en_US.UTF-8) 2: [2023-03-22T05:09:30.648657Z] [info] Listening at "http://[::]:15223" 2: Web application available at http://[::]:15223 2: [2023-03-22T05:09:30.650731Z] [debug] git hash in /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests/needles: UNKNOWN 2: [2023-03-22T05:09:30.650926Z] [debug] init needles from /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests/needles 2: [2023-03-22T05:09:30.652144Z] [debug] loaded 4 needles 2: [2023-03-22T05:09:30.758734Z] [debug] 1880: channel_out 17, channel_in 16 2: [2023-03-22T05:09:30.832936Z] [debug] 1892: cmdpipe 15, rsppipe 18 2: [2023-03-22T05:09:30.833033Z] [debug] started mgmt loop with pid 1892 2: [2023-03-22T05:09:30.863603Z] [debug] qemu version detected: 7.2.0 2: [2023-03-22T05:09:30.864692Z] [debug] running `/usr/bin/chattr +C /tmp/18-qemu-options.t-7rEo/pool/raid` 2: [2023-03-22T05:09:30.871807Z] [debug] Fatal error in command `/usr/bin/chattr +C /tmp/18-qemu-options.t-7rEo/pool/raid`: open3: exec of /usr/bin/chattr +C /tmp/18-qemu-options.t-7rEo/pool/raid failed: No such file or directory at /usr/share/perl5/vendor_perl/Mojo/IOLoop/ReadWriteProcess.pm line 127. 2: 2: [2023-03-22T05:09:30.871889Z] [debug] Configuring storage controllers and block devices 2: [2023-03-22T05:09:30.872396Z] [debug] Initializing block device images 2: [2023-03-22T05:09:30.872564Z] [debug] running `/usr/bin/qemu-img create -f qcow2 /tmp/18-qemu-options.t-7rEo/pool/raid/hd0 10G` 2: [2023-03-22T05:09:30.884479Z] [debug] Formatting '/tmp/18-qemu-options.t-7rEo/pool/raid/hd0', fmt=qcow2 cluster_size=65536 extended_l2=off compression_type=zlib size=10737418240 lazy_refcounts=off refcount_bits=16 2: [2023-03-22T05:09:30.884580Z] [debug] init_blockdev_images: Finished creating block devices 2: [2023-03-22T05:09:30.885488Z] [debug] starting: /usr/bin/qemu-system-i386 -device VGA,edid=on,xres=1024,yres=768 -chardev ringbuf,id=serial0,logfile=serial0,logappend=on -serial chardev:serial0 -audiodev none,id=snd0 -device intel-hda -device hda-output,audiodev=snd0 -global isa-fdc.fdtypeA=none -m 1024 -netdev user,id=qanet0 -device virtio-net,netdev=qanet0,mac=52:54:00:12:34:56 -object rng-random,filename=/dev/urandom,id=rng0 -device virtio-rng-pci,rng=rng0 -boot once=d -device qemu-xhci -device usb-tablet -smp 1 -no-shutdown -vnc :90,share=force-shared -device virtio-serial -chardev pipe,id=virtio_console,path=virtio_console,logfile=virtio_console.log,logappend=on -device virtconsole,chardev=virtio_console,name=org.openqa.console.virtio_console -chardev pipe,id=virtio_console1,path=virtio_console1,logfile=virtio_console1.log,logappend=on -device virtconsole,chardev=virtio_console1,name=org.openqa.console.virtio_console1 -chardev socket,path=qmp_socket,server=on,wait=off,id=qmp_socket,logfile=qmp_socket.log,logappend=on -qmp chardev:qmp_socket -S -version -device virtio-scsi-pci,id=scsi0 -blockdev driver=file,node-name=hd0-file,filename=/tmp/18-qemu-options.t-7rEo/pool/raid/hd0,cache.no-flush=on -blockdev driver=qcow2,node-name=hd0,file=hd0-file,cache.no-flush=on,discard=unmap -device virtio-blk,id=hd0-device,drive=hd0,serial=hd0 2: [2023-03-22T05:09:30.889201Z] [info] ::: backend::qemu::start_qemu: Not connecting to QEMU as requested by QEMU_ONLY_EXEC 2: GOT GO 2: [2023-03-22T05:09:30.891561Z] [debug] Snapshots are not supported 2: [2023-03-22T05:09:30.892233Z] [debug] ||| starting noop tests/noop.pm 2: Can't open 'serial0' for reading: 'No such file or directory' at /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/../backend/baseclass.pm line 847 2: [2023-03-22T05:09:30.896338Z] [debug] stopping backend process 1892 2: [2023-03-22T05:09:30.898146Z] [debug] backend got TERM 2: [2023-03-22T05:09:30.934982Z] [info] ::: OpenQA::Qemu::Proc::save_state: Saving QEMU state to qemu_state.json 2: [2023-03-22T05:09:30.935891Z] [debug] Passing remaining frames to the video encoder 2: [2023-03-22T05:09:30.989030Z] [debug] Waiting for video encoder to finalize the video 2: [2023-03-22T05:09:30.989110Z] [debug] The built-in video encoder (pid 1895) terminated 2: [2023-03-22T05:09:30.990148Z] [debug] QEMU: QEMU emulator version 7.2.0 (qemu-7.2.0-7.fc39) 2: [2023-03-22T05:09:30.990205Z] [debug] QEMU: Copyright (c) 2003-2022 Fabrice Bellard and the QEMU Project developers 2: [2023-03-22T05:09:30.990239Z] [debug] QEMU: QEMU emulator version 7.2.0 (qemu-7.2.0-7.fc39) 2: [2023-03-22T05:09:30.990274Z] [debug] QEMU: Copyright (c) 2003-2022 Fabrice Bellard and the QEMU Project developers 2: [2023-03-22T05:09:30.991158Z] [debug] sending magic and exit 2: [2023-03-22T05:09:31.096906Z] [debug] done with backend process 2: [2023-03-22T05:09:31.097020Z] [debug] stopping command server 1886 because test execution ended through exception 2: [2023-03-22T05:09:31.297466Z] [debug] done with command server 2: [2023-03-22T05:09:31.297551Z] [debug] stopping autotest process 1889 2: [2023-03-22T05:09:31.297777Z] [debug] autotest received signal TERM, saving results of current test before exiting 2: [2023-03-22T05:09:31.498043Z] [debug] done with autotest process 2: 1880: EXIT 0 2: ok 1 - zero exit status 2: ok 2 - -version option added 2: ok 3 - QEMU version printed 2: ok 4 - Copyright printed 2: ok 5 - QEMU_ONLY_EXEC option has effect 2: ok 6 - no invalid option detected 2: ok 7 - execution time of isotovideo (1.29632592201233 s) within reasonable limits 2: [2023-03-22T05:09:31.874887Z] [debug] Current version is UNKNOWN [interface v34] 2: [2023-03-22T05:09:31.877301Z] [debug] Setting forced test parameter QEMU_DISABLE_SNAPSHOTS -> 1 2: sh: warning: setlocale: LC_ALL: cannot change locale (en_US.UTF-8) 2: [2023-03-22T05:09:31.884364Z] [debug] git hash in /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests: UNKNOWN 2: [2023-03-22T05:09:31.884695Z] [info] ::: OpenQA::Isotovideo::Utils::load_test_schedule: Enforced test schedule by 'SCHEDULE' variable in action 2: [2023-03-22T05:09:31.891244Z] [debug] scheduling noop tests/noop.pm 2: [2023-03-22T05:09:31.938858Z] [info] cmdsrv: daemon reachable under http://*:15223/ldvrVKPvHF/ 2: sh: warning: setlocale: LC_ALL: cannot change locale (en_US.UTF-8) 2: [2023-03-22T05:09:31.940542Z] [info] Listening at "http://[::]:15223" 2: Web application available at http://[::]:15223 2: [2023-03-22T05:09:31.942976Z] [debug] git hash in /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests/needles: UNKNOWN 2: [2023-03-22T05:09:31.943131Z] [debug] init needles from /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/data/tests/needles 2: [2023-03-22T05:09:31.944324Z] [debug] loaded 4 needles 2: [2023-03-22T05:09:32.049979Z] [debug] 1907: channel_out 17, channel_in 16 2: [2023-03-22T05:09:32.122945Z] [debug] 1919: cmdpipe 15, rsppipe 18 2: [2023-03-22T05:09:32.123043Z] [debug] started mgmt loop with pid 1919 2: [2023-03-22T05:09:32.153287Z] [debug] qemu version detected: 7.2.0 2: [2023-03-22T05:09:32.154258Z] [debug] running `/usr/bin/chattr +C /tmp/18-qemu-options.t-7rEo/pool/raid` 2: [2023-03-22T05:09:32.161534Z] [debug] Fatal error in command `/usr/bin/chattr +C /tmp/18-qemu-options.t-7rEo/pool/raid`: open3: exec of /usr/bin/chattr +C /tmp/18-qemu-options.t-7rEo/pool/raid failed: No such file or directory at /usr/share/perl5/vendor_perl/Mojo/IOLoop/ReadWriteProcess.pm line 127. 2: 2: [2023-03-22T05:09:32.161614Z] [debug] Configuring storage controllers and block devices 2: [2023-03-22T05:09:32.162111Z] [debug] Initializing block device images 2: [2023-03-22T05:09:32.162360Z] [debug] running `/usr/bin/qemu-img create -f qcow2 /tmp/18-qemu-options.t-7rEo/pool/raid/hd0 10G` 2: [2023-03-22T05:09:32.174628Z] [debug] Formatting '/tmp/18-qemu-options.t-7rEo/pool/raid/hd0', fmt=qcow2 cluster_size=65536 extended_l2=off compression_type=zlib size=10737418240 lazy_refcounts=off refcount_bits=16 2: [2023-03-22T05:09:32.174723Z] [debug] init_blockdev_images: Finished creating block devices 2: [2023-03-22T05:09:32.175611Z] [debug] starting: /usr/bin/qemu-system-i386 -device VGA,edid=on,xres=1024,yres=768 -chardev ringbuf,id=serial0,logfile=serial0,logappend=on -serial chardev:serial0 -audiodev none,id=snd0 -device intel-hda -device hda-output,audiodev=snd0 -global isa-fdc.fdtypeA=none -m 1024 -netdev user,id=qanet0 -device virtio-net,netdev=qanet0,mac=52:54:00:12:34:56 -object rng-random,filename=/dev/urandom,id=rng0 -device virtio-rng-pci,rng=rng0 -boot once=d -device qemu-xhci -device usb-tablet -smp 1 -no-shutdown -vnc :90,share=force-shared -device virtio-serial -chardev pipe,id=virtio_console,path=virtio_console,logfile=virtio_console.log,logappend=on -device virtconsole,chardev=virtio_console,name=org.openqa.console.virtio_console -chardev pipe,id=virtio_console1,path=virtio_console1,logfile=virtio_console1.log,logappend=on -device virtconsole,chardev=virtio_console1,name=org.openqa.console.virtio_console1 -chardev socket,path=qmp_socket,server=on,wait=off,id=qmp_socket,logfile=qmp_socket.log,logappend=on -qmp chardev:qmp_socket -S -version -M ? -device virtio-scsi-pci,id=scsi0 -blockdev driver=file,node-name=hd0-file,filename=/tmp/18-qemu-options.t-7rEo/pool/raid/hd0,cache.no-flush=on -blockdev driver=qcow2,node-name=hd0,file=hd0-file,cache.no-flush=on,discard=unmap -device virtio-blk,id=hd0-device,drive=hd0,serial=hd0 2: [2023-03-22T05:09:32.179270Z] [debug] Waiting for 0 attempts 2: perl: warning: Setting locale failed. 2: perl: warning: Please check that your locale settings: 2: LANGUAGE = (unset), 2: LC_ALL = "en_US.UTF-8", 2: LANG = "en_US.UTF-8" 2: are supported and installed on your system. 2: perl: warning: Falling back to the standard locale ("C"). 2: [2023-03-22T05:09:32.319261Z] [debug] Waiting for 1 attempts 2: [2023-03-22T05:09:32.319449Z] [info] ::: backend::baseclass::die_handler: Backend process died, backend errors are reported below in the following lines: 2: QEMU terminated before QMP connection could be established. Check for errors below 2: [2023-03-22T05:09:32.320123Z] [info] ::: OpenQA::Qemu::Proc::save_state: Saving QEMU state to qemu_state.json 2: [2023-03-22T05:09:32.320795Z] [debug] Passing remaining frames to the video encoder 2: [2023-03-22T05:09:32.373867Z] [debug] Waiting for video encoder to finalize the video 2: [2023-03-22T05:09:32.373944Z] [debug] The built-in video encoder (pid 1922) terminated 2: [2023-03-22T05:09:32.375050Z] [debug] QEMU: QEMU emulator version 7.2.0 (qemu-7.2.0-7.fc39) 2: [2023-03-22T05:09:32.375105Z] [debug] QEMU: Copyright (c) 2003-2022 Fabrice Bellard and the QEMU Project developers 2: [2023-03-22T05:09:32.375141Z] [debug] QEMU: QEMU emulator version 7.2.0 (qemu-7.2.0-7.fc39) 2: [2023-03-22T05:09:32.375173Z] [debug] QEMU: Copyright (c) 2003-2022 Fabrice Bellard and the QEMU Project developers 2: [2023-03-22T05:09:32.376121Z] [debug] sending magic and exit 2: [2023-03-22T05:09:32.376396Z] [debug] received magic close 2: [2023-03-22T05:09:32.381740Z] [debug] backend process exited: 0 2: failed to start VM at /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/../backend/driver.pm line 104. 2: [2023-03-22T05:09:32.482261Z] [debug] stopping command server 1913 because test execution ended through exception 2: [2023-03-22T05:09:32.682732Z] [debug] done with command server 2: 1907: EXIT 1 2: ok 8 - zero exit status 2: ok 9 - -M ? option added 2: ok 10 - -version option added 2: ok 11 - QEMU version printed 2: ok 12 - Supported machines not listed 2: ok 13 - no invalid option detected 2: ok 14 - connecting to QMP socket aborted 2: 1..14 2: ok 1 - qemu_append_option 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 2672 ms ( 0.02 usr 0.01 sys + 1.61 cusr 0.50 csys = 2.14 CPU) 2: [05:09:32] ./t/18-qemu.t .............................. 2: ok 1 - Generate qemu command line for single new drive 2: ok 2 - Generate qemu-img command line for single new drive 2: ok 3 - Generate qemu-img convert for single new drive 2: ok 4 - Generate qemu-img convert for single new drive, without compression 2: ok 5 - qemu-img create call for a vmdk disk image 2: ok 6 - Generate qemu command line for multiple new drives 2: ok 7 - Generate unlink list for multiple new drives 2: ok 8 - Generate qemu-img command line for multiple new drives 2: ok 9 - Generate qemu command line for single existing drive 2: ok 10 - Generate qemu-img command line for single existing drive 2: ok 11 - Generate unlink list for single existing drive 2: ok 12 - Generate qemu-img convert for single existing drive 2: ok 13 - Generate qemu command line for single existing UEFI disk using vars 2: ok 14 - Generate qemu-img command line for single existing UEFI disk 2: ok 15 - Generate qemu command line for new drives on multipath 2: ok 16 - Multipath Command line after serialisation and deserialisation 2: ok 17 - init_blockdev_images can report error 2: ok 18 - failure message for no directory 2: ok 19 - init_blockdev_images passes 2: ok 20 - Generate qemu command line for new drive and cdrom using vars 2: ok 21 - Generate qemu command line after snapshot 2: ok 22 - Returned snapshot sequence number 2: ok 23 - Active layer set to be recreated for drive hd0 2: ok 24 - Active layer set to be recreated for drive cd0 2: ok 25 - Generate qemu command line after reverting a snapshot 2: ok 26 - Command line after snapshot and serialisation 2: ok 27 - Generate reverted snapshot images 2: ok 28 - Generate unlink list of reverted snapshot images 2: ok 29 - Generate qemu-img convert with snapshots 2: ok 30 - Returned snapshot sequence number 2: ok 31 - Correct number of overlay files need unlinking for hd0 2: ok 32 - Correct number of overlay files need unlinking for cd0 2: ok 33 - Generate qemu command line after deserialising and reverting a snapshot 2: ok 34 - Returned snapshot sequence number 2: ok 35 - Correct number of overlay files need unlinking for hd0 2: ok 36 - Correct number of overlay files need unlinking for cd0 2: ok 37 - Correct number of overlay files need unlinking for pflash-code 2: ok 38 - Correct number of overlay files need unlinking for pflash-vars 2: ok 39 - Generate qemu command line after deserialising and reverting a snapshot 2: # Subtest: non-existing-iso 2: ok 1 - Got expected eval error 2: ok 2 - only a single-line failure message 2: ok 3 - Got expected warning 2: ok 4 - No confusing JSON parsing error 2: 1..4 2: ok 40 - non-existing-iso 2: # Subtest: DriveDevice 2: ok 1 - new_overlay_id() bumps last_overlay_id value 2: ok 2 - Expected generated node name matches 2: ok 3 - Expected generated node name matches 2: 1..3 2: ok 41 - DriveDevice 2: # Subtest: relative assets 2: ok 1 - find the asset real path 2: 1..1 2: ok 42 - relative assets 2: # Subtest: qemu was killed due to the system being out of memory 2: ok 1 - state file "base_state.json" exists 2: ok 2 - qemu was killed and the reason was shown correctly 2: 1..2 2: ok 43 - qemu was killed due to the system being out of memory 2: # Subtest: qemu is not called on an empty file when ISO_1 is an empty string 2: ok 1 - get_img_size call count check 2: 1..1 2: ok 44 - qemu is not called on an empty file when ISO_1 is an empty string 2: # Subtest: configure_controllers 2: ok 1 - correct exception for HDDMODEL 2: ok 2 - correct exception for CDMODEL 2: ok 3 - ATACONTROLLER added 2: ok 4 - USBBOOT added 2: 1..4 2: ok 45 - configure_controllers 2: # Subtest: configure_blockdevs xz 2: ok 1 - runcmd unxz called successfully 2: 1..1 2: ok 46 - configure_blockdevs xz 2: # Subtest: configure_blockdevs USBBOOT 2: ok 1 - add_iso_drive correctly called 2: 1..1 2: ok 47 - configure_blockdevs USBBOOT 2: # Subtest: configure_blockdevs boot from cdrom 2: ok 1 - bootindex correctly called 2: 1..1 2: ok 48 - configure_blockdevs boot from cdrom 2: # Subtest: configure_pflash 2: ok 1 - Fatal mixing of old and new PFLASH 2: ok 2 - add_pflash_drive correctly called 2: ok 3 - Fatal UEFI_PFLASH_VARS without UEFI_PFLASH_CODE 2: 1..3 2: ok 49 - configure_pflash 2: # Subtest: connect_qmp 2: ok 1 - Fatal connect_qmp 2: 1..1 2: ok 50 - connect_qmp 2: # Subtest: save_state 2: ok 1 - Info about not writing empty state fle 2: ok 2 2: 1..2 2: ok 51 - save_state 2: # Subtest: revert_to_snapshot 2: ok 1 - SnapshotConf->revert_to_snapshot called 2: ok 2 - BlockDevConf->revert_to_snapshot called 2: ok 3 - foo was removed 2: ok 4 - Message about unlinking foo 2: 1..4 2: ok 52 - revert_to_snapshot 2: ok 53 - no (unexpected) warnings (via done_testing) 2: 1..53 2: ok 536 ms ( 0.01 usr 0.00 sys + 0.35 cusr 0.20 csys = 0.56 CPU) 2: [05:09:33] ./t/19-isotovideo-command-processing.t ..... 2: # Subtest: set_current_test 2: ok 1 - Status == running 2: 1..1 2: ok 1 - set_current_test 2: # Subtest: status 2: ok 1 - status returned as expected 2: 1..1 2: ok 2 - status 2: # Subtest: set pause at test 2: ok 1 - log for pause 2: ok 2 - answer received 2: ok 3 - broadcasted via command server 2: ok 4 - test to pause at set 2: ok 5 - pausing not logged 2: ok 6 - not paused on different test module 2: ok 7 - reason for pause set not set 2: ok 8 - answer not postponed 2: ok 9 - pausing logged 2: ok 10 - reason for pause set when reaching module to pause on 2: ok 11 - answer postponed 2: ok 12 - log for unpause 2: ok 13 - answer received 2: ok 14 - broadcasted via command server 2: ok 15 - test to pause at unset 2: 1..15 2: ok 3 - set pause at test 2: # Subtest: report timeout, set pause on assert/check screen timeout 2: ok 1 - not configured to pause on assert_screen 2: ok 2 - not configured to pause on check_screen 2: ok 3 - not supposed to pause 2: ok 4 - nothing sent to cmd srv 2: ok 5 - event passed cmd srv 2: ok 6 - enabling pause on assert_screen timeout 2: ok 7 - configured to pause on assert_screen 2: ok 8 - not configured to pause on check_screen 2: ok 9 - log pause on timeout 2: ok 10 - event passed cmd srv 2: ok 11 - postponed answer fd set 2: ok 12 - not supposed to pause on check_screen 2: ok 13 - event passed cmd srv 2: ok 14 - enabling pause on check_screen timeout 2: ok 15 - configured to pause on assert_screen 2: ok 16 - configured to pause on check_screen 2: ok 17 - log pause on timeout 2: ok 18 - supposed to pause on check_screen 2: ok 19 - event passed cmd srv 2: ok 20 - pause on assert_screen/check_screen timeout disabled 2: 1..20 2: ok 4 - report timeout, set pause on assert/check screen timeout 2: # Subtest: set_pause_on_next_command, postponing command, resuming 2: ok 1 - pause on next command disabled by default 2: ok 2 - event passed cmd srv 2: ok 3 - pause on next command enabled 2: ok 4 - log on pause before check_screen 2: ok 5 - check_screen postponed 2: ok 6 - postponed command set 2: ok 7 - answer fd for postponed command set 2: ok 8 - event passed cmd srv 2: ok 9 - pause on next command disabled 2: ok 10 - log on resuming 2: ok 11 - check_screen resumed 2: ok 12 - no command postponed anymore 2: ok 13 - postponed answer_fd cleared 2: ok 14 - test no longer paused 2: ok 15 - resuming test execution without previously pausing 2: # Subtest: resume when no command was postponed but with existing postponed_answer_fd 2: ok 1 - Correct output 2: ok 2 - Correct data received 2: ok 3 2: 1..3 2: ok 16 - resume when no command was postponed but with existing postponed_answer_fd 2: 1..16 2: ok 5 - set_pause_on_next_command, postponing command, resuming 2: # Subtest: assert_screen 2: ok 1 - response for assert_screen 2: ok 2 - set_tags_to_assert passed to backend 2: ok 3 - tags assigned 2: ok 4 2: 1..4 2: ok 6 - assert_screen 2: # Subtest: check_screen 2: ok 1 - response for check_screen 2: ok 2 - set_tags_to_assert passed to backend 2: ok 3 2: 1..3 2: ok 7 - check_screen 2: # Subtest: set_assert_screen_timeout 2: ok 1 - response for set_assert_screen_timeout 2: ok 2 - timeout passed to backend 2: ok 3 - response for set_assert_screen_timeout 2: 1..3 2: ok 8 - set_assert_screen_timeout 2: # Subtest: version 2: ok 1 - response for version 2: 1..1 2: ok 9 - version 2: # Subtest: send_clients 2: ok 1 - response for send_clients 2: 1..1 2: ok 10 - send_clients 2: # Subtest: invalid command 2: ok 1 - Correct error message for unknown command 2: 1..1 2: ok 11 - invalid command 2: # Subtest: _is_configured_to_pause_on_timeout 2: ok 1 - _is_configured_to_pause_on_timeout returned 0 2: 1..1 2: ok 12 - _is_configured_to_pause_on_timeout 2: # Subtest: check_asserted_screen 2: ok 1 - Timeout was set 2: 1..1 2: ok 13 - check_asserted_screen 2: # Subtest: signalhandler 2: ok 1 - Signal logged 2: ok 2 - Loop was stopped 2: ok 3 - No event emitted 2: ok 4 - Signal logged 2: ok 5 - Event emitted 2: 1..5 2: ok 14 - signalhandler 2: # Subtest: No readable JSON 2: ok 1 - no response 2: ok 2 - Loop was stopped 2: 1..2 2: ok 15 - No readable JSON 2: ok 16 - no (unexpected) warnings (via done_testing) 2: 1..16 2: ok 5613 ms ( 0.00 usr 0.01 sys + 0.42 cusr 0.06 csys = 0.49 CPU) 2: [05:09:38] ./t/20-openqa-benchmark-stopwatch-utils.t .. 2: ok 1 - Pass summary as data 2: ok 2 - Pass first lap 2: ok 3 - Pass second lap 2: NAME TIME CUMULATIVE PERCENTAGE 2: Lap 0.001s 0.001 0.001 34.203% 2: Lap 0.002s 0.002 0.003 65.736% 2: _stop_ 0.000 0.003 0.061% 2: ok 4 - no (unexpected) warnings (via done_testing) 2: 1..4 2: ok 137 ms ( 0.00 usr 0.00 sys + 0.12 cusr 0.02 csys = 0.14 CPU) 2: [05:09:39] ./t/20-openqa-isotovideo-utils.t ........... 2: ok 1 - non-git repo detected as such 2: ok 2 - checkout_git_refspec also detects UNKNOWN 2: ok 3 - can parse working copy version (if it is git) 2: # call again git_rev_parse under different user (if available) 2: # Subtest: error handling when loading test schedule 2: # Subtest: no schedule at all 2: ok 1 - error logged 2: ok 2 - state file contains object 2: ok 3 - state file contains component message 2: ok 4 - state file contains error message 2: 1..4 2: ok 1 - no schedule at all 2: # Subtest: unable to load test module 2: ok 1 - error logged 2: ok 2 - debug message logged 2: ok 3 - state file contains object 2: ok 4 - state file contains component 2: ok 5 - state file contains error message 2: 1..5 2: ok 2 - unable to load test module 2: # Subtest: invalid productdir 2: ok 1 - error logged 2: 1..1 2: ok 3 - invalid productdir 2: 1..3 2: ok 4 - error handling when loading test schedule 2: ok 5 - _store_asset returns correct parameters 2: ok 6 - no (unexpected) warnings (via done_testing) 2: 1..6 2: ok 390 ms ( 0.00 usr 0.00 sys + 0.34 cusr 0.06 csys = 0.40 CPU) 2: [05:09:39] ./t/21-needle-downloader.t ................. 2: ok 1 - create test needle dir under /tmp/jzcPF5SyQI/needles_dir 2: # Subtest: deduce URL for needle download from test variable OPENQA_URL 2: ok 1 - existing scheme not overridden 2: ok 2 - hostname not present 2: ok 3 - hostname taken from OPENQA_HOSTNAME if not present 2: ok 4 - domain is treated as host (and not relative path) 2: ok 5 - domain:port is treated as host + port (and not protocol + path) 2: 1..5 2: ok 2 - deduce URL for needle download from test variable OPENQA_URL 2: ok 3 - by default limited to 150 downloads 2: # Subtest: add relevant downloads 2: ok 1 - skipped downloads logged 2: ok 2 - downloads added 2: # Subtest: limit applied 2: ok 1 - no more downloads added 2: 1..1 2: ok 3 - limit applied 2: 1..3 2: ok 4 - add relevant downloads 2: # Subtest: download added URLs 2: ok 1 - no URLs queried so far 2: ok 2 - errors logged 2: ok 3 - right URLs queried 2: 1..3 2: ok 5 - download added URLs 2: # Subtest: _download_file 2: ok 1 - Download returned 404 2: ok 2 - Download succeeded 2: ok 3 - Download did not return 404 2: ok 4 - Could not write target 2: ok 5 - internal error was logged 2: 1..5 2: ok 6 - _download_file 2: ok 7 - no (unexpected) warnings (via done_testing) 2: 1..7 2: ok 374 ms ( 0.00 usr 0.00 sys + 0.33 cusr 0.04 csys = 0.37 CPU) 2: [05:09:39] ./t/22-svirt.t ............................. 2: ok 1 - read credentials 2: # Subtest: svirt console correctly initialized 2: ok 1 2: ok 2 2: ok 3 2: ok 4 2: ok 5 2: ok 6 2: ok 7 2: ok 8 2: 1..8 2: ok 2 - svirt console correctly initialized 2: ok 3 - SUT serial console correctly initialized 2: # Subtest: XML config for VNC and serial console 2: ok 1 2: 1..1 2: ok 4 - XML config for VNC and serial console 2: # Subtest: XML config with UEFI loader and VMware 2: ok 1 - dies if UEFI firmware missing 2: ok 2 2: 1..2 2: ok 5 - XML config with UEFI loader and VMware 2: # Subtest: starting VMware console 2: ok 1 - config written 2: ok 2 - expected commands invoked 2: 1..2 2: ok 6 - starting VMware console 2: # Subtest: starting VMware console with Cloud Init 2: ok 1 - config written 2: ok 2 - expected commands invoked 2: 1..2 2: ok 7 - starting VMware console with Cloud Init 2: # Subtest: SSH credentials 2: ok 1 - Check SSH credentials 2: ok 2 - Check SSH credentials for hyperv 2: 1..2 2: ok 8 - SSH credentials 2: # Subtest: SSH usage in console::sshVirtsh 2: ok 1 - Correct username for ssh connection 2: ok 2 - Correct password for ssh connection 2: ok 3 - Correct hostname for ssh connection 2: ok 4 - sshVirtsh::run_cmd() test return value 2: ok 5 - Correct username for ssh connection 2: ok 6 - Correct wantarray for ssh connection 2: ok 7 - Correct password for ssh connection 2: ok 8 - Correct hostname for ssh connection 2: ok 9 - sshVirtsh::run_cmd_(wantarray => 1) 2: ok 10 - Correct username for ssh connection 2: ok 11 - Correct wantarray for ssh connection 2: ok 12 - Correct password for ssh connection 2: ok 13 - Correct hostname for ssh connection 2: ok 14 - sshVirtsh::get_cmd_output() 2: ok 15 - Correct username for ssh connection 2: ok 16 - Correct wantarray for ssh connection 2: ok 17 - Correct password for ssh connection 2: ok 18 - Correct hostname for ssh connection 2: ok 19 - sshVirtsh::get_cmd_output(wantarray => 1 2: ok 20 - Correct username for ssh connection 2: ok 21 - Correct password for ssh connection 2: ok 22 - Correct hostname for ssh connection 2: ok 23 - sshVirtsh::run_cmd(keep_open=>0) test return value 2: # Subtest: SSH usage in consoles::sshVirtsh(vmware) 2: ok 1 - Correct username for ssh connection 2: ok 2 - Correct password for ssh connection 2: ok 3 - Correct hostname for ssh connection 2: ok 4 - sshVirtsh::run_cmd() Check use of `default` credentials 2: ok 5 - Correct username for ssh connection 2: ok 6 - Correct password for ssh connection 2: ok 7 - Correct hostname for ssh connection 2: ok 8 - sshVirtsh::get_cmd_output() Check use of `default` credentials 2: ok 9 - Correct username for ssh connection 2: ok 10 - Correct password for ssh connection 2: ok 11 - Correct hostname for ssh connection 2: ok 12 - sshVirtsh::run_cmd(domain => sshVMwareServer) check use of VMWARE credentials 2: ok 13 - Correct username for ssh connection 2: ok 14 - Correct password for ssh connection 2: ok 15 - Correct hostname for ssh connection 2: ok 16 - sshVirtsh::get_cmd_output() Check use of VMWARE credentials 2: 1..16 2: ok 24 - SSH usage in consoles::sshVirtsh(vmware) 2: 1..24 2: ok 9 - SSH usage in console::sshVirtsh 2: # Subtest: Methods backend::svirt::attach_to_running, start_serial_grab and stop_serial_grab 2: ok 1 - serial grab attempted 2: ok 2 - destructon of VM prevented by default 2: ok 3 - console name set 2: ok 4 - console name set (2) 2: ok 5 - VM not kept running 2: ok 6 - start serial grab 2: ok 7 - name passed to start serial grab 2: ok 8 - stop serial grab 2: ok 9 - name passed to stop serial grab 2: 1..9 2: ok 10 - Methods backend::svirt::attach_to_running, start_serial_grab and stop_serial_grab 2: # Subtest: Method backend::svirt::open_serial_console_via_ssh() 2: ok 1 - run_ssh() command is like qr/virsh console NAME\s+;/ 2: ok 2 - run_ssh() command is like qr/virsh console NAME DEV\s*;/ 2: ok 3 - run_ssh() command is like qr/virsh console NAME DEV666\s*;/ 2: ok 4 - run_ssh() command is like qr/virsh console NAME 666\s*;/ 2: ok 5 - run_ssh() command is like qr/socat - TCP4:my.vmware.host:,crnl;/ 2: ok 6 - run_ssh() command is like qr/socat - TCP4:my.vmware.host:666,crnl;/ 2: ok 7 - run_ssh() command is like qr/socat - TCP4:my.hyperv.server:,crnl;/ 2: ok 8 - run_ssh() command is like qr/socat - TCP4:my.hyperv.server:666,crnl;/ 2: ok 9 - Check that we get output from run_ssh() call 2: ok 10 - die() when log file wasn't created 2: ok 11 - Check if /tmp/serial_terminal.txt.CHECK_DELETE_TOKEN was deleted on die() 2: ok 12 - die() when emulate CONSOLE_EXIT token in log file 2: ok 13 - Check if /tmp/serial_terminal.txt.CHECK_DELETE_TOKEN was deleted on die() 2: 1..13 2: ok 11 - Method backend::svirt::open_serial_console_via_ssh() 2: # Subtest: Method consoles::sshVirtsh::add_disk() 2: # Subtest: family vmware 2: # Subtest: family vmware only file=>"specified" 2: ok 1 - None command was triggered 2: ok 2 - Only one with that dev hddevice_id_101 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: 1..4 2: ok 1 - family vmware only file=>"specified" 2: # Subtest: vmware create=1 2: ok 1 - Command was triggered 2: ok 2 - Check name 2: ok 3 - Check size 2: ok 4 - Only one with that dev hddevice_id_001 exists 2: ok 5 - has a child 2: ok 6 - The file attribute of is correct 2: 1..6 2: ok 2 - vmware create=1 2: # Subtest: vmware backingfile=1 2: ok 1 - Check size 2: ok 2 - Only one with that dev hddev_id_002 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: 1..4 2: ok 3 - vmware backingfile=1 2: # Subtest: vmware cdrom=1 2: ok 1 - Copy iso to /vmfs/volumes/my_vmware_datastore/openQA/ 2: ok 2 - Only one with that dev hddev_id_003 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: 1..4 2: ok 4 - vmware cdrom=1 2: # Subtest: Check differnt size formattings on vmware 2: ok 1 - Check size 666k 2: ok 2 - Check size 666K 2: ok 3 - Check size 666M 2: ok 4 - Check size 666G 2: ok 5 - Check size 666T 2: 1..5 2: ok 5 - Check differnt size formattings on vmware 2: 1..5 2: ok 1 - family vmware 2: # Subtest: family svirt-xen-hvm 2: # Subtest: family xcirt-xen-hvm only file=>"specified" 2: ok 1 - None command was triggered 2: ok 2 - Only one with that dev xvddevice_id_105 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: 1..4 2: ok 1 - family xcirt-xen-hvm only file=>"specified" 2: # Subtest: family svirt-xen-hvm create=1 error handling 2: ok 1 - Died after 5 retry attempts 2: ok 2 - Triggered img creation, after 4 errors 2: # Subtest: Check different size formattings 2: ok 1 - Check different size type 666k 2: ok 2 - Check different size type 666K 2: ok 3 - Check different size type 666M 2: ok 4 - Check different size type 666G 2: ok 5 - Check different size type 666T 2: 1..5 2: ok 3 - Check different size formattings 2: ok 4 - Check for default size 20G 2: 1..4 2: ok 2 - family svirt-xen-hvm create=1 error handling 2: # Subtest: family svirt-xen-hvm create=1 2: ok 1 - Check create image was triggered 2: ok 2 - Only one with that dev xvddev_id_008 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: ok 5 - has a child 2: ok 6 - name attribute of is correct 2: ok 7 - type attribute of is correct 2: ok 8 - cache attribute of is correct 2: 1..8 2: ok 3 - family svirt-xen-hvm create=1 2: # Subtest: family svirt-xen-hvm backingfile=1 2: ok 1 - Use rsync to copy file 2: ok 2 - Used image size > backingfile size 2: 1..2 2: ok 4 - family svirt-xen-hvm backingfile=1 2: # Subtest: family svirt-xen-hvm backingfile=1 size smaller backingfile-size 2: ok 1 - Use rsync to copy file 2: ok 2 - Used image size <= backingfile size 2: ok 3 - Only one with that dev xvddev_id_010 exists 2: ok 4 - has a child 2: ok 5 - The file attribute of is correct 2: ok 6 - has a child 2: ok 7 - name attribute of is correct 2: ok 8 - type attribute of is correct 2: ok 9 - cache attribute of is correct 2: ok 10 - Die on missing file argument 2: 1..10 2: ok 5 - family svirt-xen-hvm backingfile=1 size smaller backingfile-size 2: # Subtest: family svirt-xen-hvm cdrom=1 2: ok 1 - Use rsync to copy cdrom iso 2: ok 2 - Only one with that dev sddev_id_011 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: ok 5 - has a child 2: ok 6 - name attribute of is correct 2: ok 7 - type attribute of is correct 2: ok 8 - cache attribute of is correct 2: ok 9 - Die on missing file argument 2: 1..9 2: ok 6 - family svirt-xen-hvm cdrom=1 2: 1..6 2: ok 2 - family svirt-xen-hvm 2: # Subtest: family kvm 2: # Subtest: family kvm create=1 2: ok 1 - Only one with that dev vddev_id_012 exists 2: ok 2 - has a child 2: ok 3 - The file attribute of is correct 2: ok 4 - has a child 2: ok 5 - name attribute of is correct 2: ok 6 - type attribute of is correct 2: ok 7 - cache attribute of is correct 2: 1..7 2: ok 1 - family kvm create=1 2: # Subtest: family kvm create=1 size types 2: ok 1 - Check different size type 666k 2: ok 2 - Check different size type 666K 2: ok 3 - Check different size type 666M 2: ok 4 - Check different size type 666G 2: ok 5 - Check different size type 666T 2: ok 6 - Default size is 20G 2: 1..6 2: ok 2 - family kvm create=1 size types 2: # Subtest: family svirt-xen-hvm backingfile=1 2: ok 1 - Use rsync to copy file 2: ok 2 - Used image size > backingfile size 2: 1..2 2: ok 3 - family svirt-xen-hvm backingfile=1 2: # Subtest: family kvm backingfile=1 size smaller then backingfile 2: ok 1 - Use rsync to copy file 2: ok 2 - Used image size <= backingfile size 2: ok 3 - Only one with that dev vddev_id_016 exists 2: ok 4 - has a child 2: ok 5 - The file attribute of is correct 2: ok 6 - has a child 2: ok 7 - name attribute of is correct 2: ok 8 - type attribute of is correct 2: ok 9 - cache attribute of is correct 2: 1..9 2: ok 4 - family kvm backingfile=1 size smaller then backingfile 2: # Subtest: family kvm cdrom=1 2: ok 1 - Use rsync to copy cdrom iso 2: ok 2 - Only one with that dev hddev_id_017 exists 2: ok 3 - has a child 2: ok 4 - The file attribute of is correct 2: ok 5 - has a child 2: ok 6 - name attribute of is correct 2: ok 7 - type attribute of is correct 2: ok 8 - cache attribute of is correct 2: 1..8 2: ok 5 - family kvm cdrom=1 2: # Subtest: family kvm cdrom=1 xz file 2: ok 1 - Use rsync to copy cdrom iso 2: ok 2 - Uncompress file with unxz 2: ok 3 - Only one with that dev hddev_id_018 exists 2: ok 4 - has a child 2: ok 5 - The file attribute of is correct 2: ok 6 - has a child 2: ok 7 - name attribute of is correct 2: ok 8 - type attribute of is correct 2: ok 9 - cache attribute of is correct 2: 1..9 2: ok 6 - family kvm cdrom=1 xz file 2: # Subtest: check bootorder argument 2: ok 1 - Boot order entry was created in 2: ok 2 - Boot order entry was created in 2: 1..2 2: ok 7 - check bootorder argument 2: 1..7 2: ok 3 - family kvm 2: 1..3 2: ok 12 - Method consoles::sshVirtsh::add_disk() 2: # Subtest: get_wait_still_screen_on_here_doc_input 2: ok 1 - wait_still_screen on here doc is set for hyperv 2: ok 2 - wait_still_screen on here doc is set for vmware 2: ok 3 - wait_still_screen on here doc is not set for kvm 2: 1..3 2: ok 13 - get_wait_still_screen_on_here_doc_input 2: ok 14 - no (unexpected) warnings (via done_testing) 2: 1..14 2: ok 567 ms ( 0.00 usr 0.02 sys + 0.49 cusr 0.08 csys = 0.59 CPU) 2: [05:09:40] ./t/23-baseclass.t ......................... 2: # Subtest: format_vtt_timestamp 2: ok 1 - frame number 0 2: ok 2 - frame number 1 2: 1..2 2: ok 1 - format_vtt_timestamp 2: # Subtest: not implemented 2: ok 1 - notimplemented() works for '$self->power(23)' 2: ok 2 - notimplemented() works for '$self->insert_cd()' 2: ok 3 - notimplemented() works for '$self->eject_cd()' 2: ok 4 - notimplemented() works for '$self->eject_cd(23)' 2: ok 5 - notimplemented() works for '$self->do_start_vm(23)' 2: ok 6 - notimplemented() works for '$self->do_start_vm(23 42)' 2: ok 7 - notimplemented() works for '$self->do_stop_vm(23)' 2: ok 8 - notimplemented() works for '$self->do_stop_vm(23 42)' 2: ok 9 - notimplemented() works for '$self->stop()' 2: ok 10 - notimplemented() works for '$self->cont()' 2: ok 11 - notimplemented() works for '$self->do_extract_assets(23)' 2: ok 12 - notimplemented() works for '$self->switch_network(23)' 2: ok 13 - notimplemented() works for '$self->save_memory_dump(23)' 2: ok 14 - notimplemented() works for '$self->save_storage_drives(23)' 2: 1..14 2: ok 2 - not implemented 2: ok 3 - can_handle returns false by default 2: ok 4 - can call is_shutdown default implementation 2: ok 5 - can call cpu_stat empty default implementation 2: ok 6 - handle_command executes specified command 2: # Subtest: SSH utilities 2: ok 1 - Connect to correct hostname 2: ok 2 - Connect to correct port 2: ok 3 - Correct username for ssh connection 2: ok 4 - Correct password for ssh connection 2: ok 5 - New SSH connection announced in logs 1 2: ok 6 - Connect to correct hostname 2: ok 7 - Connect to correct port 2: ok 8 - Correct username for ssh connection 2: ok 9 - Correct password for ssh connection 2: ok 10 - New SSH connection announced in logs 2 2: ok 11 - Connect to correct hostname 2: ok 12 - Connect to correct port 2: ok 13 - Correct username for ssh connection 2: ok 14 - Correct password for ssh connection 2: ok 15 - New SSH connection announced in logs (first keep_open=>1) 2: ok 16 - No new SSH connection announced in logs 2: ok 17 - Existing SSH connection announced in logs 2: ok 18 - Connect to correct hostname 2: ok 19 - Connect to correct port 2: ok 20 - Correct username for ssh connection 2: ok 21 - Correct password for ssh connection 2: ok 22 - New SSH connection announced in logs -- username=foo911 2: ok 23 - Connect to correct hostname 2: ok 24 - Connect to correct port 2: ok 25 - New SSH connection announced in logs -- username=foo912 2: ok 26 - auth agent called once 2: ok 27 - Connect to correct hostname 2: ok 28 - Connect to correct port 2: ok 29 - Correct username for ssh connection 2: ok 30 - Correct password for ssh connection 2: ok 31 - Existing SSH connection announced in logs 2: ok 32 - Connect to correct hostname 2: ok 33 - Connect to correct port 2: ok 34 - Correct username for ssh connection 2: ok 35 - Correct password for ssh connection 2: ok 36 - New SSH connection announced in logs -- port=2222 2: ok 37 - Got new connection each call 2: ok 38 - Got same connection with keep_open 2: ok 39 - Got same connection with keep_open 2: ok 40 - Got new connection with different credentials 2: ok 41 - Got new connection, when SSH session got broke 2: ok 42 - Got same connection with different ports 2: ok 43 - Connect to correct hostname 2: ok 44 - Connect to correct port 2: ok 45 - Correct username for ssh connection 2: ok 46 - Correct password for ssh connection 2: ok 47 - Got exception on connection error 2: ok 48 - Connect to correct hostname 2: ok 49 - Connect to correct port 2: ok 50 - Correct username for ssh connection 2: ok 51 - Correct password for ssh connection 2: ok 52 - Empty password also accepted, auth_agent not called 2: ok 53 - Connect to correct hostname 2: ok 54 - Connect to correct port 2: ok 55 - auth_agent called via "use_ssh_agent" despite empty password 2: ok 56 - Command successful exit 2: ok 57 - Command failed exit 2: ok 58 - Command successful exit with output 2: ok 59 - Allow SSH credentials per run_ssh_cmd() call 2: ok 60 - Connect to correct hostname 2: ok 61 - Connect to correct port 2: ok 62 - Correct username for ssh connection 2: ok 63 - Correct password for ssh connection 2: ok 64 - Ensure run_ssh_cmd(keep_open => 0) uses a new SSH connection 2: ok 65 - Expect 8 connected SSH connections 2: ok 66 - SSH connection ssh1 connected 2: ok 67 - SSH connection ssh2 connected 2: ok 68 - SSH connection ssh7 connected 2: ok 69 - SSH connection ssh8 connected 2: ok 70 - SSH connection ssh9 connected 2: ok 71 - Expect 3 disconnected SSH connections 2: ok 72 - SSH connection ssh3 disconnected 2: ok 73 - Expect 5 connected SSH connections (ssh1, ssh2 and ssh9) 2: ok 74 - SSH connection ssh1 connected 2: ok 75 - SSH connection ssh2 connected 2: ok 76 - SSH connection ssh9 connected (user agent auth) 2: # Subtest: Serial SSH 2: ok 1 - Connect to correct hostname 2: ok 2 - Connect to correct port 2: ok 3 - Correct username for ssh connection 2: ok 4 - Correct password for ssh connection 2: ok 5 - Ensure start_ssh_serial() uses a new SSH connection 2: ok 6 - STDOUT and STDERR are merged 2: ok 7 - We run SSH in none blocking mode 2: ok 8 - Serial output is printed to STDOUT 2: ok 9 - Serial output is written to serial file 2: ok 10 - Check return value on success 2: ok 11 - No output on EAGAIN only 2: ok 12 - Check return value on EAGAIN 2: ok 13 - Serial SSH exists after EGAIN 2: ok 14 - Return 0 when called with wrong socket 2: ok 15 - early return if $write is set 2: ok 16 - No output on ERROR only 2: ok 17 - Check return value on EAGAIN 2: ok 18 - SSH serial get disconnected on unknown read ERROR 2: ok 19 - Return 0 if SSH serial isn't connected 2: 1..19 2: ok 77 - Serial SSH 2: # Subtest: handling connection error 2: ok 1 - connection error logged 2: 1..1 2: ok 78 - handling connection error 2: 1..78 2: ok 7 - SSH utilities 2: # Subtest: video-encoder 2: ok 1 - video_frame_data array is empty 2: ok 2 - external_video_encoder_image_data array is empty 2: ok 3 - video_encoders entry was deleted 2: ok 4 - catch block called like expected 2: 1..4 2: ok 8 - video-encoder 2: # Subtest: running test 2: ok 4 - no (unexpected) warnings (via END block) 2: ok 1 - error logged 2: ok 2 - state file contains object 2: ok 3 - state file contains component message 2: ok 4 - state file contains error message 2: 1..4 2: ok 9 - running test 2: # Subtest: wait_serial 2: ok 1 - Test string literal on the first line 2: ok 2 - Multiline literal string match 2: ok 3 - One line regex match 2: ok 4 - Test regex match multiline leftover 2: ok 5 - Test regex mismatch 2: ok 6 - Test string literal mismatch 2: # Subtest: waiting for serial terminal 2: ok 1 - is_serial_terminal returns expected result 2: ok 2 - read_until is called 2: 1..2 2: ok 7 - waiting for serial terminal 2: 1..7 2: ok 10 - wait_serial 2: # Subtest: waiting for screen change or still screen 2: # Subtest: enqueuing waiting for screen change 2: ok 1 - reply is postponed 2: ok 2 - check for screen change enqueued 2: 1..2 2: ok 1 - enqueuing waiting for screen change 2: # Subtest: screen has not changed and timeout has not been exceeded 2: ok 1 - falsy return 2: ok 2 - still waiting for screen change 2: ok 3 - no response sent 2: 1..3 2: ok 2 - screen has not changed and timeout has not been exceeded 2: # Subtest: screen has changed 2: ok 1 - truthy return 2: ok 2 - no longer waiting for screen change 2: ok 3 - response sent 2: 1..3 2: ok 3 - screen has changed 2: # Subtest: timeout exceeded 2: ok 1 - reply is postponed 2: ok 2 - truthy return 2: ok 3 - no longer waiting for screen change 2: ok 4 - response sent 2: 1..4 2: ok 4 - timeout exceeded 2: # Subtest: enqueuing waiting for still screen 2: ok 1 - reply is postponed 2: ok 2 - check for still screen enqueued 2: ok 3 - reference screenshot set 2: ok 4 - starttime initialized 2: 1..4 2: ok 5 - enqueuing waiting for still screen 2: # Subtest: screen has not changed and timeout has not been exceeded but screen is not still long enough 2: ok 1 - falsy return 2: ok 2 - still checking for still screen as it is not still for long enough 2: ok 3 - still "streak" continues 2: ok 4 - reference screenshot has not been updated 2: ok 5 - no response sent 2: 1..5 2: ok 6 - screen has not changed and timeout has not been exceeded but screen is not still long enough 2: # Subtest: screen has changed and timeout has not been exceeded 2: ok 1 - falsy return 2: ok 2 - still checking for still screen as the streak has ended but timeout not exceeded 2: ok 3 - still "streak" has ended 2: ok 4 - reference screenshot has been updated 2: ok 5 - no response sent 2: 1..5 2: ok 7 - screen has changed and timeout has not been exceeded 2: # Subtest: broken streak means stilltime needs to be awaited again from the start 2: ok 1 - falsy return 2: ok 2 - still waiting for still screen even screen is still and stilltime has passed as streak was interrupted 2: 1..2 2: ok 8 - broken streak means stilltime needs to be awaited again from the start 2: # Subtest: screen is still long enough and timeout has not been exceeded 2: ok 1 - truthy return 2: ok 2 - no longer checking for still screen 2: ok 3 - response sent 2: 1..3 2: ok 4 - no (unexpected) warnings (via END block) 2: ok 9 - screen is still long enough and timeout has not been exceeded 2: # Subtest: timeout has been exceeded before screen is still long enough 2: ok 1 - enqueued a new still screen wait 2: ok 2 - truthy return 2: ok 3 - no longer checking for still screen 2: ok 4 - response sent 2: 1..4 2: ok 10 - timeout has been exceeded before screen is still long enough 2: 1..10 2: ok 11 - waiting for screen change or still screen 2: # Subtest: check_select_rate 2: # Subtest: recover_if_not_all_hit_the_limit 2: ok 1 - 1 hit on 42 return 0 2: ok 2 - 1 hit on 43 return 0 2: ok 3 - 1 hit on 44 return 0 2: ok 4 - 1 hit on 45 return 0 2: ok 5 - 2 hit on 42 return 0 2: ok 6 - 2 hit on 43 return 0 2: ok 7 - 2 hit on 44 return 0 2: ok 8 - 2 hit on 45 return 0 2: ok 9 - 3 hit on 42 return 0 2: ok 10 - 3 hit on 43 return 0 2: ok 11 - 3 hit on 44 return 0 2: ok 12 - 3 hit on 45 return 0 2: ok 13 - 4 hit on 42 return 0 2: ok 14 - 4 hit on 43 return 0 2: ok 15 - 4 hit on 44 return 0 2: ok 16 - 4 hit on 45 return 0 2: ok 17 - 5 hit on 42 return 0 2: ok 18 - 5 hit on 43 return 0 2: ok 19 - 5 hit on 44 return 0 2: ok 20 - 5 hit on 45 return 0 2: ok 21 - 6 hit on 42 return 0 2: ok 22 - 6 hit on 43 return 0 2: ok 23 - 6 hit on 44 return 0 2: ok 24 - 6 hit on 45 return 0 2: ok 25 - 7 hit on 42 return 0 2: ok 26 - 7 hit on 43 return 0 2: ok 27 - 7 hit on 44 return 0 2: ok 28 - 7 hit on 45 return 0 2: ok 29 - 8 hit on 42 return 0 2: ok 30 - 8 hit on 43 return 0 2: ok 31 - 8 hit on 44 return 0 2: ok 32 - 8 hit on 45 return 0 2: ok 33 - 9 hit on 42 return 0 2: ok 34 - 9 hit on 43 return 0 2: ok 35 - 9 hit on 44 return 0 2: ok 36 - 9 hit on 45 return 0 2: ok 37 - The fd 42 does not hit the limit, as time isn't up 2: ok 38 - The fd 42 does not hit the limit, cause not all fd's hit it! 2: ok 39 - The counter of fd 42 was reset to 1 2: 1..39 2: ok 1 - recover_if_not_all_hit_the_limit 2: # Subtest: single_fd_hit_the_limit 2: ok 1 - 1 hit on fd 42 after reset. 2: ok 2 - 2 hit on fd 42 after reset. 2: ok 3 - 3 hit on fd 42 after reset. 2: ok 4 - 4 hit on fd 42 after reset. 2: ok 5 - 5 hit on fd 42 after reset. 2: ok 6 - 6 hit on fd 42 after reset. 2: ok 7 - 7 hit on fd 42 after reset. 2: ok 8 - 8 hit on fd 42 after reset. 2: ok 9 - 9 hit on fd 42 after reset. 2: ok 10 - 10 hit on fd 42 after reset. 2: ok 11 - The fd 42 hit now the limit. 2: 1..11 2: ok 2 - single_fd_hit_the_limit 2: # Subtest: all_fds_hit_the_limit 2: ok 1 - 1 hit on 42 return 0 2: ok 2 - 1 hit on 43 return 0 2: ok 3 - 1 hit on 44 return 0 2: ok 4 - 1 hit on 45 return 0 2: ok 5 - 2 hit on 42 return 0 2: ok 6 - 2 hit on 43 return 0 2: ok 7 - 2 hit on 44 return 0 2: ok 8 - 2 hit on 45 return 0 2: ok 9 - 3 hit on 42 return 0 2: ok 10 - 3 hit on 43 return 0 2: ok 11 - 3 hit on 44 return 0 2: ok 12 - 3 hit on 45 return 0 2: ok 13 - 4 hit on 42 return 0 2: ok 14 - 4 hit on 43 return 0 2: ok 15 - 4 hit on 44 return 0 2: ok 16 - 4 hit on 45 return 0 2: ok 17 - 5 hit on 42 return 0 2: ok 18 - 5 hit on 43 return 0 2: ok 19 - 5 hit on 44 return 0 2: ok 20 - 5 hit on 45 return 0 2: ok 21 - 6 hit on 42 return 0 2: ok 22 - 6 hit on 43 return 0 2: ok 23 - 6 hit on 44 return 0 2: ok 24 - 6 hit on 45 return 0 2: ok 25 - 7 hit on 42 return 0 2: ok 26 - 7 hit on 43 return 0 2: ok 27 - 7 hit on 44 return 0 2: ok 28 - 7 hit on 45 return 0 2: ok 29 - 8 hit on 42 return 0 2: ok 30 - 8 hit on 43 return 0 2: ok 31 - 8 hit on 44 return 0 2: ok 32 - 8 hit on 45 return 0 2: ok 33 - 9 hit on 42 return 0 2: ok 34 - 9 hit on 43 return 0 2: ok 35 - 9 hit on 44 return 0 2: ok 36 - 9 hit on 45 return 0 2: ok 37 - 10 hit on 42 return 0 2: ok 38 - 10 hit on 43 return 0 2: ok 39 - 10 hit on 44 return 0 2: ok 40 - 10 hit on 45 return 0 2: ok 41 - Hit the limit, as all fds hit it! 2: 1..41 2: ok 3 - all_fds_hit_the_limit 2: 1..3 2: ok 12 - check_select_rate 2: # Subtest: requesting full screen update 2: ok 1 - no screen update requested so far 2: ok 2 - no screen update requested 2: ok 3 - screen update is always expected to be non-incremental within this test 2: ok 4 - screen update requested as deadline nearing end 2: ok 5 - no further screen update requested 2: ok 6 - screen update is always expected to be non-incremental within this test 2: ok 7 - screen update triggered periodically 2: 1..7 2: ok 13 - requesting full screen update 2: ok 14 - wait_still_screen on here doc is off by default! 2: # Subtest: corner cases of do_capture/run_capture_loop 2: ok 1 - no stall detected so far 2: ok 2 - loop aborted due to unresponsive console 2: ok 3 - stall detected 2: ok 4 - set screenshot_interval used as select timeout 2: ok 5 - data written to external video encoder 2: ok 6 - very low timeout used as select timeout for wait_screen_change with no_wait parameter 2: 1..6 2: ok 15 - corner cases of do_capture/run_capture_loop 2: # Subtest: starting external video encoder and enqueuing screenshot data for it 2: ok 1 - video encoder started 2: ok 2 - one video encoder started 2: # Subtest: params passed as expected 2: ok 1 - name set 2: ok 2 - command correct, %OUTPUT_FILE_NAME% substituted 2: 1..2 2: ok 3 - params passed as expected 2: ok 4 - video encoder started 2: ok 5 - one video encoder started (without %OUTPUT_FILE_NAME%) 2: ok 6 - command correct, output file appended 2: ok 7 - warning about time (1) 2: ok 8 - new image passed to built-in video encoder (to make png) 2: ok 9 - image data enqueued for external encoder 2: ok 10 - warning about time (2) 2: ok 11 - vtt caption written 2: ok 12 - last frame just repeated, no new image passed to built-in video encoder 2: ok 13 - further image data enqueued for external encoder 2: 1..13 2: ok 16 - starting external video encoder and enqueuing screenshot data for it 2: # Subtest: console functions 2: ok 1 - foo reset 2: ok 2 - bar reset 2: ok 3 - persistent console not reset 2: ok 4 - foo disabled via deactivate_console 2: ok 5 - foo disabled via disable_consoles 2: ok 6 - bar disabled via disable_consoles 2: ok 7 - baz disabled via disable_consoles 2: ok 8 - foo activated 2: ok 9 - bar skipped (activated not set / cannot disable) 2: ok 10 - baz skipped (activated not set / cannot disable) 2: ok 11 - cannot_disable skipped (activated not set / cannot disable) 2: ok 12 - bar saved 2: ok 13 - foo skipped (cannot save) 2: ok 14 - baz skipped (cannot save) 2: ok 15 - cannot_disable skipped (cannot save) 2: ok 16 - foo loaded 2: ok 17 - bar skipped (cannot load) 2: ok 18 - baz skipped (cannot load) 2: ok 19 - cannot_disable skipped (cannot load) 2: 1..19 2: ok 17 - console functions 2: # Subtest: bouncer functions 2: ok 1 - function 'hold_key' bounced 2: ok 2 - function 'release_key' bounced 2: ok 3 - function 'type_string' bounced 2: ok 4 - function 'mouse_set' bounced 2: ok 5 - function 'mouse_hide' bounced 2: ok 6 - function 'mouse_button' bounced 2: ok 7 - function 'get_last_mouse_set' bounced 2: 1..7 2: ok 18 - bouncer functions 2: # Subtest: reduce to biggest changes 2: ok 1 - images reduced as expected 2: 1..1 2: ok 19 - reduce to biggest changes 2: # Subtest: stub functions 2: ok 1 - freeze/cont ignored by default 2: 1..1 2: ok 20 - stub functions 2: # Subtest: verifying image 2: ok 1 - image not found (no candidates) 2: ok 2 - image found (mocked search) 2: 1..2 2: ok 21 - verifying image 2: # Subtest: retrying assert screen 2: ok 1 - cont_vm called, set_tags_to_assert invoked 2: ok 2 - needles have been reloaded 2: ok 3 - assert screen timeout set 2: 1..3 2: ok 22 - retrying assert screen 2: # Subtest: special cases when checking socket 2: ok 1 - dies on invalid response 2: ok 2 - reply postponed, token saved for later 2: 1..2 2: ok 23 - special cases when checking socket 2: # Subtest: special cases of set_tags_to_assert 2: ok 1 - needles loaded 2: # Subtest: invalid tags passed 2: ok 1 - empty set of tags returned for invalid needle 2: ok 2 - no match logged for invalid needle 2: ok 3 - warning about invalid needle 2: ok 4 - no assert screen needles assigned 2: 1..4 2: ok 2 - invalid tags passed 2: # Subtest: multiple tags specified, multiple needles set for assertion 2: ok 1 - tags returned 2: ok 2 - matching needles assigned 2: ok 3 - needle inst-welcome-20140902 matched 2: ok 4 - needle welcome.ref matched 2: 1..4 2: ok 3 - multiple tags specified, multiple needles set for assertion 2: 1..3 2: ok 24 - special cases of set_tags_to_assert 2: # Subtest: test _failed_screens_to_json when _reduce_to_biggest_changes removed final mismatch 2: ok 1 - expected res 2: 1..1 2: ok 25 - test _failed_screens_to_json when _reduce_to_biggest_changes removed final mismatch 2: # Subtest: check_asserted_screen takes too long 2: ok 1 - warning logged if check_asserted_screen takes too long 2: ok 2 - assert_screen_last_check assigned 2: ok 3 - assert screen fails cleaned up 2: 1..3 2: ok 26 - check_asserted_screen takes too long 2: # Subtest: child process handling 2: ok 1 - starting dies without specifying coderef 2: ok 2 - started child, pid returned: 2035 2: ok 3 - stopped child again 2: 1..3 2: ok 27 - child process handling 2: ok 28 - no (unexpected) warnings (via done_testing) 2: 1..28 2: [ INFO:0@0.222] global registry_parallel.impl.hpp:96 ParallelBackendRegistry core(parallel): Enabled backends(2, sorted by priority): TBB(1000); OPENMP(990) 2: [ INFO:0@0.222] global parallel_for.tbb.hpp:54 ParallelForBackend Initializing TBB parallel backend: TBB_INTERFACE_VERSION=11103 2: [ INFO:0@0.222] global parallel.cpp:77 createParallelForAPI core(parallel): using backend: TBB (priority=1000) 2: ok 902 ms ( 0.04 usr 0.00 sys + 0.63 cusr 0.25 csys = 0.92 CPU) 2: [05:09:41] ./t/24-myjsonrpc-debug.t ................... 2: # Subtest: debug_json 2: ok 1 - read_json returns what send_json sent 2: ok 2 - debug send_json 2: ok 3 - debug read_json 2: ok 4 - debug json_cmd_token 2: ok 5 - Correct number of warnings 2: 1..5 2: ok 1 - debug_json 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 187 ms ( 0.00 usr 0.00 sys + 0.17 cusr 0.02 csys = 0.19 CPU) 2: [05:09:41] ./t/24-myjsonrpc.t ......................... 2: # Subtest: single_json 2: ok 1 - send_json/read_json json_cmd_token exists 2: ok 2 - read_json returns what send_json sent 2: ok 3 - read_json twice works 2: 1..3 2: ok 1 - single_json 2: # Subtest: multi_json 2: ok 1 - read_json in list context works 2: 1..1 2: ok 2 - multi_json 2: # Subtest: magic_close 2: ok 1 - received magic close 2: ok 2 2: 1..2 2: ok 3 - magic_close 2: ok 4 - error exception raised when reading is aborted 2: ok 5 - no (unexpected) warnings (via done_testing) 2: 1..5 2: ok 190 ms ( 0.00 usr 0.00 sys + 0.13 cusr 0.06 csys = 0.19 CPU) 2: [05:09:41] ./t/25-spvm.t .............................. 2: # Subtest: SSH credentials in spvm 2: ok 1 - Correct username parameter 2: ok 2 - Correct hostname parameter 2: ok 3 - Correct password parameter 2: ok 4 - Test default credentials - without user 2: ok 5 - Correct username parameter 2: ok 6 - Correct hostname parameter 2: ok 7 - Correct password parameter 2: ok 8 - Test default credentials - with user 2: ok 9 - Correct username parameter 2: ok 10 - Correct hostname parameter 2: ok 11 - Correct password parameter 2: ok 12 - Test different return code 2: ok 13 - Correct password parameter 2: ok 14 - Correct username parameter 2: ok 15 - Correct hostname parameter 2: ok 16 - Test specific credentials 2: 1..16 2: ok 1 - SSH credentials in spvm 2: # Subtest: PowerVM power actions 2: ok 1 - Test power on 2: ok 2 - Unknown power action 2: 1..2 2: ok 2 - PowerVM power actions 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 391 ms ( 0.01 usr 0.00 sys + 0.33 cusr 0.06 csys = 0.40 CPU) 2: [05:09:42] ./t/26-serial_screen.t ..................... 2: ok 1 - Check if channel was set for write fd 2: ok 2 - Check if channel was set for read fd 2: ok 3 - Check if channel was set for read fd 2: ok 4 - Fd read member was set. 2: ok 5 - If only one fd give, read and write are equal 2: ok 6 - hold_key dies with error 2: ok 7 - release_key dies with error 2: ok 8 - send_key dies for most keys 2: ok 9 - no current screen 2: ok 10 - can call request_screen_update 2: ok 11 - no (unexpected) warnings (via done_testing) 2: 1..11 2: ok 139 ms ( 0.00 usr 0.00 sys + 0.12 cusr 0.02 csys = 0.14 CPU) 2: [05:09:42] ./t/26-ssh_screen.t ........................ 2: ok 1 - SSH channel is used for reading 2: ok 2 - SSH channel is used for writing 2: # Subtest: Correct message when type_string timeouts 2: ok 1 - sub dies with correct error message and display the correct caller 2: 1..1 2: ok 3 - Correct message when type_string timeouts 2: ok 4 - no (unexpected) warnings (via done_testing) 2: 1..4 2: ok 199 ms ( 0.00 usr 0.00 sys + 0.19 cusr 0.02 csys = 0.21 CPU) 2: [05:09:42] ./t/26-video_stream.t ...................... 2: # Subtest: connect stream 2: ok 1 - correctly detected non-v4l2 stream 2: ok 2 - calls to v4l2-ctl 2: ok 3 - still no need to use v4l2-ctl 2: ok 4 - calls to v4l2-ctl 2: ok 5 - use v4l2-ctl 2: ok 6 - correct lack of resolution 2: ok 7 - calls to v4l2-ctl 2: ok 8 - use v4l2-ctl 2: ok 9 - correct resolution 2: ok 10 - calls to v4l2-ctl 2: ok 11 - use v4l2-ctl and set edid 2: ok 12 - calls to v4l2-ctl 2: 1..12 2: ok 1 - connect stream 2: # Subtest: frames parsing 2: ok 1 - received correct frame 2: ok 2 - received correct frame 2: 1..2 2: ok 2 - frames parsing 2: # Subtest: v4l2 resolution 2: ok 1 - correct resolution detected 2: ok 2 - correct resolution detected 2: ok 3 - calls to v4l2-ctl 2: ok 4 - correct resolution detected 2: ok 5 - calls to v4l2-ctl 2: 1..5 2: ok 3 - v4l2 resolution 2: # Subtest: input events 2: ok 1 - open input-commands 2: ok 2 - correct commands sent 2: ok 3 - open input-commands 2: ok 4 - correct commands sent 2: 1..4 2: ok 4 - input events 2: ok 5 - no (unexpected) warnings (via done_testing) 2: 1..5 2: ok 381 ms ( 0.00 usr 0.00 sys + 0.28 cusr 0.11 csys = 0.39 CPU) 2: [05:09:42] ./t/27-consoles-amt_sol.t .................. 2: ok 1 - can call screen 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 188 ms ( 0.00 usr 0.00 sys + 0.16 cusr 0.03 csys = 0.19 CPU) 2: [05:09:42] ./t/27-consoles-local_xvnc.t ............... 2: ok 1 - can call sshCommand 2: ok 2 - can call activate 2: ok 3 - can call callxterm 2: ok 4 - VNC stall detection configured 2: ok 5 - VNC stall detection disabled 2: ok 6 - can call callxterm 2: ok 7 - can call fullscreen 2: ok 8 - can call disable 2: ok 9 - no (unexpected) warnings (via done_testing) 2: 1..9 2: ok 303 ms ( 0.01 usr 0.00 sys + 0.24 cusr 0.06 csys = 0.31 CPU) 2: [05:09:43] ./t/27-consoles-network_console.t .......... 2: ok 1 - can call activate 2: ok 2 - connect_remote can be called, to be overwritten 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 149 ms ( 0.00 usr 0.00 sys + 0.12 cusr 0.03 csys = 0.15 CPU) 2: [05:09:43] ./t/27-consoles-ssh_virtsh_sut.t ........... 2: ok 1 - no screen defined 2: ok 2 - is a serial terminal 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 385 ms ( 0.00 usr 0.00 sys + 0.29 cusr 0.10 csys = 0.39 CPU) 2: [05:09:43] ./t/27-consoles-vmware.t ................... 2: # Subtest: test configuration with fake URL 2: ok 1 - noop if URL not set 2: ok 2 - log message present without secrets 2: ok 3 - VMWare "console" returned if URL is set 2: ok 4 - hostname saved as original hostname 2: ok 5 - original hostname set to hostname 2: ok 6 - hostname assigned 2: ok 7 - hostname set to localhost 2: ok 8 - description assigned 2: ok 9 - description set accordingly 2: ok 10 - dewebsockify called with expected args 2: ok 11 - hostname set 2: ok 12 - no VM-ID set (as our URL did not include one) 2: ok 13 - username set 2: ok 14 - password set (with URL-encoded character) 2: ok 15 - protocol configured from URL 2: ok 16 - host configured from URL 2: ok 17 - specific VM-ID configured from URL 2: 1..17 2: ok 1 - test configuration with fake URL 2: # Subtest: request WebSockets URL 2: ok 1 - auth error handled 2: ok 2 - ws request error handled 2: ok 3 - no ws URL handled 2: ok 4 - no cookie handled 2: ok 5 - URL found 2: ok 6 - cookie returned 2: 1..6 2: ok 2 - request WebSockets URL 2: # Subtest: deducing VNC over WebSockets URL from vars 2: ok 1 - no URL if VMWARE_VNC_OVER_WS not set 2: ok 2 - no URL if VIRSH_GUEST not matching 2: ok 3 - error if vars specified inconsistently 2: ok 4 - error if password missing 2: ok 5 - URL deduced from vars 2: ok 6 - original hostname used to check if VIRSH_GUEST matching 2: 1..6 2: ok 3 - deducing VNC over WebSockets URL from vars 2: # Subtest: turning WebSocket into normal socket via dewebsockify 2: # Using reactor Mojo::Reactor::Poll 2: ok 1 - could start test WebSocket server 2: ok 2 - dewebsockify PID tracked: 2063 2: # connecting to dewebsockify on port 38445 2: # unable to connect to dewebsockify on port 38445: Connection refused (will try again 499 times) 2: # connecting to dewebsockify on port 38445 2: # unable to connect to dewebsockify on port 38445: Connection refused (will try again 498 times) 2: # connecting to dewebsockify on port 38445 2: # connection to dewebsockify established via port 38445 2: ok 3 - expected data received via raw socket 2: ok 4 - expected data received via WebSocket 2: # waiting for dewebsockify process to terminate, pid: 2063 2: # Subtest: handle error when WebSocket server is not reachable 2: # connecting to dewebsockify on port 38445 2: # unable to connect to dewebsockify on port 38445: Connection refused (will try again 499 times) 2: # connecting to dewebsockify on port 38445 2: # unable to connect to dewebsockify on port 38445: Connection refused (will try again 498 times) 2: # connecting to dewebsockify on port 38445 2: # connection to dewebsockify established via port 38445 2: # closing connection to dewebsockify immediately 2: # waiting for dewebsockify process to terminate, pid: 2064 2: ok 1 - error logged 2: 1..1 2: ok 5 - handle error when WebSocket server is not reachable 2: # Subtest: handle error when HTTP server is not upgrading to WebSockets 2: # connecting to dewebsockify on port 38445 2: # unable to connect to dewebsockify on port 38445: Connection refused (will try again 499 times) 2: # connecting to dewebsockify on port 38445 2: # unable to connect to dewebsockify on port 38445: Connection refused (will try again 498 times) 2: # connecting to dewebsockify on port 38445 2: # connection to dewebsockify established via port 38445 2: # start replying HTTP response 2: # finished replying HTTP response 2: # waiting for dewebsockify process to terminate, pid: 2065 2: ok 1 - error logged 2: 1..1 2: ok 6 - handle error when HTTP server is not upgrading to WebSockets 2: 1..6 2: ok 4 - turning WebSocket into normal socket via dewebsockify 2: # Subtest: multiple attempts to launch VNC server 2: ok 1 - exception re-thrown 2: ok 2 - attempts logged 2: 1..2 2: ok 5 - multiple attempts to launch VNC server 2: # Subtest: test against real VMWare instance 2: 1..0 # SKIP Set OS_AUTOINST_TEST_AGAINST_REAL_VMWARE_INSTANCE to run this test. 2: ok 6 # skip Set OS_AUTOINST_TEST_AGAINST_REAL_VMWARE_INSTANCE to run this test. 2: ok 7 - no (unexpected) warnings (via done_testing) 2: 1..7 2: ok 961 ms ( 0.01 usr 0.00 sys + 0.77 cusr 0.17 csys = 0.95 CPU) 2: [05:09:44] ./t/27-consoles-vnc.t ...................... 2: ok 1 - can call login 2: ok 2 - would have set socket timeout 2: ok 3 - can call _receive_bell 2: ok 4 - protocol version and security type replied 2: # Subtest: send update request 2: ok 1 - update sent 2: 1..1 2: ok 5 - send update request 2: # Subtest: handling VNC stall, malformed RFB protocol on re-connect 2: ok 1 - dies on malformed RFB protocol 2: ok 2 - VNC stall logged 2: ok 3 - no further message sent 2: 1..3 2: ok 6 - handling VNC stall, malformed RFB protocol on re-connect 2: # Subtest: repeating handshake with max. version 2: ok 1 - RFB version set to max. supported version 2: ok 2 - replied max. RFB version 2: 1..2 2: ok 7 - repeating handshake with max. version 2: # Subtest: setting socket timeout 2: ok 1 - login dies on unexpected end of data 2: ok 2 - timeout would have been passed to socket 2: ok 3 - remote timeout passed to socket constructor 2: 1..3 2: ok 8 - setting socket timeout 2: # Subtest: handling connect timeout 2: ok 1 - dies on connect timeout 2: ok 2 - error logged 2: ok 3 - login attempts for local hostname 2: ok 4 - dies on connect timeout (2) 2: ok 5 - error logged (2) 2: ok 6 - login attempts for remote hostname 2: 1..6 2: ok 9 - handling connect timeout 2: ok 10 - nothing printed so far 2: # Subtest: sending pointer events 2: ok 1 - pointer events logged 2: ok 2 - sent mouse move 2: 1..2 2: ok 11 - sending pointer events 2: # Subtest: sending key events 2: ok 1 - dies on missing key mapping 2: ok 2 - sent key events 2: 1..2 2: ok 12 - sending key events 2: # Subtest: update framebuffer 2: ok 1 - dies on unsupported message 2: ok 2 - protocol error logged 2: ok 3 - relogin on protocol error 2: ok 4 - truthy return value for successful pixel update 2: ok 5 - pixel data updated in framebuffer (blue) 2: ok 6 - pixel data updated in framebuffer (green) 2: ok 7 - pixel data updated in framebuffer (red) 2: ok 8 - truthy return value last rectangle 2: ok 9 - dies on unsupported encoding 2: ok 10 - no more messages left to read after reading unknown encoding 2: ok 11 - truthy return value for successful pixel update of big-endian server 2: ok 12 - pixel data updated in framebuffer (blue, big-endian server) 2: ok 13 - pixel data updated in framebuffer (green, big-endian server) 2: ok 14 - pixel data updated in framebuffer (red, big-endian server) 2: ok 15 - dies on unsupported ikvm encoding 2: ok 16 - additional bytes skipped 2: ok 17 - xres updated 2: ok 18 - yres updated 2: ok 19 - pixel data updated in framebuffer via ikvm encoding (blue) 2: ok 20 - pixel data updated in framebuffer via ikvm encoding (green) 2: ok 21 - pixel data updated in framebuffer via ikvm encoding (red) 2: ok 22 - framebuffer removed 2: ok 23 - screen turned off by negative with 2: ok 24 - enforcing high quality 2: ok 25 - high quality requested 2: ok 26 - framebuffer present again 2: ok 27 - screen on again 2: ok 28 - no further image requested 2: 1..28 2: ok 13 - update framebuffer 2: # Subtest: read special messages/encodings 2: ok 1 - ikvm message discarded 2: ok 2 - no more messages left to read after discarding 2: ok 3 - ikvm session logged 2: ok 4 - no more messages left to read after discarding 2: 1..4 2: ok 14 - read special messages/encodings 2: # Subtest: cutting text 2: ok 1 - text is merely discarded 2: ok 2 - no more messages left to read 2: 1..2 2: ok 15 - cutting text 2: # Subtest: receiving color map 2: ok 1 - color map received 2: ok 2 - no more messages left to read 2: ok 3 - pixel data updated in framebuffer (blue) 2: ok 4 - pixel data updated in framebuffer (green) 2: ok 5 - pixel data updated in framebuffer (red) 2: 1..5 2: ok 16 - receiving color map 2: # Subtest: security handshake: DES 2: ok 1 - expected response 2: 1..1 2: ok 17 - security handshake: DES 2: # Subtest: security handshake: ikvm 2: ok 1 - session info logged 2: ok 2 - not considered old ikvm 2: ok 3 - expected response 2: 1..3 2: ok 18 - security handshake: ikvm 2: # Subtest: security handshake: failue 2: ok 1 - dies on unknown security type 2: ok 2 - dies when socket closed 2: 1..2 2: ok 19 - security handshake: failue 2: # Subtest: server initialization 2: ok 1 - ikvm specifics logged 2: ok 2 - depth assigned 2: ok 3 - no further messages sent for ikvm 2: ok 4 - pixel format and encodings replied 2: 1..4 2: ok 20 - server initialization 2: # Subtest: login on real VNC server via vnctest, request and receive frame buffer 2: # running Xvnc for display 20 (port 5920) and connect via /builddir/build/BUILD/os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920/t/../vnctest 2: ok 1 - sent update request 2: ok 2 - received frame buffer 2: 1..2 2: ok 21 - login on real VNC server via vnctest, request and receive frame buffer 2: ok 22 - no (unexpected) warnings (via done_testing) 2: 1..22 2: ok 1837 ms ( 0.00 usr 0.01 sys + 0.72 cusr 0.16 csys = 0.89 CPU) 2: [05:09:46] ./t/27-consoles-vnc_base.t ................. 2: ok 1 - screen returns self 2: ok 2 - VNC removed by disable 2: ok 3 - can call get_last_mouse_set 2: ok 4 - can call disable_vnc_stalls without VNC 2: ok 5 - check_vnc_stalls not called without VNC 2: ok 6 - can call connect_remote 2: ok 7 - VNC console returned 2: ok 8 - parameters passed to VNC console (1) 2: ok 9 - parameters passed to VNC console (2) 2: ok 10 - disabling VNC stalls returns 0 (or at least something serializable) 2: ok 11 - checking for VNC stalls is now disabled 2: ok 12 - can call request_screen_update 2: ok 13 - can call current_screen without framebuffer 2: ok 14 - update_framebuffer called when framebuffer is initialized 2: ok 15 - can call current_screen with framebuffer 2: ok 16 - type_string with small max_interval 2: ok 17 - seconds per keypress somewhere below 1 2: ok 18 - send_key can be called 2: ok 19 - hold_key can be called 2: ok 20 - release_key can be called 2: ok 21 - mouse_hide goes to offscreen position 2: ok 22 - mouse_set needs x/y parameters 2: ok 23 - mouse_set outputs new mouse position 2: ok 24 - actually moved to default 0/0 position 2: ok 25 - mouse_move_to not called for same position 2: ok 26 - second mouse_set keeps mouse 2: ok 27 - object called 'mouse_move_to' 2: ok 28 - mouse is moved again 2: ok 29 - mouse_button can be called 2: ok 30 - mouse_button right can be called 2: ok 31 - mouse_button middle can be called 2: ok 32 - no (unexpected) warnings (via done_testing) 2: 1..32 2: ok 330 ms ( 0.00 usr 0.01 sys + 0.25 cusr 0.04 csys = 0.30 CPU) 2: [05:09:46] ./t/28-signalblocker.t ..................... 2: ok 1 - initially one thread 2: # threads used: 3 of 3 2: ok 2 - at least 3 threads created 2: # received SIGCHLD 1 2: # received SIGCHLD 2 2: ok 3 - no new threads after searching for a needle 2: # sending SIGTERM 1 2: # sending SIGTERM 2 2: # sending SIGTERM 3 2: # sending SIGTERM 4 2: # sending SIGTERM 5 2: # sending SIGTERM 6 2: # sending SIGTERM 7 2: # sending SIGTERM 8 2: # sending SIGTERM 9 2: # sending SIGTERM 10 2: # sending SIGTERM 11 2: # sending SIGTERM 12 2: # sending SIGTERM 13 2: # sending SIGTERM 14 2: # sending SIGTERM 15 2: # sending SIGTERM 16 2: # sending SIGTERM 17 2: # sending SIGTERM 18 2: # sending SIGTERM 19 2: # sending SIGTERM 20 2: # sending SIGTERM 21 2: # sending SIGTERM 22 2: # sending SIGTERM 23 2: # sending SIGTERM 24 2: # sending SIGTERM 25 2: # sending SIGTERM 26 2: # sending SIGTERM 27 2: # sending SIGTERM 28 2: # sending SIGTERM 29 2: # sending SIGTERM 30 2: # sending SIGTERM 31 2: # sending SIGTERM 32 2: # sending SIGTERM 33 2: # sending SIGTERM 34 2: # sending SIGTERM 35 2: # sending SIGTERM 36 2: # sending SIGTERM 37 2: # sending SIGTERM 38 2: # sending SIGTERM 39 2: # sending SIGTERM 40 2: # sending SIGTERM 41 2: # sending SIGTERM 42 2: # sending SIGTERM 43 2: # sending SIGTERM 44 2: # sending SIGTERM 45 2: # sending SIGTERM 46 2: # sending SIGTERM 47 2: # sending SIGTERM 48 2: # sending SIGTERM 49 2: # sending SIGTERM 50 2: # sending SIGTERM 51 2: # sending SIGTERM 52 2: # sending SIGTERM 53 2: # sending SIGTERM 54 2: # sending SIGTERM 55 2: # sending SIGTERM 56 2: # sending SIGTERM 57 2: # sending SIGTERM 58 2: # sending SIGTERM 59 2: # sending SIGTERM 60 2: # sending SIGTERM 61 2: # sending SIGTERM 62 2: # sending SIGTERM 63 2: # sending SIGTERM 64 2: # sending SIGTERM 65 2: # sending SIGTERM 66 2: # sending SIGTERM 67 2: # sending SIGTERM 68 2: # sending SIGTERM 69 2: # sending SIGTERM 70 2: # sending SIGTERM 71 2: # sending SIGTERM 72 2: # sending SIGTERM 73 2: # sending SIGTERM 74 2: # sending SIGTERM 75 2: # sending SIGTERM 76 2: # sending SIGTERM 77 2: # sending SIGTERM 78 2: # sending SIGTERM 79 2: # sending SIGTERM 80 2: # sending SIGTERM 81 2: # sending SIGTERM 82 2: # sending SIGTERM 83 2: # sending SIGTERM 84 2: # sending SIGTERM 85 2: # sending SIGTERM 86 2: # sending SIGTERM 87 2: # sending SIGTERM 88 2: # sending SIGTERM 89 2: # sending SIGTERM 90 2: # sending SIGTERM 91 2: # sending SIGTERM 92 2: # sending SIGTERM 93 2: # sending SIGTERM 94 2: # sending SIGTERM 95 2: # sending SIGTERM 96 2: # sending SIGTERM 97 2: # sending SIGTERM 98 2: # sending SIGTERM 99 2: # sending SIGTERM 100 2: # received SIGCHLD 3 2: # received SIGTERM 1 2: # received SIGTERM 2 2: # handled 2 TERM signals 2: ok 4 - received SIGTERM 2 times; no crashes after at least 200 ms idling time 2: # received SIGCHLD 1 2: ok 5 - system returns exit status 2: ok 6 - got SIGCHLD after system 2: # received SIGCHLD 2 2: ok 7 - still no new threads after sending signals 2: ok 8 - no (unexpected) warnings (via done_testing) 2: 1..8 2: [ INFO:0@0.001] global registry_parallel.impl.hpp:96 ParallelBackendRegistry core(parallel): Enabled backends(2, sorted by priority): TBB(1000); OPENMP(990) 2: [ INFO:0@0.001] global parallel_for.tbb.hpp:54 ParallelForBackend Initializing TBB parallel backend: TBB_INTERFACE_VERSION=11103 2: [ INFO:0@0.001] global parallel.cpp:77 createParallelForAPI core(parallel): using backend: TBB (priority=1000) 2: ok 318 ms ( 0.00 usr 0.00 sys + 0.26 cusr 0.05 csys = 0.31 CPU) 2: [05:09:47] ./t/29-backend-amt.t ....................... 2: ok 1 - backend can be created but is deprecated 2: ok 2 - wsman_cmdline generated 2: ok 3 - can call wsman 2: ok 4 - can call enable_solider 2: ok 5 - can call configure_vnc 2: ok 6 - can call get_power_state 2: ok 7 - can call is_shutdown 2: ok 8 - can call set_power_state 2: ok 9 - select_next_boot evaluates wsman command 2: ok 10 - can call do_start_vm 2: ok 11 - can call do_stop_vm 2: ok 12 - no (unexpected) warnings (via done_testing) 2: 1..12 2: ok 475 ms ( 0.00 usr 0.00 sys + 0.38 cusr 0.10 csys = 0.48 CPU) 2: [05:09:47] ./t/29-backend-driver.t .................... 2: ok 1 - log output for backend driver creation 2: ok 2 - can create driver 2: ok 3 - can start driver 2: ok 4 - log content again 2: ok 5 - backend process was started 2: ok 6 - extract_assets 2: ok 7 - start_vm 2: ok 8 - mouse_hide 2: ok 9 - stop_backend 2: ok 10 - exit logged 2: ok 11 - stop 2: ok 12 - message for collected orphan logged 2: ok 13 - no (unexpected) warnings (via done_testing) 2: 1..13 2: ok 578 ms ( 0.01 usr 0.01 sys + 0.36 cusr 0.13 csys = 0.51 CPU) 2: [05:09:48] ./t/29-backend-generalhw.t ................. 2: ok 1 - can check socket 2: # Subtest: start VM 2: ok 1 - return value 2: ok 2 - poweroff/on commands invoked 2: ok 3 - tried to connect to VNC server 2: 1..3 2: ok 2 - start VM 2: # Subtest: start VM with video 2: ok 1 - return value 2: ok 2 - poweroff/on commands invoked 2: ok 3 - tried to connect to video stream 2: 1..3 2: ok 3 - start VM with video 2: # Subtest: hdd args 2: ok 1 - return value 2: 1..1 2: ok 4 - hdd args 2: # Subtest: stop VM 2: ok 1 - return value 2: ok 2 - poweroff/on commands invoked 2: 1..2 2: ok 5 - stop VM 2: # Subtest: error handling 2: ok 1 - IPC error thrown with context 2: ok 2 - error when GENERAL_HW_CMD_DIR is not a directory 2: ok 3 - WORKER_HOSTNAME required 2: 1..3 2: ok 6 - error handling 2: # Subtest: handling power commands 2: ok 1 - power commands invoked 2: ok 2 - dies on invalid action 2: 1..2 2: ok 7 - handling power commands 2: # Subtest: re-login VNC 2: ok 1 - re-login has truthy return code 2: ok 2 - VNC base console assigned 2: ok 3 - previously assigned VNC socket closed 2: 1..3 2: ok 8 - re-login VNC 2: # Subtest: serial grab 2: # Subtest: capturing output 2: ok 1 - serial PID assigned: 2090 2: ok 2 - serial output captured 2: 1..2 2: ok 1 - capturing output 2: # Subtest: stop grabbing 2: ok 1 - process terminated via stop_serial_grab 2: 1..1 2: ok 2 - stop grabbing 2: 1..2 2: ok 9 - serial grab 2: # Subtest: extracting assets 2: ok 1 - dies for pflash_vars assets 2: ok 2 - image command invoked 2: ok 3 - image path passed 2: 1..3 2: ok 10 - extracting assets 2: ok 11 - no (unexpected) warnings (via done_testing) 2: 1..11 2: ok 530 ms ( 0.00 usr 0.00 sys + 0.36 cusr 0.06 csys = 0.42 CPU) 2: [05:09:48] ./t/29-backend-ikvm.t ...................... 2: ok 1 - deprecated backend dies by default 2: ok 2 - backend can be created but is deprecated 2: ok 3 - relogin_vnc returns truthy value 2: ok 4 - do_start_vm needs IPMI parameters 2: ok 5 - VNC console added 2: # Subtest: starting VM 2: ok 1 - expected ikvm backend functions called 2: ok 2 - expected ipmi commands invoked 2: ok 3 - expected ikvm SOL console functions called 2: 1..3 2: ok 6 - starting VM 2: # Subtest: stopping VM 2: ok 1 - expected ikvm backend functions called 2: ok 2 - expected ipmi commands invoked 2: ok 3 - expected ikvm SOL console functions called 2: 1..3 2: ok 7 - stopping VM 2: ok 8 - no (unexpected) warnings (via done_testing) 2: 1..8 2: ok 471 ms ( 0.01 usr 0.00 sys + 0.36 cusr 0.12 csys = 0.49 CPU) 2: [05:09:49] ./t/29-backend-ipmi.t ...................... 2: ok 1 - backend can be created 2: ok 2 - valid ipmi_cmdline 2: ok 3 - ipmi password masked in error output 2: ok 4 - log output for IPMI call 2: ok 5 - can call ipmitool 2: ok 6 - dell_sleep would only work on special HW 2: ok 7 - log output for is_shutdown 2: ok 8 - is_shutdown returning false by default 2: ok 9 - can call restart_host 2: ok 10 - can call do_start_vm 2: ok 11 - can call do_stop_vm 2: ok 12 - check_socket not returning true by default 2: ok 13 - can call get_mc_status 2: ok 14 2: ok 15 - can create console with log enabled 2: # Subtest: cold reset 2: ok 1 - can call do_mc_reset 2: ok 2 - dies when retries exhausted 2: 1..2 2: ok 16 - cold reset 2: # Subtest: dell sleep 2: ok 1 - error logged 2: ok 2 - slept 4 seconds 2: 1..2 2: ok 17 - dell sleep 2: ok 18 - no (unexpected) warnings (via done_testing) 2: 1..18 2: ok 515 ms ( 0.00 usr 0.00 sys + 0.43 cusr 0.09 csys = 0.52 CPU) 2: [05:09:49] ./t/29-backend-null.t ...................... 2: ok 1 - can call do_start_vm 2: ok 2 - can call do_stop_vm 2: ok 3 - can call run_cmd 2: ok 4 - can call can_handle 2: ok 5 - can call is_shutdown 2: ok 6 - can call stop_serial_grab 2: ok 7 - no (unexpected) warnings (via done_testing) 2: 1..7 2: ok 377 ms ( 0.00 usr 0.00 sys + 0.32 cusr 0.05 csys = 0.37 CPU) 2: [05:09:50] ./t/29-backend-pvm.t ....................... 2: ok 1 - backend marked as deprecated 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 385 ms ( 0.01 usr 0.00 sys + 0.34 cusr 0.05 csys = 0.40 CPU) 2: [05:09:50] ./t/29-backend-s390x.t ..................... 2: ok 1 - can instantiate backend 2: ok 2 - check_socket returns false by default 2: # Subtest: starting VM 2: ok 1 - can start vm 2: ok 2 - local Xvnc console activated 2: ok 3 - x3270 console assigned 2: ok 4 - backend assignes itself to console 2: 1..4 2: ok 3 - starting VM 2: # Subtest: stopping VM 2: ok 1 - x3270 console no longer current console 2: ok 2 - x3270 console disabled 2: 1..2 2: ok 4 - stopping VM 2: ok 5 - no (unexpected) warnings (via done_testing) 2: 1..5 2: ok 414 ms ( 0.00 usr 0.00 sys + 0.33 cusr 0.08 csys = 0.41 CPU) 2: [05:09:51] ./t/29-backend-spvm.t ...................... 2: ok 1 - can call do_start_vm 2: ok 2 - can call do_stop_vm 2: ok 3 - can call run_cmd 2: ok 4 - can call can_handle 2: ok 5 - can call is_shutdown 2: ok 6 - can call stop_serial_grab 2: ok 7 - can call check_socket 2: ok 8 - can call power 2: ok 9 - no (unexpected) warnings (via done_testing) 2: 1..9 2: ok 404 ms ( 0.00 usr 0.00 sys + 0.35 cusr 0.05 csys = 0.40 CPU) 2: [05:09:51] ./t/29-backend-svirt.t ..................... 2: # Subtest: Generic svirt backend 2: ok 1 - can start vm 2: ok 2 - can not handle snapshots 2: ok 3 - can save snapshot - always return undef or die 2: ok 4 - vm snapshot logged 2: ok 5 - can load snapshot - returns empty string 2: ok 6 - can start serial grab 2: ok 7 - can stop vm 2: ok 8 - can call is_shutdown 2: 1..8 2: ok 1 - Generic svirt backend 2: # Subtest: VMWARE backend 2: ok 1 - can start vm 2: ok 2 - can handle snapshots 2: ok 3 - can save snapshot - always returns undef or die 2: ok 4 - can load snapshot - on wmware returns string "vmware_fixup" 2: ok 5 - can start serial grab 2: ok 6 - can stop vm 2: ok 7 - can call is_shutdown 2: 1..7 2: ok 2 - VMWARE backend 2: # Subtest: HyperV backend 2: ok 1 - can start vm 2: ok 2 - can handle snapshots 2: ok 3 - can save snapshot - alswais return undef 2: ok 4 - theows exception during load_snapshot - freerdp 2: ok 5 - can start serial grab 2: ok 6 - capture SSH Error 2: ok 7 - can stop vm 2: ok 8 - can call is_shutdown 2: 1..8 2: ok 3 - HyperV backend 2: ok 4 - no (unexpected) warnings (via done_testing) 2: 1..4 2: ok 499 ms ( 0.00 usr 0.00 sys + 0.40 cusr 0.10 csys = 0.50 CPU) 2: [05:09:51] ./t/30-mmapi.t ............................. 2: # Subtest: mmapi: server not reachable 2: ok 1 - undef returned (mmapi::get_children) 2: ok 2 - error logged (mmapi::get_children) 2: ok 3 - no info recorded 2: 1..3 2: ok 1 - mmapi: server not reachable 2: # Subtest: lockapi: server not reachable 2: ok 1 - zero returned lockapi::mutex_create 2: ok 2 - error logged (lockapi::mutex_create) 2: ok 3 - zero returned lockapi::mutex_try_lock 2: ok 4 - error logged (lockapi::mutex_try_lock) 2: ok 5 - zero returned lockapi::barrier_create 2: ok 6 - error logged (lockapi::barrier_create) 2: ok 7 - zero returned lockapi::barrier_try_wait 2: ok 8 - error logged (lockapi::barrier_try_wait) 2: ok 9 - no info recorded 2: 1..9 2: ok 2 - lockapi: server not reachable 2: # Subtest: mmapi: general usage 2: # [trace] [dX25KrvEvqjB] 2: # POST "/api/v1/mutex/foo" 2: # [trace] [dX25KrvEvqjB] 2: # Routing to a callback 2: # [trace] [dX25KrvEvqjB] 2: # 200 OK (0.000895s, 1117.318/s) 2: ok 1 - api_call returns result 2: # [trace] [hVE5T54uULxO] 2: # GET "/api/v1/mm/children" 2: # [trace] [hVE5T54uULxO] 2: # Routing to a callback 2: # [trace] [hVE5T54uULxO] 2: # 200 OK (0.000555s, 1801.802/s) 2: ok 2 - query children 2: # [trace] [KZEBP6qYo5HR] 2: # GET "/api/v1/mm/children/some-state" 2: # [trace] [KZEBP6qYo5HR] 2: # Routing to a callback 2: # [trace] [KZEBP6qYo5HR] 2: # 200 OK (0.000521s, 1919.386/s) 2: ok 3 - query children by state 2: # [trace] [4UjJJIM_sR_e] 2: # GET "/api/v1/mm/children/another-state" 2: # [trace] [4UjJJIM_sR_e] 2: # Routing to a callback 2: # [trace] [4UjJJIM_sR_e] 2: # 404 Not Found (0.000539s, 1855.288/s) 2: ok 4 - query children by state (no results) 2: ok 5 - query children by state error logged 2: # [trace] [mJlXVZXBe7QW] 2: # GET "/api/v1/mm/parents" 2: # [trace] [mJlXVZXBe7QW] 2: # Routing to a callback 2: # [trace] [mJlXVZXBe7QW] 2: # 200 OK (0.00051s, 1960.784/s) 2: ok 6 - query parents 2: # [trace] [NHvtFM7VcH1g] 2: # GET "/api/v1/jobs/100" 2: # [trace] [NHvtFM7VcH1g] 2: # Routing to a callback 2: # [trace] [NHvtFM7VcH1g] 2: # 200 OK (0.000492s, 2032.520/s) 2: ok 7 - query job info 2: # [trace] [M80s22w5O7fD] 2: # GET "/api/v1/jobs/101" 2: # [trace] [M80s22w5O7fD] 2: # Template "not_found.development.html.ep" not found 2: # [trace] [M80s22w5O7fD] 2: # Template "not_found.html.ep" not found 2: # [trace] [M80s22w5O7fD] 2: # Rendering template "mojo/debug.html.ep" 2: # [trace] Your secret passphrase needs to be changed 2: # [trace] [M80s22w5O7fD] 2: # 404 Not Found (0.012804s, 78.101/s) 2: ok 8 - query job info (no result) 2: ok 9 - query job info error logged 2: # [trace] [oTINi1rUSqjA] 2: # GET "/api/v1/workers" 2: # [trace] [oTINi1rUSqjA] 2: # Routing to a callback 2: # [trace] [oTINi1rUSqjA] 2: # 200 OK (0.000597s, 1675.042/s) 2: ok 10 - get autoinst URL 2: # [trace] [lF6EAi7-nxOM] 2: # GET "/api/v1/workers" 2: # [trace] [lF6EAi7-nxOM] 2: # Routing to a callback 2: # [trace] [lF6EAi7-nxOM] 2: # 200 OK (0.000476s, 2100.840/s) 2: ok 11 - get autoinst vars (no result) 2: ok 12 - error to get autoinst URL logged 2: # [trace] [wILaW3OwQoUN] 2: # GET "/autoinst/vars" 2: # [trace] [wILaW3OwQoUN] 2: # Routing to a callback 2: # [trace] [wILaW3OwQoUN] 2: # 200 OK (0.000531s, 1883.239/s) 2: ok 13 - get autoinst vars 2: ok 14 - no info recorded 2: 1..14 2: ok 3 - mmapi: general usage 2: # Subtest: lockapi: misuse 2: ok 1 - no name throws (lockapi::mutex_create) 2: ok 2 - no name logged (lockapi::mutex_create) 2: ok 3 - no name throws (lockapi::mutex_try_lock) 2: ok 4 - no name logged (lockapi::mutex_try_lock) 2: ok 5 - no name throws (lockapi::mutex_lock) 2: ok 6 - no name logged (lockapi::mutex_lock) 2: ok 7 - no name throws (lockapi::mutex_unlock) 2: ok 8 - no name logged (lockapi::mutex_unlock) 2: ok 9 - no name throws (lockapi::barrier_create) 2: ok 10 - no name logged (lockapi::barrier_create) 2: ok 11 - no name throws (lockapi::barrier_wait) 2: ok 12 - no name logged (lockapi::barrier_wait) 2: ok 13 - no name throws (lockapi::barrier_destroy) 2: ok 14 - no name logged (lockapi::barrier_destroy) 2: ok 15 - no task throws (lockapi::barrier_create) 2: ok 16 - no task logged (lockapi::barrier_create) 2: ok 17 - no info recorded 2: 1..17 2: ok 4 - lockapi: misuse 2: # Subtest: lockapi: server returns error 2: # [trace] [c-4TPDV8Jtu_] 2: # POST "/api/v1/mutex" 2: # [trace] [c-4TPDV8Jtu_] 2: # Routing to a callback 2: # [trace] [c-4TPDV8Jtu_] 2: # 404 Not Found (0.000684s, 1461.988/s) 2: ok 1 - 0 returned (lockapi::mutex_create) 2: ok 2 - error logged (lockapi::mutex_create) 2: # [trace] [nOnIgtngCBP1] 2: # POST "/api/v1/mutex/prone_lock" 2: # [trace] [nOnIgtngCBP1] 2: # Routing to a callback 2: # [trace] [nOnIgtngCBP1] 2: # 404 Not Found (0.000668s, 1497.006/s) 2: ok 3 - 0 returned (lockapi::mutex_try_lock) 2: ok 4 - error logged (lockapi::mutex_try_lock) 2: # [trace] [tEiooocEn-ve] 2: # POST "/api/v1/mutex/prone_lock" 2: # [trace] [tEiooocEn-ve] 2: # Routing to a callback 2: # [trace] [tEiooocEn-ve] 2: # 404 Not Found (0.00053s, 1886.792/s) 2: ok 5 - 0 returned (lockapi::mutex_unlock) 2: ok 6 - error logged (lockapi::mutex_unlock) 2: # [trace] [Sb9iOkTiybh7] 2: # POST "/api/v1/barrier" 2: # [trace] [Sb9iOkTiybh7] 2: # Routing to a callback 2: # [trace] [Sb9iOkTiybh7] 2: # 404 Not Found (0.000656s, 1524.390/s) 2: ok 7 - 0 returned (lockapi::barrier_create) 2: ok 8 - error logged (lockapi::barrier_create) 2: # [trace] [jDBAjuZjmBCG] 2: # POST "/api/v1/barrier/prone_lock" 2: # [trace] [jDBAjuZjmBCG] 2: # Routing to a callback 2: # [trace] [jDBAjuZjmBCG] 2: # 404 Not Found (0.000586s, 1706.485/s) 2: ok 9 - 0 returned (lockapi::barrier_try_wait) 2: ok 10 - error logged (lockapi::barrier_try_wait) 2: # [trace] [8xAp59m4QSy9] 2: # DELETE "/api/v1/barrier/prone_lock" 2: # [trace] [8xAp59m4QSy9] 2: # Routing to a callback 2: # [trace] [8xAp59m4QSy9] 2: # 404 Not Found (0.000586s, 1706.485/s) 2: ok 11 - 0 returned (lockapi::barrier_destroy) 2: ok 12 - error logged (lockapi::barrier_destroy) 2: # [trace] [ZEk4_QPlPzY-] 2: # POST "/api/v1/mutex/some_lock" 2: # [trace] [ZEk4_QPlPzY-] 2: # Routing to a callback 2: # [trace] [ZEk4_QPlPzY-] 2: # 409 Conflict (0.000622s, 1607.717/s) 2: ok 13 - 0 returned (lockapi::mutex_try_lock) 2: ok 14 - no error logged for blocked mutex (lockapi::mutex_try_lock) 2: # [trace] [S09v_TUtPDqq] 2: # POST "/api/v1/mutex/some_lock" 2: # [trace] [S09v_TUtPDqq] 2: # Routing to a callback 2: # [trace] [S09v_TUtPDqq] 2: # 409 Conflict (0.000507s, 1972.387/s) 2: ok 15 - 0 returned (lockapi::mutex_unlock) 2: ok 16 - no error logged for blocked mutex (lockapi::mutex_unlock) 2: # [trace] [CmK9YGX-Pq9M] 2: # POST "/api/v1/barrier/some_lock" 2: # [trace] [CmK9YGX-Pq9M] 2: # Routing to a callback 2: # [trace] [CmK9YGX-Pq9M] 2: # 409 Conflict (0.000553s, 1808.318/s) 2: ok 17 - 0 returned (lockapi::barrier_try_wait) 2: ok 18 - no error logged for blocked mutex (lockapi::barrier_try_wait) 2: # [trace] [hHsjwChBvoHk] 2: # POST "/api/v1/mutex/finished_lock" 2: # [trace] [hHsjwChBvoHk] 2: # Routing to a callback 2: # [trace] [hHsjwChBvoHk] 2: # 410 Gone (0.000619s, 1615.509/s) 2: ok 19 - owner finished throws (lockapi::mutex_try_lock) 2: ok 20 - finished logged (lockapi::mutex_try_lock) 2: ok 21 - no info recorded 2: 1..21 2: ok 5 - lockapi: server returns error 2: # Subtest: lockapi: successful use 2: # [trace] [8nQRMzFey-7B] 2: # POST "/api/v1/mutex" 2: # [trace] [8nQRMzFey-7B] 2: # Routing to a callback 2: # [trace] [8nQRMzFey-7B] 2: # 200 OK (0.0005s, 2000.000/s) 2: ok 1 - mutex created 2: # [trace] [khVLIoNAeUoJ] 2: # POST "/api/v1/mutex/lockable" 2: # [trace] [khVLIoNAeUoJ] 2: # Routing to a callback 2: # [trace] [khVLIoNAeUoJ] 2: # 200 OK (0.000591s, 1692.047/s) 2: ok 2 - mutex locked 2: # [trace] [enE0LW2oatiA] 2: # POST "/api/v1/mutex/lockable" 2: # [trace] [enE0LW2oatiA] 2: # Routing to a callback 2: # [trace] [enE0LW2oatiA] 2: # 200 OK (0.000478s, 2092.050/s) 2: ok 3 - mutex locked (try) 2: # [trace] [cLXs_vCrVr_m] 2: # POST "/api/v1/mutex/unlockable" 2: # [trace] [cLXs_vCrVr_m] 2: # Routing to a callback 2: # [trace] [cLXs_vCrVr_m] 2: # 200 OK (0.000586s, 1706.485/s) 2: ok 4 - mutex unlocked 2: # [trace] [a3NRJTBBES4r] 2: # POST "/api/v1/barrier" 2: # [trace] [a3NRJTBBES4r] 2: # Routing to a callback 2: # [trace] [a3NRJTBBES4r] 2: # 200 OK (0.000497s, 2012.072/s) 2: ok 5 - barrier created 2: # [trace] [LNTz3hIgfq4k] 2: # POST "/api/v1/barrier/unblocked" 2: # [trace] [LNTz3hIgfq4k] 2: # Routing to a callback 2: # [trace] [LNTz3hIgfq4k] 2: # 200 OK (0.000567s, 1763.668/s) 2: ok 6 - waited for barrier 2: ok 7 - info recorded by waited for barrier 2: # [trace] [p9S0MyOz9A9B] 2: # POST "/api/v1/barrier/check_dead_job_barrier" 2: # [trace] [p9S0MyOz9A9B] 2: # Routing to a callback 2: # [trace] [p9S0MyOz9A9B] 2: # 200 OK (0.0006s, 1666.667/s) 2: ok 8 - waited for barrier with check_dead_job flag 2: ok 9 - different info recorded with check_dead_job flag 2: # [trace] [b7Fsqswdn80Y] 2: # POST "/api/v1/barrier/unblocked" 2: # [trace] [b7Fsqswdn80Y] 2: # Routing to a callback 2: # [trace] [b7Fsqswdn80Y] 2: # 200 OK (0.000419s, 2386.635/s) 2: ok 10 - tried waiting for barrier 2: # [trace] [cs7OrSrK9zX1] 2: # DELETE "/api/v1/barrier/deletable" 2: # [trace] [cs7OrSrK9zX1] 2: # Routing to a callback 2: # [trace] [cs7OrSrK9zX1] 2: # 200 OK (0.000554s, 1805.054/s) 2: ok 11 - barrier destroyed 2: ok 12 - logging 2: ok 13 - record info called expected number of times 2: 1..13 2: ok 6 - lockapi: successful use 2: # Subtest: mmapi: wait functions 2: # [trace] [Cf-EBnWwYFVL] 2: # GET "/api/v1/mm/children" 2: # [trace] [Cf-EBnWwYFVL] 2: # Routing to a callback 2: # [trace] [Cf-EBnWwYFVL] 2: # 200 OK (0.000443s, 2257.336/s) 2: # [trace] [1V98jaYblnCO] 2: # GET "/api/v1/mm/children" 2: # [trace] [1V98jaYblnCO] 2: # Routing to a callback 2: # [trace] [1V98jaYblnCO] 2: # 200 OK (0.000583s, 1715.266/s) 2: ok 1 - wait for children to be done 2: # [trace] [GY1tFZm4O6ao] 2: # GET "/api/v1/mm/children" 2: # [trace] [GY1tFZm4O6ao] 2: # Routing to a callback 2: # [trace] [GY1tFZm4O6ao] 2: # 200 OK (0.000444s, 2252.252/s) 2: # [trace] [gi-ZbRXKPbiX] 2: # GET "/api/v1/mm/children" 2: # [trace] [gi-ZbRXKPbiX] 2: # Routing to a callback 2: # [trace] [gi-ZbRXKPbiX] 2: # 200 OK (0.00043s, 2325.581/s) 2: ok 2 - wait for children to be runnning 2: ok 3 - wait for children dies on error 2: 1..3 2: ok 7 - mmapi: wait functions 2: # Subtest: mmapi: get_current_job_id function 2: # [trace] [Y2Z1zoE2GOOa] 2: # GET "/api/v1/whoami" 2: # [trace] [Y2Z1zoE2GOOa] 2: # Routing to a callback 2: # [trace] [Y2Z1zoE2GOOa] 2: # 200 OK (0.000591s, 1692.047/s) 2: ok 1 - Retrieve jobid 2: # [trace] [5gcADmfG4efI] 2: # GET "/api/v1/whoami" 2: # [trace] [5gcADmfG4efI] 2: # Routing to a callback 2: # [trace] [5gcADmfG4efI] 2: # 404 Not Found (0.000451s, 2217.295/s) 2: ok 2 - Retrieve undef on error 2: ok 3 - Error message has 404 2: 1..3 2: ok 8 - mmapi: get_current_job_id function 2: ok 9 - no (unexpected) warnings (via done_testing) 2: 1..9 2: ok 538 ms ( 0.02 usr 0.00 sys + 0.47 cusr 0.07 csys = 0.56 CPU) 2: [05:09:52] ./t/31-sshSerial.t ......................... 2: # Subtest: Read test 2: ok 1 - sshSerial sets non-blocking mode 2: ok 2 - first line can be read 2: ok 3 - first line has correct length 2: ok 4 - second line can be read 2: ok 5 - second line has correct length 2: ok 6 - data can be read with timeout 2: ok 7 - read with timeout has correct length 2: ok 8 - read aborts after timeout on no data 2: ok 9 - data can be read partially 2: ok 10 - fragment read as expected 2: ok 11 - second part of data can be read partially 2: ok 12 - second part of data correctly read 2: ok 13 - read until reports failure if search term not found 2: ok 14 - rest of data has been read 2: ok 15 - nothing left in read queue 2: ok 16 - can call disable 2: ok 17 - sshSerial is a serial terminal 2: 1..17 2: ok 1 - Read test 2: # Subtest: Write test 2: ok 1 - sshSerial sets non-blocking mode 2: ok 2 - expected data written 2: ok 3 - larger write buffer written in loop 2: 1..3 2: ok 2 - Write test 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 1362 ms ( 0.01 usr 0.00 sys + 0.18 cusr 0.03 csys = 0.22 CPU) 2: [05:09:53] ./t/32-console_proxy.t ..................... 2: # Subtest: Verify fake console return values in scalar context 2: ok 1 - ARRAY 2: ok 2 - Empty ARRAY 2: ok 3 - ARRAY-REF 2: ok 4 - Empty ARRAY-REF 2: ok 5 - HASH 2: ok 6 - Empty HASH 2: ok 7 - HASH-REF 2: ok 8 - Empty HASH-REF 2: ok 9 - SCALAR 2: ok 10 - Return undef 2: ok 11 - LIST 2: ok 12 - Empty LIST 2: 1..12 2: ok 1 - Verify fake console return values in scalar context 2: # Subtest: testapi::console() => backend::console_proxy => backend::baseclass::proxy_console_call() 2: ok 1 - Call ret_array() in SCALAR context 2: ok 2 - Call ret_array() in ARRAY context 2: ok 3 - Expected call parameters! 2: ok 4 - Call ret_array_empty() in SCALAR context 2: ok 5 - Call ret_array_empty() in ARRAY context 2: ok 6 - Expected call parameters! 2: ok 7 - Call ret_array_ref() in SCALAR context 2: ok 8 - Call ret_array_ref() in ARRAY context 2: ok 9 - Expected call parameters! 2: ok 10 - Call ret_array_ref_empty() in SCALAR context 2: ok 11 - Call ret_array_ref_empty() in ARRAY context 2: ok 12 - Expected call parameters! 2: ok 13 - Call ret_hash() in SCALAR context 2: ok 14 - Call ret_hash() in ARRAY context 2: ok 15 - Expected call parameters! 2: ok 16 - Call ret_hash_empty() in SCALAR context 2: ok 17 - Call ret_hash_empty() in ARRAY context 2: ok 18 - Expected call parameters! 2: ok 19 - Call ret_hash_ref() in SCALAR context 2: ok 20 - Call ret_hash_ref() in ARRAY context 2: ok 21 - Expected call parameters! 2: ok 22 - Call ret_hash_ref_empty() in SCALAR context 2: ok 23 - Call ret_hash_ref_empty() in ARRAY context 2: ok 24 - Expected call parameters! 2: ok 25 - Call ret_scalar() in SCALAR context 2: ok 26 - Call ret_scalar() in ARRAY context 2: ok 27 - Expected call parameters! 2: ok 28 - Call ret_undef() in SCALAR context 2: ok 29 - Call ret_undef() in ARRAY context 2: ok 30 - Expected call parameters! 2: ok 31 - Call ret_list() in SCALAR context 2: ok 32 - Call ret_list() in ARRAY context 2: ok 33 - Expected call parameters! 2: ok 34 - Call ret_list_empty() in SCALAR context 2: ok 35 - Call ret_list_empty() in ARRAY context 2: ok 36 - Expected call parameters! 2: ok 37 - Exception forwarded 2: ok 38 - Exception was JSON encoded 2: ok 39 - Got expected () arguments 2: ok 40 - Call without arguments 2: ok 41 - Got expected (a,b,c,d,e) arguments 2: ok 42 - Call with 5 arguments 2: ok 43 - Got expected (foo,bar) arguments 2: ok 44 - Call with hash as argument 2: 1..44 2: ok 2 - testapi::console() => backend::console_proxy => backend::baseclass::proxy_console_call() 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 399 ms ( 0.00 usr 0.00 sys + 0.33 cusr 0.07 csys = 0.40 CPU) 2: [05:09:54] ./t/33-vagrant.t ........................... 2: # Subtest: Vagrantfile for the libvirt provider 2: ok 1 - Backend variable matches box_name class variable 2: ok 2 - Backend variable matches provider class variable 2: 1..2 2: ok 1 - Vagrantfile for the libvirt provider 2: # Subtest: Vagrantfile for the virtualbox provider 2: ok 1 - Backend variable matches box_name class variable 2: ok 2 - Backend variable matches provider class variable 2: 1..2 2: ok 2 - Vagrantfile for the virtualbox provider 2: # Subtest: Vagrantfile with a box url 2: ok 1 - Backend variable matches box_name class variable 2: ok 2 - Backend variable matches provider class variable 2: ok 3 - Backend variable matches box_url class variable 2: 1..3 2: ok 3 - Vagrantfile with a box url 2: # Subtest: backend creation dies when VAGRANT_ASSETDIR cannot be opened 2: ok 1 - opendir error message is present in the output 2: 1..1 2: ok 4 - backend creation dies when VAGRANT_ASSETDIR cannot be opened 2: # Subtest: backend creation dies when the vagrant box is not in VAGRANT_ASSETDIR 2: ok 1 - error message that the box was not found is in the output 2: 1..1 2: ok 5 - backend creation dies when the vagrant box is not in VAGRANT_ASSETDIR 2: # Subtest: Vagrantfile with a box file existing in VAGRANT_ASSETDIR 2: ok 1 - Backend variable matches box_name class variable 2: ok 2 - Backend variable matches provider class variable 2: 1..2 2: ok 6 - Vagrantfile with a box file existing in VAGRANT_ASSETDIR 2: # Subtest: dies on invalid providers 2: ok 1 - backend creation dies on invalid providers 2: 1..1 2: ok 7 - dies on invalid providers 2: # Subtest: get_ssh_credentials returns default values 2: ok 1 2: ok 2 - get_ssh_credentials returns the defaults without a match in stdout 2: 1..2 2: ok 8 - get_ssh_credentials returns default values 2: # Subtest: get_ssh_credentials parses the ssh configuration 2: ok 1 2: ok 2 - get_ssh_credentials extracts data from vagrant ssh-config 2: 1..2 2: ok 9 - get_ssh_credentials parses the ssh configuration 2: # Subtest: run_cmd invokes vagrant ssh 2: ok 1 2: ok 2 - run_cmd returns the IPC::Run stdout 2: 1..2 2: ok 10 - run_cmd invokes vagrant ssh 2: # Subtest: do_stop_vm does not try to remove the libvirt storage pool when using virtualbox 2: ok 1 2: ok 2 2: ok 3 2: 1..3 2: ok 11 - do_stop_vm does not try to remove the libvirt storage pool when using virtualbox 2: # Subtest: do_stop_vm halts the vm, destroys the vm and removes the base box and the libvirt storage pool 2: ok 1 2: ok 2 2: ok 3 2: ok 4 2: 1..4 2: ok 12 - do_stop_vm halts the vm, destroys the vm and removes the base box and the libvirt storage pool 2: # Subtest: do_stop_vm logs when vagrant halt fails 2: ok 1 2: ok 2 - do_stop_vm stderr log contains an error message including vagrant halt 2: 1..2 2: ok 13 - do_stop_vm logs when vagrant halt fails 2: # Subtest: do_stop_vm logs when destroying the vm fails 2: ok 1 2: ok 2 2: ok 3 - do_stop_vm stderr contains an error message mentioning that vagrant box destroy failed 2: 1..3 2: ok 14 - do_stop_vm logs when destroying the vm fails 2: # Subtest: do_stop_vm logs when removing the box fails 2: ok 1 2: ok 2 2: ok 3 2: ok 4 - do_stop_vm stderr contains an error message mentioning that vagrant box remove failed 2: 1..4 2: ok 15 - do_stop_vm logs when removing the box fails 2: # Subtest: do_stop_vm logs when destroying the libvirt pool fails 2: ok 1 2: ok 2 2: ok 3 2: ok 4 2: ok 5 - do_stop_vm stderr contains an error message mentioning that vagrant box remove failed 2: 1..5 2: ok 16 - do_stop_vm logs when destroying the libvirt pool fails 2: # Subtest: do_start_vm launches vm via vagrant up and configures a ssh terminal 2: ok 1 2: ok 2 2: ok 3 2: ok 4 - Check the name of the console 2: 1..4 2: ok 17 - do_start_vm launches vm via vagrant up and configures a ssh terminal 2: # Subtest: do_start_vm dies when the libvirt pool cannot be created 2: ok 1 2: ok 2 - Check the error message reported by do_start_vm 2: ok 3 - Check that the error message contains stdout 2: ok 4 - Check that the error message contains stderr 2: 1..4 2: ok 18 - do_start_vm dies when the libvirt pool cannot be created 2: # Subtest: do_start_vm does not die when the libvirt pool already exists 2: ok 1 2: 1..1 2: ok 19 - do_start_vm does not die when the libvirt pool already exists 2: # Subtest: do_start_vm dies when vagrant up returns an error 2: ok 1 2: ok 2 2: ok 3 - Check the error message reported by do_start_vm 2: ok 4 - Check that the error message contains stdout 2: ok 5 - Check that the error message contains stderr 2: 1..5 2: ok 20 - do_start_vm dies when vagrant up returns an error 2: # Subtest: do_start_vm does not call to virsh when using virtualbox 2: ok 1 2: ok 2 2: ok 3 - Both commands should have been executed 2: 1..3 2: ok 21 - do_start_vm does not call to virsh when using virtualbox 2: # Subtest: is_shutdown reports the status correctly 2: ok 1 2: ok 2 - vagrant should report the VM as running 2: ok 3 2: ok 4 - vagrant should report the shutoff VM as turned off 2: ok 5 2: ok 6 - vagrant should report the not created VM as turned off 2: 1..6 2: ok 22 - is_shutdown reports the status correctly 2: # Subtest: can_handle is a noop 2: ok 1 - can_handle returns undef 2: 1..1 2: ok 23 - can_handle is a noop 2: # Subtest: stop_serial_grab is a noop 2: ok 1 - stop_serial_grab returns undef 2: 1..1 2: ok 24 - stop_serial_grab is a noop 2: # Subtest: check_socket calls the base class function 2: ok 1 - check_socket return value matches 2: 1..1 2: ok 25 - check_socket calls the base class function 2: ok 26 - no (unexpected) warnings (via done_testing) 2: 1..26 2: ok 418 ms ( 0.00 usr 0.00 sys + 0.32 cusr 0.10 csys = 0.42 CPU) 2: [05:09:54] ./t/34-git.t ............................... 2: # Subtest: failing clone 2: ok 1 - Error message when trying to clone wrong git hash 2: ok 2 - git fetch was called to get more commits 2: 1..2 2: ok 1 - failing clone 2: # Subtest: successful clone 2: ok 1 - checkout_git_repo_and_branch returned correct path 2: ok 2 - git clone was called again to fetch a git hash 2: ok 3 - checkout_git_repo_and_branch with existing local directory returned correct path 2: ok 4 - Log says that local directory already exists 2: ok 5 - serialization successful 2: 1..5 2: ok 2 - successful clone 2: ok 3 - no (unexpected) warnings (via done_testing) 2: 1..3 2: ok 707 ms ( 0.00 usr 0.00 sys + 0.58 cusr 0.14 csys = 0.72 CPU) 2: [05:09:55] ./t/35-imgsearch.t ......................... 2: ok 1 - log via stderr 2: ok 2 - slightly distorted GNOME logo is matching candidate with high similarity 2: ok 3 - exact KDE logo is best match with very high similarity 2: ok 4 - snall error for candidate with high similarity 2: ok 5 - very small error for best match 2: ok 6 - other search results are as expected as well 2: ok 7 - no (unexpected) warnings (via done_testing) 2: 1..7 2: ok 685 ms ( 0.00 usr 0.00 sys + 0.56 cusr 0.13 csys = 0.69 CPU) 2: [05:09:56] ./t/36-openqa-namedioselect.t .............. 2: # Subtest: NamedIOSelect 2: ok 1 - No name give, fallback to caller 2: ok 2 - Filedescriptor got name 2: ok 3 - Unknown fd return formatted string 2: ok 4 - Get the IO::Select object 2: ok 5 - File descriptor was removed 2: ok 6 - All file descriptors are removed 2: 1..6 2: ok 1 - NamedIOSelect 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 135 ms ( 0.00 usr 0.00 sys + 0.11 cusr 0.02 csys = 0.13 CPU) 2: [05:09:56] ./t/37-mutparams.t ......................... 2: ok 1 - Exception for not implemented gen_cmdline 2: ok 2 - Exception for not implemented to_map 2: ok 3 - Exception for not implemented from_map 2: ok 4 - Exception for not implemented has_state 2: ok 5 - no (unexpected) warnings (via done_testing) 2: 1..5 2: ok 136 ms ( 0.00 usr 0.00 sys + 0.13 cusr 0.01 csys = 0.14 CPU) 2: [05:09:56] ./t/38-carp-trace.t ........................ 2: ok 1 - OpenQA::Qemu::BlockDev 2: ok 2 - OpenQA::Qemu::DriveDevice 2: ok 3 - OpenQA::Qemu::DrivePath 2: ok 4 - OpenQA::Qemu::Snapshot 2: ok 5 - no (unexpected) warnings (via done_testing) 2: 1..5 2: ok 138 ms ( 0.00 usr 0.00 sys + 0.12 cusr 0.02 csys = 0.14 CPU) 2: [05:09:56] ./t/44-scripts.t ........................... 2: ok 1 - Calling 'check_needles.pl --help' returns exit code 0 2: ok 2 - Calling 'check_qemu_oom --help' returns exit code 0 2: ok 3 - Calling 'dewebsockify --help' returns exit code 0 2: ok 4 - Calling 'imgsearch --help' returns exit code 0 2: ok 5 - Calling 'isotovideo --help' returns exit code 0 2: ok 6 - Calling 'os-autoinst-openvswitch --help' returns exit code 0 2: ok 7 - Calling 'vnctest --help' returns exit code 0 2: ok 8 - no (unexpected) warnings (via done_testing) 2: 1..8 2: ok 2093 ms ( 0.01 usr 0.00 sys + 1.86 cusr 0.23 csys = 2.10 CPU) 2: [05:09:58] ./xt/01-style.t ............................ fatal: not a git repository (or any of the parent directories): .git 2: 2: ok 1 - No redundant copyright character 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 2 - No verbatim licenses in source files 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 3 - SPDX-License-Identifier correctly terminated 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 4 - All tests use Test::Most 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 5 - All tests use Test::Warnings 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 6 - No backend or console files use external facing testapi 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 7 - All files use sub signatures everywhere (nameless and in-place definitions still allowed) 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 8 - All test files have shebang 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 9 - All test modules are executable 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 10 - Use of bare POSIX import is discouraged, see https://perldoc.perl.org/POSIX 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 11 - No redundant Mojo::Base+base 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 12 - No files using "warning|strict", should use Mojo::Base instead 2: fatal: not a git repository (or any of the parent directories): .git 2: ok 13 - Consistent space before function signatures (this is not ensured by perltidy) 2: 1..13 2: ok 124 ms ( 0.00 usr 0.00 sys + 0.09 cusr 0.04 csys = 0.13 CPU) 2: [05:09:58] ./xt/05-pod.t .............................. 2: 1..1 2: ok 1 - POD test for ./xt/../testapi.pm 2: ok 98 ms ( 0.00 usr 0.00 sys + 0.10 cusr 0.00 csys = 0.10 CPU) 2: [05:09:58] ./xt/06-pod-coverage.t ..................... 2: ok 1 - Everything in testapi covered 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 369 ms ( 0.00 usr 0.00 sys + 0.28 cusr 0.09 csys = 0.37 CPU) 2: [05:09:59] ./xt/27-make-update-deps.t ................. 2: ok 1 - Skipping all tests, not in a git repository 2: ok 2 - no (unexpected) warnings (via done_testing) 2: 1..2 2: ok 68 ms ( 0.00 usr 0.00 sys + 0.07 cusr 0.00 csys = 0.07 CPU) 2: [05:09:59] 2: All tests successful. 2: Files=66, Tests=1399, 96 wallclock secs ( 0.46 usr 0.16 sys + 69.48 cusr 13.67 csys = 83.77 CPU) 2: Result: PASS 2/2 Test #2: test-perl-testsuite .............. Passed 95.54 sec The following tests passed: test-installed-files test-perl-testsuite 100% tests passed, 0 tests failed out of 2 Total Test time (real) = 95.70 sec + RPM_EC=0 ++ jobs -p + exit 0 Processing files: os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.fdJlUd + umask 022 + cd /builddir/build/BUILD + cd os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + LICENSEDIR='/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/licenses/os-autoinst' + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/licenses/os-autoinst' + cp -pr COPYING '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64/usr/share/licenses/os-autoinst' + RPM_EC=0 ++ jobs -p + exit 0 Provides: os-autoinst = 4.6^20221122git5a76fb8-3.fc39 os-autoinst(aarch-64) = 4.6^20221122git5a76fb8-3.fc39 perl(tinycv) = 1.0 perl(tinycv::Image) Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires(pre): /usr/bin/getent /usr/sbin/useradd Requires: /usr/bin/perl glibc >= 2.37.9000-3 ld-linux-aarch64.so.1()(64bit) ld-linux-aarch64.so.1(GLIBC_2.17)(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libfftw3.so.3()(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libogg.so.0()(64bit) libopencv_core.so.407()(64bit) libopencv_highgui.so.407()(64bit) libopencv_imgcodecs.so.407()(64bit) libopencv_imgproc.so.407()(64bit) libsndfile.so.1()(64bit) libsndfile.so.1(libsndfile.so.1.0)(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtheoradec.so.1()(64bit) libtheoradec.so.1(libtheoradec_1.0)(64bit) libtheoraenc.so.1()(64bit) libtheoraenc.so.1(libtheoraenc_1.0)(64bit) perl(:MODULE_COMPAT_5.36.0) perl(B::Deparse) perl(Carp) perl(Compress::Raw::Zlib) perl(Config) perl(Cpanel::JSON::XS) perl(Crypt::DES) perl(Cwd) perl(Data::Dumper) perl(Digest::MD5) perl(DynaLoader) perl(English) perl(Errno) perl(Exception::Class) perl(Exporter) perl(ExtUtils::testlib) perl(Fcntl) perl(File::Basename) perl(File::Copy) perl(File::Find) perl(File::Path) perl(File::Temp) perl(File::Which) perl(File::chdir) perl(File::stat) perl(FindBin) perl(Getopt::Long) perl(IO::Handle) perl(IO::Pipe) perl(IO::Scalar) perl(IO::Select) perl(IO::Socket) perl(IO::Socket::INET) perl(IO::Socket::UNIX) perl(IPC::Run) perl(IPC::Run::Debug) perl(IPC::System::Simple) perl(Inline) perl(JSON::PP) perl(JSON::Validator) perl(List::MoreUtils) perl(List::Util) perl(MIME::Base64) perl(Math::Complex) perl(Mojo::Base) perl(Mojo::Cookie::Request) perl(Mojo::DOM) perl(Mojo::File) perl(Mojo::IOLoop) perl(Mojo::IOLoop::ReadWriteProcess) perl(Mojo::IOLoop::ReadWriteProcess::Session) perl(Mojo::IOLoop::Server) perl(Mojo::IOLoop::Stream) perl(Mojo::JSON) perl(Mojo::Log) perl(Mojo::Server::Daemon) perl(Mojo::URL) perl(Mojo::UserAgent) perl(Mojo::Util) perl(Mojolicious::Lite) perl(Net::DBus) perl(Net::Domain) perl(Net::SSH2) perl(POSIX) perl(Scalar::Util) perl(Socket) perl(Storable) perl(Term::ANSIColor) perl(Thread::Queue) perl(Tie::Hash) perl(Tie::StdHash) perl(Time::HiRes) perl(Time::Moment) perl(Time::Seconds) perl(Try::Tiny) perl(XML::LibXML) perl(YAML::PP) perl(YAML::XS) perl(autodie) perl(base) perl(bytes) perl(constant) perl(feature) perl(integer) perl(lib) perl(tinycv) perl-libs rtld(GNU_HASH) Recommends: /usr/bin/qemu-img perl(Inline::Python) qemu >= 4.0.0 qemu-kvm tesseract Processing files: os-autoinst-devel-4.6^20221122git5a76fb8-3.fc39.aarch64 Processing files: os-autoinst-openvswitch-4.6^20221122git5a76fb8-3.fc39.aarch64 Provides: config(os-autoinst-openvswitch) = 4.6^20221122git5a76fb8-3.fc39 os-autoinst-openvswitch = 4.6^20221122git5a76fb8-3.fc39 os-autoinst-openvswitch(aarch-64) = 4.6^20221122git5a76fb8-3.fc39 Requires(interp): /bin/sh /bin/sh /bin/sh Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires(post): /bin/sh systemd Requires(preun): /bin/sh systemd Requires(postun): /bin/sh systemd Requires: /usr/bin/perl perl(Getopt::Long) perl(IPC::Open3) perl(IPC::System::Simple) perl(Mojo::Base) perl(Net::DBus) perl(Net::DBus::Exporter) perl(Net::DBus::Reactor) perl(Symbol) perl(Time::Seconds) perl(autodie) Processing files: os-autoinst-debugsource-4.6^20221122git5a76fb8-3.fc39.aarch64 Provides: os-autoinst-debugsource = 4.6^20221122git5a76fb8-3.fc39 os-autoinst-debugsource(aarch-64) = 4.6^20221122git5a76fb8-3.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: os-autoinst-debuginfo-4.6^20221122git5a76fb8-3.fc39.aarch64 Provides: debuginfo(build-id) = 15a58806e8fe16bdf9ddb7488783ea84b12180f5 debuginfo(build-id) = 3c90bdc756cf8e5743dadc29d9767cb2186595bb debuginfo(build-id) = b73257ac2adaa04c6689d7d3b1469b462acfb76e debuginfo(build-id) = d90c251ca4954b1bcbc90e541fb3cdff7654b4fb os-autoinst-debuginfo = 4.6^20221122git5a76fb8-3.fc39 os-autoinst-debuginfo(aarch-64) = 4.6^20221122git5a76fb8-3.fc39 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: os-autoinst-debugsource(aarch-64) = 4.6^20221122git5a76fb8-3.fc39 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64 Wrote: /builddir/build/RPMS/os-autoinst-openvswitch-4.6^20221122git5a76fb8-3.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/os-autoinst-devel-4.6^20221122git5a76fb8-3.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/os-autoinst-debugsource-4.6^20221122git5a76fb8-3.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64.rpm Wrote: /builddir/build/RPMS/os-autoinst-debuginfo-4.6^20221122git5a76fb8-3.fc39.aarch64.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.Ya06pY + umask 022 + cd /builddir/build/BUILD + cd os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 + /usr/bin/rm -rf '/builddir/build/BUILDROOT/os-autoinst-4.6^20221122git5a76fb8-3.fc39.aarch64' + RPM_EC=0 ++ jobs -p + exit 0 Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.xDQEDE + umask 022 + cd /builddir/build/BUILD + rm -rf os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920 os-autoinst-5a76fb8e636ccc4fdf22b7738caae6aa40895920.gemspec + RPM_EC=0 ++ jobs -p + exit 0 RPM build warnings: extra tokens at the end of %endif directive in line 91: %endif # no_fullstack extra tokens at the end of %endif directive in line 139: %endif # no_fullstack extra tokens at the end of %endif directive in line 143: %endif # no_osutils Child return code was: 0