Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c28a' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7573206-fedora-39-ppc64le --chroot fedora-39-ppc64le Version: 0.73 PID: 45320 Logging PID: 45321 Task: {'allow_user_ssh': False, 'appstream': False, 'background': True, 'build_id': 7573206, 'buildroot_pkgs': [], 'chroot': 'fedora-39-ppc64le', 'enable_net': False, 'fedora_review': False, 'git_hash': '75279f71c5a7a44e17ebf5daa7914600bbc04611', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1-41.20240524gitb7f91e5', 'project_dirname': 'openblas-0.3.23', 'project_name': 'openblas-0.3.23', 'project_owner': 'psimovec', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/psimovec/openblas-0.3.23/fedora-39-ppc64le/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'http://kojipkgs.fedoraproject.org/repos/rawhide/latest/$basearch/', 'id': 'http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch', 'name': 'Additional repo http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch'}], 'sandbox': 'psimovec/openblas-0.3.23--https://src.fedoraproject.org/user/churchyard', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'https://src.fedoraproject.org/user/churchyard', 'tags': [], 'task_id': '7573206-fedora-39-ppc64le', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr'... Running: git checkout 75279f71c5a7a44e17ebf5daa7914600bbc04611 -- cmd: ['git', 'checkout', '75279f71c5a7a44e17ebf5daa7914600bbc04611', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr rc: 0 stdout: stderr: Note: switching to '75279f71c5a7a44e17ebf5daa7914600bbc04611'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 75279f7 automatic import of nextpnr Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading nextpnr-b7f91e5.tar.gz INFO: Reading stdout from command: curl --help all /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated INFO: Calling: curl -H Pragma: -o nextpnr-b7f91e5.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/psimovec/openblas-0.3.23/nextpnr/nextpnr-b7f91e5.tar.gz/md5/7431c3fc862f9867d2ced7bc89ea453e/nextpnr-b7f91e5.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 4615k 100 4615k 0 0 24.4M 0 --:--:-- --:--:-- --:--:-- 24.6M INFO: Reading stdout from command: md5sum nextpnr-b7f91e5.tar.gz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717895665.361249 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717895665.361249 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr/nextpnr.spec) Config(fedora-39-ppc64le) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-ppc64le-bootstrap-1717895665.361249/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:39 INFO: Pulling image: registry.fedoraproject.org/fedora:39 INFO: Copy content of container registry.fedoraproject.org/fedora:39 to /var/lib/mock/fedora-39-ppc64le-bootstrap-1717895665.361249/root INFO: Checking that registry.fedoraproject.org/fedora:39 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:39 with podman image mount INFO: image registry.fedoraproject.org/fedora:39 as /var/lib/containers/storage/overlay/8e9ba61726183b2dee126981ecba380f5c226452b7831dd8bdffcd5ab0fe592f/merged INFO: umounting image registry.fedoraproject.org/fedora:39 (/var/lib/containers/storage/overlay/8e9ba61726183b2dee126981ecba380f5c226452b7831dd8bdffcd5ab0fe592f/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 41 MB/s | 17 MB 00:00 Additional repo http_kojipkgs_fedoraproject_org 55 MB/s | 73 MB 00:01 fedora 18 MB/s | 83 MB 00:04 updates 14 MB/s | 35 MB 00:02 Last metadata expiration check: 0:00:05 ago on Sun Jun 9 01:15:35 2024. Package python3-dnf-4.19.2-1.fc39.noarch is already installed. Dependencies resolved. ========================================================================================================================== Package Arch Version Repository Size ========================================================================================================================== Installing: dnf5 ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 685 k replacing dnf.noarch 4.19.2-1.fc39 replacing yum.noarch 4.19.2-1.fc39 python3-dnf-plugins-core noarch 4.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 302 k Upgrading: dnf-data noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libstdc++ ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M python3-dnf noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580 k Installing dependencies: dbus-libs ppc64le 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 176 k fmt ppc64le 10.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 147 k libdnf5 ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M libdnf5-cli ppc64le 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 313 k python3-dateutil noarch 1:2.8.2-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345 k python3-dbus ppc64le 1.3.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149 k python3-distro noarch 1.9.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k python3-six noarch 1.16.0-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k python3-systemd ppc64le 235-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 101 k sdbus-cpp ppc64le 1.5.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 109 k Transaction Summary ========================================================================================================================== Install 12 Packages Upgrade 3 Packages Total download size: 5.0 M Downloading Packages: (1/15): dbus-libs-1.14.10-3.fc40.ppc64le.rpm 1.2 MB/s | 176 kB 00:00 (2/15): fmt-10.2.1-4.fc41.ppc64le.rpm 1.0 MB/s | 147 kB 00:00 (3/15): dnf5-5.2.3.0-1.fc41.ppc64le.rpm 3.6 MB/s | 685 kB 00:00 (4/15): libdnf5-cli-5.2.3.0-1.fc41.ppc64le.rpm 5.9 MB/s | 313 kB 00:00 (5/15): python3-dateutil-2.8.2-13.fc40.noarch.r 8.8 MB/s | 345 kB 00:00 (6/15): libdnf5-5.2.3.0-1.fc41.ppc64le.rpm 10 MB/s | 1.0 MB 00:00 (7/15): python3-dbus-1.3.2-6.fc40.ppc64le.rpm 3.1 MB/s | 149 kB 00:00 (8/15): python3-distro-1.9.0-3.fc40.noarch.rpm 1.4 MB/s | 45 kB 00:00 (9/15): python3-six-1.16.0-14.fc40.noarch.rpm 1.7 MB/s | 41 kB 00:00 (10/15): python3-dnf-plugins-core-4.7.0-1.fc41. 9.8 MB/s | 302 kB 00:00 (11/15): python3-systemd-235-9.fc40.ppc64le.rpm 2.9 MB/s | 101 kB 00:00 (12/15): sdbus-cpp-1.5.0-2.fc41.ppc64le.rpm 2.9 MB/s | 109 kB 00:00 (13/15): dnf-data-4.20.0-1.fc41.noarch.rpm 1.2 MB/s | 39 kB 00:00 (14/15): python3-dnf-4.20.0-1.fc41.noarch.rpm 8.4 MB/s | 580 kB 00:00 (15/15): libstdc++-14.1.1-5.fc41.ppc64le.rpm 11 MB/s | 1.0 MB 00:00 -------------------------------------------------------------------------------- Total 13 MB/s | 5.0 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Upgrading : libstdc++-14.1.1-5.fc41.ppc64le 1/20 Installing : fmt-10.2.1-4.fc41.ppc64le 2/20 Installing : libdnf5-5.2.3.0-1.fc41.ppc64le 3/20 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : libdnf5-cli-5.2.3.0-1.fc41.ppc64le 4/20 Upgrading : dnf-data-4.20.0-1.fc41.noarch 5/20 Upgrading : python3-dnf-4.20.0-1.fc41.noarch 6/20 Installing : sdbus-cpp-1.5.0-2.fc41.ppc64le 7/20 Installing : python3-systemd-235-9.fc40.ppc64le 8/20 Installing : python3-six-1.16.0-14.fc40.noarch 9/20 Installing : python3-dateutil-1:2.8.2-13.fc40.noarch 10/20 Installing : python3-distro-1.9.0-3.fc40.noarch 11/20 Installing : dbus-libs-1:1.14.10-3.fc40.ppc64le 12/20 Installing : python3-dbus-1.3.2-6.fc40.ppc64le 13/20 Installing : python3-dnf-plugins-core-4.7.0-1.fc41.noarch 14/20 Installing : dnf5-5.2.3.0-1.fc41.ppc64le 15/20 Obsoleting : yum-4.19.2-1.fc39.noarch 16/20 Running scriptlet: dnf-4.19.2-1.fc39.noarch 17/20 Obsoleting : dnf-4.19.2-1.fc39.noarch 17/20 warning: directory /var/cache/dnf: remove failed: Device or resource busy Running scriptlet: dnf-4.19.2-1.fc39.noarch 17/20 Cleanup : python3-dnf-4.19.2-1.fc39.noarch 18/20 Cleanup : dnf-data-4.19.2-1.fc39.noarch 19/20 Cleanup : libstdc++-13.3.1-1.fc39.ppc64le 20/20 Running scriptlet: libstdc++-13.3.1-1.fc39.ppc64le 20/20 Verifying : dbus-libs-1:1.14.10-3.fc40.ppc64le 1/20 Verifying : dnf5-5.2.3.0-1.fc41.ppc64le 2/20 Verifying : dnf-4.19.2-1.fc39.noarch 3/20 Verifying : yum-4.19.2-1.fc39.noarch 4/20 Verifying : fmt-10.2.1-4.fc41.ppc64le 5/20 Verifying : libdnf5-5.2.3.0-1.fc41.ppc64le 6/20 Verifying : libdnf5-cli-5.2.3.0-1.fc41.ppc64le 7/20 Verifying : python3-dateutil-1:2.8.2-13.fc40.noarch 8/20 Verifying : python3-dbus-1.3.2-6.fc40.ppc64le 9/20 Verifying : python3-distro-1.9.0-3.fc40.noarch 10/20 Verifying : python3-dnf-plugins-core-4.7.0-1.fc41.noarch 11/20 Verifying : python3-six-1.16.0-14.fc40.noarch 12/20 Verifying : python3-systemd-235-9.fc40.ppc64le 13/20 Verifying : sdbus-cpp-1.5.0-2.fc41.ppc64le 14/20 Verifying : dnf-data-4.20.0-1.fc41.noarch 15/20 Verifying : dnf-data-4.19.2-1.fc39.noarch 16/20 Verifying : libstdc++-14.1.1-5.fc41.ppc64le 17/20 Verifying : libstdc++-13.3.1-1.fc39.ppc64le 18/20 Verifying : python3-dnf-4.20.0-1.fc41.noarch 19/20 Verifying : python3-dnf-4.19.2-1.fc39.noarch 20/20 Upgraded: dnf-data-4.20.0-1.fc41.noarch libstdc++-14.1.1-5.fc41.ppc64le python3-dnf-4.20.0-1.fc41.noarch Installed: dbus-libs-1:1.14.10-3.fc40.ppc64le dnf5-5.2.3.0-1.fc41.ppc64le fmt-10.2.1-4.fc41.ppc64le libdnf5-5.2.3.0-1.fc41.ppc64le libdnf5-cli-5.2.3.0-1.fc41.ppc64le python3-dateutil-1:2.8.2-13.fc40.noarch python3-dbus-1.3.2-6.fc40.ppc64le python3-distro-1.9.0-3.fc40.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch python3-six-1.16.0-14.fc40.noarch python3-systemd-235-9.fc40.ppc64le sdbus-cpp-1.5.0-2.fc41.ppc64le Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.ppc64le rpm-sequoia-1.6.0-3.fc39.ppc64le python3-dnf-4.20.0-1.fc41.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.ppc64le Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 14 kB/s | 1.5 kB 00:00 Copr repository 29 MB/s | 17 MB 00:00 Additional repo http_kojipkgs_fedoraproject_org 762 B/s | 3.8 kB 00:05 fedora 181 kB/s | 6.1 kB 00:00 updates 225 kB/s | 7.1 kB 00:00 Dependencies resolved. ============================================================================================================================================= Package Arch Version Repository Size ============================================================================================================================================= Installing group/module packages: bash ppc64le 5.2.26-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 M bzip2 ppc64le 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53 k coreutils ppc64le 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 M cpio ppc64le 2.15-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 293 k diffutils ppc64le 3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 410 k fedora-release-common noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k findutils ppc64le 1:4.10.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 579 k gawk ppc64le 5.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M glibc-minimal-langpack ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112 k grep ppc64le 3.11-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 299 k gzip ppc64le 1.13-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 168 k info ppc64le 7.1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 201 k patch ppc64le 2.7.6-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 142 k redhat-rpm-config noarch 292-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 75 k rpm-build ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78 k sed ppc64le 4.9-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 314 k shadow-utils ppc64le 2:4.15.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 M tar ppc64le 2:1.35-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 874 k unzip ppc64le 6.0-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 191 k util-linux ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 M which ppc64le 2.21-41.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43 k xz ppc64le 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 535 k Installing dependencies: add-determinism-nopython ppc64le 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 894 k alternatives ppc64le 1.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43 k ansible-srpm-macros noarch 1-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k audit-libs ppc64le 4.0.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 142 k authselect ppc64le 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 142 k authselect-libs ppc64le 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 210 k basesystem noarch 11-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.2 k binutils ppc64le 2.42.50-14.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.4 M build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k bzip2-libs ppc64le 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 47 k ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 862 k coreutils-common ppc64le 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 M cracklib ppc64le 2.9.11-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84 k crypto-policies noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65 k curl ppc64le 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 307 k cyrus-sasl-lib ppc64le 2.1.28-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 883 k debugedit ppc64le 5.0-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82 k dwz ppc64le 0.15-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144 k ed ppc64le 1.20.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 85 k efi-srpm-macros noarch 5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k elfutils ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 557 k elfutils-debuginfod-client ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k elfutils-libelf ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 218 k elfutils-libs ppc64le 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 296 k fedora-gpg-keys noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k fedora-release noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 k fedora-release-identity-basic noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k fedora-repos noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 k fedora-repos-rawhide noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k file ppc64le 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50 k file-libs ppc64le 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 775 k filesystem ppc64le 3.18-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M fonts-srpm-macros noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k forge-srpm-macros noarch 0.3.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k fpc-srpm-macros noarch 1.3-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.8 k gdb-minimal ppc64le 14.2-11.fc41 copr_base 4.3 M gdbm ppc64le 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 154 k gdbm-libs ppc64le 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 62 k ghc-srpm-macros noarch 1.9.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.0 k glibc ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.5 M glibc-common ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 407 k glibc-gconv-extra ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 M gmp ppc64le 1:6.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 309 k gnat-srpm-macros noarch 6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.8 k go-srpm-macros noarch 3.6.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28 k jansson ppc64le 2.13.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50 k kernel-srpm-macros noarch 1.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.8 k keyutils-libs ppc64le 1.6.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33 k krb5-libs ppc64le 1.21.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 838 k libacl ppc64le 2.3.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k libarchive ppc64le 3.7.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 476 k libattr ppc64le 2.5.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k libblkid ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 141 k libbrotli ppc64le 1.1.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 384 k libcap ppc64le 2.70-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 93 k libcap-ng ppc64le 0.8.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k libcom_err ppc64le 1.47.0-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k libcurl ppc64le 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 394 k libeconf ppc64le 0.6.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k libevent ppc64le 2.1.12-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 275 k libfdisk ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 176 k libffi ppc64le 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k libgcc ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 110 k libgomp ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 363 k libidn2 ppc64le 2.3.7-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 115 k libmount ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 177 k libnghttp2 ppc64le 1.62.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 86 k libnsl2 ppc64le 2.0.1-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k libpkgconf ppc64le 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43 k libpsl ppc64le 0.21.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66 k libpwquality ppc64le 1.4.5-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112 k librtas ppc64le 2.0.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 81 k libselinux ppc64le 3.6-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 100 k libsemanage ppc64le 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 128 k libsepol ppc64le 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 372 k libsmartcols ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 110 k libssh ppc64le 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 240 k libssh-config noarch 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k libstdc++ ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M libtasn1 ppc64le 4.19.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k libtirpc ppc64le 1.3.4-1.rc3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 107 k libtool-ltdl ppc64le 2.4.7-11.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libunistring ppc64le 1.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 575 k libutempter ppc64le 1.2.1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k libuuid ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k libverto ppc64le 0.3.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k libxcrypt ppc64le 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 130 k libxml2 ppc64le 2.12.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 767 k libzstd ppc64le 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 334 k lua-libs ppc64le 5.4.6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149 k lua-srpm-macros noarch 1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.7 k lz4-libs ppc64le 1.9.4-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 86 k mpfr ppc64le 4.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 355 k ncurses-base noarch 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64 k ncurses-libs ppc64le 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 378 k ocaml-srpm-macros noarch 10-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k openblas-srpm-macros noarch 2-17.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.7 k openldap ppc64le 2.6.8-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 287 k openssl-libs ppc64le 1:3.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 M p11-kit ppc64le 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 479 k p11-kit-trust ppc64le 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 148 k package-notes-srpm-macros noarch 0.5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 k pam ppc64le 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 562 k pam-libs ppc64le 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63 k pcre2 ppc64le 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 252 k pcre2-syntax noarch 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149 k perl-srpm-macros noarch 1-53.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 k pkgconf ppc64le 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44 k pkgconf-m4 noarch 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k pkgconf-pkg-config ppc64le 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.8 k popt ppc64le 1.19-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64 k publicsuffix-list-dafsa noarch 20240107-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 58 k pyproject-srpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k python-srpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k qt5-srpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k qt6-srpm-macros noarch 6.7.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k readline ppc64le 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 230 k rpm ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 522 k rpm-build-libs ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 107 k rpm-libs ppc64le 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 353 k rpm-sequoia ppc64le 1.6.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 930 k rust-srpm-macros noarch 26.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k setup noarch 2.15.0-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 148 k sqlite-libs ppc64le 3.46.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 824 k systemd-libs ppc64le 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 776 k util-linux-core ppc64le 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 564 k xxhash-libs ppc64le 0.8.2-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37 k xz-libs ppc64le 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k zig-srpm-macros noarch 1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.0 k zip ppc64le 3.0-40.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 274 k zlib-ng-compat ppc64le 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k zstd ppc64le 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 502 k Installing Groups: Buildsystem building group Transaction Summary ============================================================================================================================================= Install 155 Packages Total size: 56 M Installed size: 325 M Downloading Packages: [SKIPPED] gdb-minimal-14.2-11.fc41.ppc64le.rpm: Already downloaded [SKIPPED] add-determinism-nopython-0.2.0-9.fc41.ppc64le.rpm: Already downloaded [SKIPPED] alternatives-1.27-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] ansible-srpm-macros-1-15.fc41.noarch.rpm: Already downloaded [SKIPPED] audit-libs-4.0.1-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] authselect-1.5.0-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] authselect-libs-1.5.0-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] basesystem-11-20.fc40.noarch.rpm: Already downloaded [SKIPPED] bash-5.2.26-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] binutils-2.42.50-14.fc41.ppc64le.rpm: Already downloaded [SKIPPED] build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch.rpm: Already downloaded [SKIPPED] bzip2-1.0.8-18.fc40.ppc64le.rpm: Already downloaded [SKIPPED] bzip2-libs-1.0.8-18.fc40.ppc64le.rpm: Already downloaded [SKIPPED] ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch.rpm: Already downloaded [SKIPPED] coreutils-9.5-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] coreutils-common-9.5-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] cpio-2.15-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] cracklib-2.9.11-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] crypto-policies-20240521-1.gitf71d135.fc41.noarch.rpm: Already downloaded [SKIPPED] curl-8.8.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] cyrus-sasl-lib-2.1.28-22.fc41.ppc64le.rpm: Already downloaded [SKIPPED] debugedit-5.0-16.fc41.ppc64le.rpm: Already downloaded [SKIPPED] diffutils-3.10-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] dwz-0.15-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] ed-1.20.2-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] efi-srpm-macros-5-11.fc40.noarch.rpm: Already downloaded [SKIPPED] elfutils-0.191-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] elfutils-debuginfod-client-0.191-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] elfutils-default-yama-scope-0.191-7.fc41.noarch.rpm: Already downloaded [SKIPPED] elfutils-libelf-0.191-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] elfutils-libs-0.191-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] fedora-gpg-keys-41-0.2.noarch.rpm: Already downloaded [SKIPPED] fedora-release-41-0.13.noarch.rpm: Already downloaded [SKIPPED] fedora-release-common-41-0.13.noarch.rpm: Already downloaded [SKIPPED] fedora-release-identity-basic-41-0.13.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-41-0.2.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-rawhide-41-0.2.noarch.rpm: Already downloaded [SKIPPED] file-5.45-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] file-libs-5.45-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] filesystem-3.18-9.fc41.ppc64le.rpm: Already downloaded [SKIPPED] findutils-4.10.0-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] fonts-srpm-macros-2.0.5-15.fc41.noarch.rpm: Already downloaded [SKIPPED] forge-srpm-macros-0.3.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] fpc-srpm-macros-1.3-12.fc40.noarch.rpm: Already downloaded [SKIPPED] gawk-5.3.0-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] gdbm-1.23-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] gdbm-libs-1.23-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] ghc-srpm-macros-1.9.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] glibc-2.39.9000-18.fc41.ppc64le.rpm: Already downloaded [SKIPPED] glibc-common-2.39.9000-18.fc41.ppc64le.rpm: Already downloaded [SKIPPED] glibc-gconv-extra-2.39.9000-18.fc41.ppc64le.rpm: Already downloaded [SKIPPED] glibc-minimal-langpack-2.39.9000-18.fc41.ppc64le.rpm: Already downloaded [SKIPPED] gmp-6.3.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] gnat-srpm-macros-6-5.fc40.noarch.rpm: Already downloaded [SKIPPED] go-srpm-macros-3.6.0-1.fc41.noarch.rpm: Already downloaded [SKIPPED] grep-3.11-8.fc41.ppc64le.rpm: Already downloaded [SKIPPED] gzip-1.13-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] info-7.1-2.fc40.ppc64le.rpm: Already downloaded [SKIPPED] jansson-2.13.1-9.fc40.ppc64le.rpm: Already downloaded [SKIPPED] kernel-srpm-macros-1.0-23.fc41.noarch.rpm: Already downloaded [SKIPPED] keyutils-libs-1.6.3-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] krb5-libs-1.21.2-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libacl-2.3.2-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libarchive-3.7.4-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libattr-2.5.2-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libblkid-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libbrotli-1.1.0-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libcap-2.70-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libcap-ng-0.8.5-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libcom_err-1.47.0-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libcurl-8.8.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libeconf-0.6.2-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libevent-2.1.12-13.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libfdisk-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libffi-3.4.6-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libgcc-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libgomp-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libidn2-2.3.7-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libmount-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libnghttp2-1.62.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libnsl2-2.0.1-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libpkgconf-2.1.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libpsl-0.21.5-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libpwquality-1.4.5-9.fc40.ppc64le.rpm: Already downloaded [SKIPPED] librtas-2.0.6-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libselinux-3.6-4.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libsemanage-3.6-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libsepol-3.6-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libsmartcols-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libssh-0.10.6-6.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libssh-config-0.10.6-6.fc41.noarch.rpm: Already downloaded [SKIPPED] libstdc++-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libtasn1-4.19.0-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libtirpc-1.3.4-1.rc3.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libtool-ltdl-2.4.7-11.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libunistring-1.1-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libutempter-1.2.1-13.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libuuid-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libverto-0.3.2-8.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libxcrypt-4.4.36-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libxml2-2.12.7-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libzstd-1.5.6-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] lua-libs-5.4.6-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] lua-srpm-macros-1-13.fc40.noarch.rpm: Already downloaded [SKIPPED] lz4-libs-1.9.4-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] mpfr-4.2.1-4.fc41.ppc64le.rpm: Already downloaded [SKIPPED] ncurses-base-6.4-12.20240127.fc40.noarch.rpm: Already downloaded [SKIPPED] ncurses-libs-6.4-12.20240127.fc40.ppc64le.rpm: Already downloaded [SKIPPED] ocaml-srpm-macros-10-2.fc41.noarch.rpm: Already downloaded [SKIPPED] openblas-srpm-macros-2-17.fc41.noarch.rpm: Already downloaded [SKIPPED] openldap-2.6.8-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] openssl-libs-3.2.2-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] p11-kit-0.25.3-4.fc40.ppc64le.rpm: Already downloaded [SKIPPED] p11-kit-trust-0.25.3-4.fc40.ppc64le.rpm: Already downloaded [SKIPPED] package-notes-srpm-macros-0.5-11.fc40.noarch.rpm: Already downloaded [SKIPPED] pam-1.6.1-3.fc41.ppc64le.rpm: Already downloaded [SKIPPED] pam-libs-1.6.1-3.fc41.ppc64le.rpm: Already downloaded [SKIPPED] patch-2.7.6-24.fc40.ppc64le.rpm: Already downloaded [SKIPPED] pcre2-10.43-2.fc41.1.ppc64le.rpm: Already downloaded [SKIPPED] pcre2-syntax-10.43-2.fc41.1.noarch.rpm: Already downloaded [SKIPPED] perl-srpm-macros-1-53.fc40.noarch.rpm: Already downloaded [SKIPPED] pkgconf-2.1.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] pkgconf-m4-2.1.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] pkgconf-pkg-config-2.1.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] popt-1.19-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] publicsuffix-list-dafsa-20240107-3.fc40.noarch.rpm: Already downloaded [SKIPPED] pyproject-srpm-macros-1.12.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] python-srpm-macros-3.12-9.fc41.noarch.rpm: Already downloaded [SKIPPED] qt5-srpm-macros-5.15.14-1.fc41.noarch.rpm: Already downloaded [SKIPPED] qt6-srpm-macros-6.7.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] readline-8.2-8.fc40.ppc64le.rpm: Already downloaded [SKIPPED] redhat-rpm-config-292-1.fc41.noarch.rpm: Already downloaded [SKIPPED] rpm-4.19.91-8.fc41.ppc64le.rpm: Already downloaded [SKIPPED] rpm-build-4.19.91-8.fc41.ppc64le.rpm: Already downloaded [SKIPPED] rpm-build-libs-4.19.91-8.fc41.ppc64le.rpm: Already downloaded [SKIPPED] rpm-libs-4.19.91-8.fc41.ppc64le.rpm: Already downloaded [SKIPPED] rpm-sequoia-1.6.0-3.fc41.ppc64le.rpm: Already downloaded [SKIPPED] rust-srpm-macros-26.3-1.fc41.noarch.rpm: Already downloaded [SKIPPED] sed-4.9-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] setup-2.15.0-4.fc41.noarch.rpm: Already downloaded [SKIPPED] shadow-utils-4.15.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] sqlite-libs-3.46.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] systemd-libs-256~rc4-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] tar-1.35-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] unzip-6.0-63.fc40.ppc64le.rpm: Already downloaded [SKIPPED] util-linux-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] util-linux-core-2.40.1-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] which-2.21-41.fc40.ppc64le.rpm: Already downloaded [SKIPPED] xxhash-libs-0.8.2-2.fc40.ppc64le.rpm: Already downloaded [SKIPPED] xz-5.4.6-3.fc41.ppc64le.rpm: Already downloaded [SKIPPED] xz-libs-5.4.6-3.fc41.ppc64le.rpm: Already downloaded [SKIPPED] zig-srpm-macros-1-2.fc40.noarch.rpm: Already downloaded [SKIPPED] zip-3.0-40.fc40.ppc64le.rpm: Already downloaded [SKIPPED] zlib-ng-compat-2.1.6-6.fc41.ppc64le.rpm: Already downloaded [SKIPPED] zstd-1.5.6-1.fc41.ppc64le.rpm: Already downloaded Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-9.fc41.ppc64le 1/1 Preparing : 1/1 Installing : libgcc-14.1.1-5.fc41.ppc64le 1/155 Running scriptlet: libgcc-14.1.1-5.fc41.ppc64le 1/155 Installing : crypto-policies-20240521-1.gitf71d135.fc41.noarc 2/155 Running scriptlet: crypto-policies-20240521-1.gitf71d135.fc41.noarc 2/155 Installing : fedora-release-identity-basic-41-0.13.noarch 3/155 Installing : fedora-repos-rawhide-41-0.2.noarch 4/155 Installing : fedora-gpg-keys-41-0.2.noarch 5/155 Installing : fedora-repos-41-0.2.noarch 6/155 Installing : fedora-release-common-41-0.13.noarch 7/155 Installing : fedora-release-41-0.13.noarch 8/155 Installing : setup-2.15.0-4.fc41.noarch 9/155 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.15.0-4.fc41.noarch 9/155 Installing : filesystem-3.18-9.fc41.ppc64le 10/155 Installing : basesystem-11-20.fc40.noarch 11/155 Installing : rust-srpm-macros-26.3-1.fc41.noarch 12/155 Installing : qt6-srpm-macros-6.7.1-1.fc41.noarch 13/155 Installing : qt5-srpm-macros-5.15.14-1.fc41.noarch 14/155 Installing : publicsuffix-list-dafsa-20240107-3.fc40.noarch 15/155 Installing : pkgconf-m4-2.1.1-1.fc41.noarch 16/155 Installing : perl-srpm-macros-1-53.fc40.noarch 17/155 Installing : pcre2-syntax-10.43-2.fc41.1.noarch 18/155 Installing : package-notes-srpm-macros-0.5-11.fc40.noarch 19/155 Installing : openblas-srpm-macros-2-17.fc41.noarch 20/155 Installing : ocaml-srpm-macros-10-2.fc41.noarch 21/155 Installing : ncurses-base-6.4-12.20240127.fc40.noarch 22/155 Installing : glibc-gconv-extra-2.39.9000-18.fc41.ppc64le 23/155 Running scriptlet: glibc-gconv-extra-2.39.9000-18.fc41.ppc64le 23/155 Installing : glibc-minimal-langpack-2.39.9000-18.fc41.ppc64le 24/155 Installing : glibc-common-2.39.9000-18.fc41.ppc64le 25/155 Running scriptlet: glibc-2.39.9000-18.fc41.ppc64le 26/155 Installing : glibc-2.39.9000-18.fc41.ppc64le 26/155 Running scriptlet: glibc-2.39.9000-18.fc41.ppc64le 26/155 Installing : ncurses-libs-6.4-12.20240127.fc40.ppc64le 27/155 Installing : bash-5.2.26-3.fc40.ppc64le 28/155 Running scriptlet: bash-5.2.26-3.fc40.ppc64le 28/155 Installing : zlib-ng-compat-2.1.6-6.fc41.ppc64le 29/155 Installing : bzip2-libs-1.0.8-18.fc40.ppc64le 30/155 Installing : xz-libs-1:5.4.6-3.fc41.ppc64le 31/155 Installing : readline-8.2-8.fc40.ppc64le 32/155 Installing : libuuid-2.40.1-1.fc41.ppc64le 33/155 Installing : libzstd-1.5.6-1.fc41.ppc64le 34/155 Installing : elfutils-libelf-0.191-7.fc41.ppc64le 35/155 Installing : popt-1.19-6.fc40.ppc64le 36/155 Installing : libblkid-2.40.1-1.fc41.ppc64le 37/155 Installing : gmp-1:6.3.0-1.fc41.ppc64le 38/155 Installing : libattr-2.5.2-3.fc40.ppc64le 39/155 Installing : libacl-2.3.2-1.fc40.ppc64le 40/155 Installing : libstdc++-14.1.1-5.fc41.ppc64le 41/155 Installing : libxcrypt-4.4.36-5.fc40.ppc64le 42/155 Installing : gdbm-libs-1:1.23-6.fc40.ppc64le 43/155 Installing : libeconf-0.6.2-2.fc41.ppc64le 44/155 Installing : mpfr-4.2.1-4.fc41.ppc64le 45/155 Installing : gawk-5.3.0-3.fc40.ppc64le 46/155 Installing : dwz-0.15-6.fc40.ppc64le 47/155 Installing : unzip-6.0-63.fc40.ppc64le 48/155 Installing : file-libs-5.45-5.fc41.ppc64le 49/155 Installing : file-5.45-5.fc41.ppc64le 50/155 Installing : alternatives-1.27-1.fc41.ppc64le 51/155 Installing : libcap-ng-0.8.5-1.fc41.ppc64le 52/155 Installing : audit-libs-4.0.1-2.fc41.ppc64le 53/155 Installing : pam-libs-1.6.1-3.fc41.ppc64le 54/155 Installing : libcap-2.70-1.fc41.ppc64le 55/155 Installing : systemd-libs-256~rc4-2.fc41.ppc64le 56/155 Installing : libcom_err-1.47.0-5.fc40.ppc64le 57/155 Installing : libsepol-3.6-3.fc40.ppc64le 58/155 Installing : libsmartcols-2.40.1-1.fc41.ppc64le 59/155 Installing : libtasn1-4.19.0-6.fc40.ppc64le 60/155 Installing : libunistring-1.1-7.fc41.ppc64le 61/155 Installing : libidn2-2.3.7-1.fc40.ppc64le 62/155 Installing : lua-libs-5.4.6-5.fc40.ppc64le 63/155 Installing : lz4-libs-1.9.4-6.fc40.ppc64le 64/155 Installing : pcre2-10.43-2.fc41.1.ppc64le 65/155 Installing : libselinux-3.6-4.fc40.ppc64le 66/155 Installing : sed-4.9-1.fc40.ppc64le 67/155 Installing : grep-3.11-8.fc41.ppc64le 68/155 Installing : findutils-1:4.10.0-2.fc41.ppc64le 69/155 Installing : xz-1:5.4.6-3.fc41.ppc64le 70/155 Installing : libmount-2.40.1-1.fc41.ppc64le 71/155 Installing : util-linux-core-2.40.1-1.fc41.ppc64le 72/155 Installing : libsemanage-3.6-3.fc40.ppc64le 73/155 Installing : shadow-utils-2:4.15.1-5.fc41.ppc64le 74/155 Running scriptlet: libutempter-1.2.1-13.fc40.ppc64le 75/155 Installing : libutempter-1.2.1-13.fc40.ppc64le 75/155 Installing : tar-2:1.35-3.fc40.ppc64le 76/155 Installing : zstd-1.5.6-1.fc41.ppc64le 77/155 Installing : libpsl-0.21.5-3.fc40.ppc64le 78/155 Installing : zip-3.0-40.fc40.ppc64le 79/155 Installing : cyrus-sasl-lib-2.1.28-22.fc41.ppc64le 80/155 Installing : gdbm-1:1.23-6.fc40.ppc64le 81/155 Installing : libfdisk-2.40.1-1.fc41.ppc64le 82/155 Installing : add-determinism-nopython-0.2.0-9.fc41.ppc64le 83/155 Installing : build-reproducibility-srpm-macros-0.2.0-9.fc41.n 84/155 Installing : libxml2-2.12.7-1.fc41.ppc64le 85/155 Installing : bzip2-1.0.8-18.fc40.ppc64le 86/155 Installing : sqlite-libs-3.46.0-1.fc41.ppc64le 87/155 Installing : ed-1.20.2-1.fc41.ppc64le 88/155 Installing : patch-2.7.6-24.fc40.ppc64le 89/155 Installing : elfutils-default-yama-scope-0.191-7.fc41.noarch 90/155 Running scriptlet: elfutils-default-yama-scope-0.191-7.fc41.noarch 90/155 Installing : cpio-2.15-1.fc40.ppc64le 91/155 Installing : diffutils-3.10-5.fc40.ppc64le 92/155 Installing : jansson-2.13.1-9.fc40.ppc64le 93/155 Installing : keyutils-libs-1.6.3-3.fc40.ppc64le 94/155 Installing : libbrotli-1.1.0-3.fc40.ppc64le 95/155 Installing : libffi-3.4.6-1.fc41.ppc64le 96/155 Installing : p11-kit-0.25.3-4.fc40.ppc64le 97/155 Installing : p11-kit-trust-0.25.3-4.fc40.ppc64le 98/155 Running scriptlet: p11-kit-trust-0.25.3-4.fc40.ppc64le 98/155 Installing : libgomp-14.1.1-5.fc41.ppc64le 99/155 Installing : libnghttp2-1.62.0-1.fc41.ppc64le 100/155 Installing : libpkgconf-2.1.1-1.fc41.ppc64le 101/155 Installing : pkgconf-2.1.1-1.fc41.ppc64le 102/155 Installing : pkgconf-pkg-config-2.1.1-1.fc41.ppc64le 103/155 Installing : librtas-2.0.6-1.fc41.ppc64le 104/155 Running scriptlet: librtas-2.0.6-1.fc41.ppc64le 104/155 Installing : libtool-ltdl-2.4.7-11.fc41.ppc64le 105/155 Installing : libverto-0.3.2-8.fc40.ppc64le 106/155 Installing : xxhash-libs-0.8.2-2.fc40.ppc64le 107/155 Installing : libssh-config-0.10.6-6.fc41.noarch 108/155 Installing : kernel-srpm-macros-1.0-23.fc41.noarch 109/155 Installing : gnat-srpm-macros-6-5.fc40.noarch 110/155 Installing : ghc-srpm-macros-1.9.1-1.fc41.noarch 111/155 Installing : fpc-srpm-macros-1.3-12.fc40.noarch 112/155 Installing : coreutils-common-9.5-2.fc41.ppc64le 113/155 Installing : openssl-libs-1:3.2.2-1.fc41.ppc64le 114/155 Installing : coreutils-9.5-2.fc41.ppc64le 115/155 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 116/155 Installing : ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 116/155 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 116/155 Installing : krb5-libs-1.21.2-5.fc40.ppc64le 117/155 Installing : libarchive-3.7.4-1.fc41.ppc64le 118/155 Installing : libtirpc-1.3.4-1.rc3.fc41.ppc64le 119/155 Installing : authselect-libs-1.5.0-5.fc41.ppc64le 120/155 Installing : gzip-1.13-1.fc40.ppc64le 121/155 Installing : cracklib-2.9.11-5.fc40.ppc64le 122/155 Installing : libpwquality-1.4.5-9.fc40.ppc64le 123/155 Installing : authselect-1.5.0-5.fc41.ppc64le 124/155 Installing : libnsl2-2.0.1-1.fc40.ppc64le 125/155 Installing : pam-1.6.1-3.fc41.ppc64le 126/155 Installing : libssh-0.10.6-6.fc41.ppc64le 127/155 Installing : libevent-2.1.12-13.fc41.ppc64le 128/155 Installing : openldap-2.6.8-1.fc41.ppc64le 129/155 Installing : libcurl-8.8.0-1.fc41.ppc64le 130/155 Installing : elfutils-libs-0.191-7.fc41.ppc64le 131/155 Installing : elfutils-debuginfod-client-0.191-7.fc41.ppc64le 132/155 Installing : gdb-minimal-14.2-11.fc41.ppc64le 133/155 Installing : binutils-2.42.50-14.fc41.ppc64le 134/155 Running scriptlet: binutils-2.42.50-14.fc41.ppc64le 134/155 Installing : elfutils-0.191-7.fc41.ppc64le 135/155 Installing : debugedit-5.0-16.fc41.ppc64le 136/155 Installing : curl-8.8.0-1.fc41.ppc64le 137/155 Installing : rpm-sequoia-1.6.0-3.fc41.ppc64le 138/155 Installing : rpm-libs-4.19.91-8.fc41.ppc64le 139/155 Running scriptlet: rpm-4.19.91-8.fc41.ppc64le 140/155 Installing : rpm-4.19.91-8.fc41.ppc64le 140/155 Installing : efi-srpm-macros-5-11.fc40.noarch 141/155 Installing : lua-srpm-macros-1-13.fc40.noarch 142/155 Installing : zig-srpm-macros-1-2.fc40.noarch 143/155 Installing : rpm-build-libs-4.19.91-8.fc41.ppc64le 144/155 Installing : ansible-srpm-macros-1-15.fc41.noarch 145/155 Installing : fonts-srpm-macros-1:2.0.5-15.fc41.noarch 146/155 Installing : forge-srpm-macros-0.3.1-1.fc41.noarch 147/155 Installing : go-srpm-macros-3.6.0-1.fc41.noarch 148/155 Installing : python-srpm-macros-3.12-9.fc41.noarch 149/155 Installing : redhat-rpm-config-292-1.fc41.noarch 150/155 Installing : rpm-build-4.19.91-8.fc41.ppc64le 151/155 Installing : pyproject-srpm-macros-1.12.1-1.fc41.noarch 152/155 Installing : util-linux-2.40.1-1.fc41.ppc64le 153/155 Running scriptlet: util-linux-2.40.1-1.fc41.ppc64le 153/155 Installing : which-2.21-41.fc40.ppc64le 154/155 Installing : info-7.1-2.fc40.ppc64le 155/155 Running scriptlet: filesystem-3.18-9.fc41.ppc64le 155/155 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 155/155 Running scriptlet: authselect-libs-1.5.0-5.fc41.ppc64le 155/155 Running scriptlet: rpm-4.19.91-8.fc41.ppc64le 155/155 Running scriptlet: info-7.1-2.fc40.ppc64le 155/155 Installed: add-determinism-nopython-0.2.0-9.fc41.ppc64le alternatives-1.27-1.fc41.ppc64le ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.ppc64le authselect-1.5.0-5.fc41.ppc64le authselect-libs-1.5.0-5.fc41.ppc64le basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.ppc64le binutils-2.42.50-14.fc41.ppc64le build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.ppc64le bzip2-libs-1.0.8-18.fc40.ppc64le ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.ppc64le coreutils-common-9.5-2.fc41.ppc64le cpio-2.15-1.fc40.ppc64le cracklib-2.9.11-5.fc40.ppc64le crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.ppc64le cyrus-sasl-lib-2.1.28-22.fc41.ppc64le debugedit-5.0-16.fc41.ppc64le diffutils-3.10-5.fc40.ppc64le dwz-0.15-6.fc40.ppc64le ed-1.20.2-1.fc41.ppc64le efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.ppc64le elfutils-debuginfod-client-0.191-7.fc41.ppc64le elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.ppc64le elfutils-libs-0.191-7.fc41.ppc64le fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.ppc64le file-libs-5.45-5.fc41.ppc64le filesystem-3.18-9.fc41.ppc64le findutils-1:4.10.0-2.fc41.ppc64le fonts-srpm-macros-1:2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.ppc64le gdb-minimal-14.2-11.fc41.ppc64le gdbm-1:1.23-6.fc40.ppc64le gdbm-libs-1:1.23-6.fc40.ppc64le ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.ppc64le glibc-common-2.39.9000-18.fc41.ppc64le glibc-gconv-extra-2.39.9000-18.fc41.ppc64le glibc-minimal-langpack-2.39.9000-18.fc41.ppc64le gmp-1:6.3.0-1.fc41.ppc64le gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.ppc64le gzip-1.13-1.fc40.ppc64le info-7.1-2.fc40.ppc64le jansson-2.13.1-9.fc40.ppc64le kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.ppc64le krb5-libs-1.21.2-5.fc40.ppc64le libacl-2.3.2-1.fc40.ppc64le libarchive-3.7.4-1.fc41.ppc64le libattr-2.5.2-3.fc40.ppc64le libblkid-2.40.1-1.fc41.ppc64le libbrotli-1.1.0-3.fc40.ppc64le libcap-2.70-1.fc41.ppc64le libcap-ng-0.8.5-1.fc41.ppc64le libcom_err-1.47.0-5.fc40.ppc64le libcurl-8.8.0-1.fc41.ppc64le libeconf-0.6.2-2.fc41.ppc64le libevent-2.1.12-13.fc41.ppc64le libfdisk-2.40.1-1.fc41.ppc64le libffi-3.4.6-1.fc41.ppc64le libgcc-14.1.1-5.fc41.ppc64le libgomp-14.1.1-5.fc41.ppc64le libidn2-2.3.7-1.fc40.ppc64le libmount-2.40.1-1.fc41.ppc64le libnghttp2-1.62.0-1.fc41.ppc64le libnsl2-2.0.1-1.fc40.ppc64le libpkgconf-2.1.1-1.fc41.ppc64le libpsl-0.21.5-3.fc40.ppc64le libpwquality-1.4.5-9.fc40.ppc64le librtas-2.0.6-1.fc41.ppc64le libselinux-3.6-4.fc40.ppc64le libsemanage-3.6-3.fc40.ppc64le libsepol-3.6-3.fc40.ppc64le libsmartcols-2.40.1-1.fc41.ppc64le libssh-0.10.6-6.fc41.ppc64le libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.ppc64le libtasn1-4.19.0-6.fc40.ppc64le libtirpc-1.3.4-1.rc3.fc41.ppc64le libtool-ltdl-2.4.7-11.fc41.ppc64le libunistring-1.1-7.fc41.ppc64le libutempter-1.2.1-13.fc40.ppc64le libuuid-2.40.1-1.fc41.ppc64le libverto-0.3.2-8.fc40.ppc64le libxcrypt-4.4.36-5.fc40.ppc64le libxml2-2.12.7-1.fc41.ppc64le libzstd-1.5.6-1.fc41.ppc64le lua-libs-5.4.6-5.fc40.ppc64le lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.ppc64le mpfr-4.2.1-4.fc41.ppc64le ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.ppc64le ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.ppc64le openssl-libs-1:3.2.2-1.fc41.ppc64le p11-kit-0.25.3-4.fc40.ppc64le p11-kit-trust-0.25.3-4.fc40.ppc64le package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.ppc64le pam-libs-1.6.1-3.fc41.ppc64le patch-2.7.6-24.fc40.ppc64le pcre2-10.43-2.fc41.1.ppc64le pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.ppc64le pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.ppc64le popt-1.19-6.fc40.ppc64le publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.ppc64le redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.ppc64le rpm-build-4.19.91-8.fc41.ppc64le rpm-build-libs-4.19.91-8.fc41.ppc64le rpm-libs-4.19.91-8.fc41.ppc64le rpm-sequoia-1.6.0-3.fc41.ppc64le rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.ppc64le setup-2.15.0-4.fc41.noarch shadow-utils-2:4.15.1-5.fc41.ppc64le sqlite-libs-3.46.0-1.fc41.ppc64le systemd-libs-256~rc4-2.fc41.ppc64le tar-2:1.35-3.fc40.ppc64le unzip-6.0-63.fc40.ppc64le util-linux-2.40.1-1.fc41.ppc64le util-linux-core-2.40.1-1.fc41.ppc64le which-2.21-41.fc40.ppc64le xxhash-libs-0.8.2-2.fc40.ppc64le xz-1:5.4.6-3.fc41.ppc64le xz-libs-1:5.4.6-3.fc41.ppc64le zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.ppc64le zlib-ng-compat-2.1.6-6.fc41.ppc64le zstd-1.5.6-1.fc41.ppc64le Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.ppc64le alternatives-1.27-1.fc41.ppc64le ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.ppc64le authselect-1.5.0-5.fc41.ppc64le authselect-libs-1.5.0-5.fc41.ppc64le basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.ppc64le binutils-2.42.50-14.fc41.ppc64le build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.ppc64le bzip2-libs-1.0.8-18.fc40.ppc64le ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.ppc64le coreutils-common-9.5-2.fc41.ppc64le cpio-2.15-1.fc40.ppc64le cracklib-2.9.11-5.fc40.ppc64le crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.ppc64le cyrus-sasl-lib-2.1.28-22.fc41.ppc64le debugedit-5.0-16.fc41.ppc64le diffutils-3.10-5.fc40.ppc64le dwz-0.15-6.fc40.ppc64le ed-1.20.2-1.fc41.ppc64le efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.ppc64le elfutils-debuginfod-client-0.191-7.fc41.ppc64le elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.ppc64le elfutils-libs-0.191-7.fc41.ppc64le fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.ppc64le file-libs-5.45-5.fc41.ppc64le filesystem-3.18-9.fc41.ppc64le findutils-4.10.0-2.fc41.ppc64le fonts-srpm-macros-2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.ppc64le gdb-minimal-14.2-11.fc41.ppc64le gdbm-1.23-6.fc40.ppc64le gdbm-libs-1.23-6.fc40.ppc64le ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.ppc64le glibc-common-2.39.9000-18.fc41.ppc64le glibc-gconv-extra-2.39.9000-18.fc41.ppc64le glibc-minimal-langpack-2.39.9000-18.fc41.ppc64le gmp-6.3.0-1.fc41.ppc64le gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.ppc64le gzip-1.13-1.fc40.ppc64le info-7.1-2.fc40.ppc64le jansson-2.13.1-9.fc40.ppc64le kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.ppc64le krb5-libs-1.21.2-5.fc40.ppc64le libacl-2.3.2-1.fc40.ppc64le libarchive-3.7.4-1.fc41.ppc64le libattr-2.5.2-3.fc40.ppc64le libblkid-2.40.1-1.fc41.ppc64le libbrotli-1.1.0-3.fc40.ppc64le libcap-2.70-1.fc41.ppc64le libcap-ng-0.8.5-1.fc41.ppc64le libcom_err-1.47.0-5.fc40.ppc64le libcurl-8.8.0-1.fc41.ppc64le libeconf-0.6.2-2.fc41.ppc64le libevent-2.1.12-13.fc41.ppc64le libfdisk-2.40.1-1.fc41.ppc64le libffi-3.4.6-1.fc41.ppc64le libgcc-14.1.1-5.fc41.ppc64le libgomp-14.1.1-5.fc41.ppc64le libidn2-2.3.7-1.fc40.ppc64le libmount-2.40.1-1.fc41.ppc64le libnghttp2-1.62.0-1.fc41.ppc64le libnsl2-2.0.1-1.fc40.ppc64le libpkgconf-2.1.1-1.fc41.ppc64le libpsl-0.21.5-3.fc40.ppc64le libpwquality-1.4.5-9.fc40.ppc64le librtas-2.0.6-1.fc41.ppc64le libselinux-3.6-4.fc40.ppc64le libsemanage-3.6-3.fc40.ppc64le libsepol-3.6-3.fc40.ppc64le libsmartcols-2.40.1-1.fc41.ppc64le libssh-0.10.6-6.fc41.ppc64le libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.ppc64le libtasn1-4.19.0-6.fc40.ppc64le libtirpc-1.3.4-1.rc3.fc41.ppc64le libtool-ltdl-2.4.7-11.fc41.ppc64le libunistring-1.1-7.fc41.ppc64le libutempter-1.2.1-13.fc40.ppc64le libuuid-2.40.1-1.fc41.ppc64le libverto-0.3.2-8.fc40.ppc64le libxcrypt-4.4.36-5.fc40.ppc64le libxml2-2.12.7-1.fc41.ppc64le libzstd-1.5.6-1.fc41.ppc64le lua-libs-5.4.6-5.fc40.ppc64le lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.ppc64le mpfr-4.2.1-4.fc41.ppc64le ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.ppc64le ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.ppc64le openssl-libs-3.2.2-1.fc41.ppc64le p11-kit-0.25.3-4.fc40.ppc64le p11-kit-trust-0.25.3-4.fc40.ppc64le package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.ppc64le pam-libs-1.6.1-3.fc41.ppc64le patch-2.7.6-24.fc40.ppc64le pcre2-10.43-2.fc41.1.ppc64le pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.ppc64le pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.ppc64le popt-1.19-6.fc40.ppc64le publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.ppc64le redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.ppc64le rpm-build-4.19.91-8.fc41.ppc64le rpm-build-libs-4.19.91-8.fc41.ppc64le rpm-libs-4.19.91-8.fc41.ppc64le rpm-sequoia-1.6.0-3.fc41.ppc64le rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.ppc64le setup-2.15.0-4.fc41.noarch shadow-utils-4.15.1-5.fc41.ppc64le sqlite-libs-3.46.0-1.fc41.ppc64le systemd-libs-256~rc4-2.fc41.ppc64le tar-1.35-3.fc40.ppc64le unzip-6.0-63.fc40.ppc64le util-linux-2.40.1-1.fc41.ppc64le util-linux-core-2.40.1-1.fc41.ppc64le which-2.21-41.fc40.ppc64le xxhash-libs-0.8.2-2.fc40.ppc64le xz-5.4.6-3.fc41.ppc64le xz-libs-5.4.6-3.fc41.ppc64le zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.ppc64le zlib-ng-compat-2.1.6-6.fc41.ppc64le zstd-1.5.6-1.fc41.ppc64le Start: buildsrpm Start: rpmbuild -bs Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log/dnf.log /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log/dnf.rpm.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-1225h6g8/nextpnr/nextpnr.spec) Config(child) 2 minutes 0 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(fedora-39-ppc64le) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-ppc64le-bootstrap-1717895665.361249/root. INFO: reusing tmpfs at /var/lib/mock/fedora-39-ppc64le-bootstrap-1717895665.361249/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.ppc64le rpm-sequoia-1.6.0-3.fc39.ppc64le python3-dnf-4.20.0-1.fc41.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.ppc64le Finish: chroot init Start: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 26 kB/s | 1.5 kB 00:00 Additional repo http_kojipkgs_fedoraproject_org 53 kB/s | 3.8 kB 00:00 fedora 172 kB/s | 6.1 kB 00:00 updates 225 kB/s | 7.1 kB 00:00 Dependencies resolved. ============================================================================================================================================ Package Arch Version Repository Size ============================================================================================================================================ Installing: boost-devel ppc64le 1.83.0-7.fc41 copr_base 12 M boost-filesystem ppc64le 1.83.0-7.fc41 copr_base 70 k boost-iostreams ppc64le 1.83.0-7.fc41 copr_base 41 k boost-program-options ppc64le 1.83.0-7.fc41 copr_base 112 k boost-thread ppc64le 1.83.0-7.fc41 copr_base 63 k cmake ppc64le 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 M eigen3-devel noarch 3.4.0-15.fc40 copr_base 1.1 M gcc-c++ ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 M icestorm ppc64le 0-0.33.20240524gitc23e99c.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 M libglvnd-devel ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 157 k make ppc64le 1:4.4.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 596 k python3-devel ppc64le 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 275 k python3-setuptools noarch 69.2.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 M qt5-qtconfiguration-devel ppc64le 0.3.1-22.fc40 copr_base 15 k trellis-devel ppc64le 1.2.1-26.20240524git2dab009.fc41 copr_base 1.0 M Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k add-determinism ppc64le 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 912 k annobin-docs noarch 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 90 k annobin-plugin-gcc ppc64le 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 966 k avahi-libs ppc64le 0.8-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 72 k boost ppc64le 1.83.0-7.fc41 copr_base 14 k boost-atomic ppc64le 1.83.0-7.fc41 copr_base 19 k boost-chrono ppc64le 1.83.0-7.fc41 copr_base 26 k boost-container ppc64le 1.83.0-7.fc41 copr_base 42 k boost-context ppc64le 1.83.0-7.fc41 copr_base 17 k boost-contract ppc64le 1.83.0-7.fc41 copr_base 45 k boost-coroutine ppc64le 1.83.0-7.fc41 copr_base 21 k boost-date-time ppc64le 1.83.0-7.fc41 copr_base 16 k boost-fiber ppc64le 1.83.0-7.fc41 copr_base 44 k boost-graph ppc64le 1.83.0-7.fc41 copr_base 155 k boost-json ppc64le 1.83.0-7.fc41 copr_base 152 k boost-locale ppc64le 1.83.0-7.fc41 copr_base 233 k boost-log ppc64le 1.83.0-7.fc41 copr_base 513 k boost-math ppc64le 1.83.0-7.fc41 copr_base 316 k boost-nowide ppc64le 1.83.0-7.fc41 copr_base 21 k boost-numpy3 ppc64le 1.83.0-7.fc41 copr_base 29 k boost-python3 ppc64le 1.83.0-7.fc41 copr_base 117 k boost-random ppc64le 1.83.0-7.fc41 copr_base 25 k boost-regex ppc64le 1.83.0-7.fc41 copr_base 121 k boost-serialization ppc64le 1.83.0-7.fc41 copr_base 137 k boost-stacktrace ppc64le 1.83.0-7.fc41 copr_base 31 k boost-system ppc64le 1.83.0-7.fc41 copr_base 16 k boost-test ppc64le 1.83.0-7.fc41 copr_base 246 k boost-timer ppc64le 1.83.0-7.fc41 copr_base 41 k boost-type_erasure ppc64le 1.83.0-7.fc41 copr_base 34 k boost-wave ppc64le 1.83.0-7.fc41 copr_base 216 k cairo ppc64le 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 799 k cmake-data noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 M cmake-filesystem ppc64le 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k cmake-rpm-macros noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k cpp ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 M cups-libs ppc64le 1:2.4.8-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 315 k dbus ppc64le 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.9 k dbus-broker ppc64le 36-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 188 k dbus-common noarch 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k dbus-libs ppc64le 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 176 k dconf ppc64le 0.40.0-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116 k default-fonts-core-sans noarch 4.0-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k double-conversion ppc64le 3.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55 k duktape ppc64le 2.7.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 182 k emacs-filesystem noarch 1:30.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.1 k expat ppc64le 2.6.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 119 k flexiblas ppc64le 3.4.4-1.fc41 copr_base 26 k flexiblas-netlib ppc64le 3.4.4-1.fc41 copr_base 2.9 M flexiblas-openblas-openmp ppc64le 3.4.4-1.fc41 copr_base 17 k fontconfig ppc64le 2.15.0-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 303 k fonts-filesystem noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 k freetype ppc64le 2.13.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 472 k gc ppc64le 8.2.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k gcc ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 M gcc-plugin-annobin ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 54 k glib2 ppc64le 2.80.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.2 M glibc-devel ppc64le 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 562 k glx-utils ppc64le 9.0.0-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73 k gnutls ppc64le 3.8.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M google-noto-fonts-common noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k google-noto-sans-vf-fonts noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 594 k graphite2 ppc64le 1.3.14-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 105 k groff-base ppc64le 1.23.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M guile30 ppc64le 3.0.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.2 M harfbuzz ppc64le 8.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M jsoncpp ppc64le 1.9.5-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99 k kernel-headers ppc64le 6.10.0-0.rc2.24.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 M libICE ppc64le 1.1.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 81 k libSM ppc64le 1.2.4-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44 k libX11 ppc64le 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 699 k libX11-common noarch 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 145 k libX11-devel ppc64le 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 912 k libX11-xcb ppc64le 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k libXau ppc64le 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33 k libXau-devel ppc64le 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k libXext ppc64le 1.3.6-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k libXfixes ppc64le 6.0.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k libXrender ppc64le 0.9.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libXxf86vm ppc64le 1.1.5-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k libasan ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 514 k libatomic ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libb2 ppc64le 0.98.1-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k libdrm ppc64le 2.4.121-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 110 k libevdev ppc64le 1.13.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k libftdi ppc64le 1.5-13.fc41 copr_base 46 k libgfortran ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 630 k libglvnd ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 115 k libglvnd-core-devel ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k libglvnd-egl ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k libglvnd-gles ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k libglvnd-glx ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 140 k libglvnd-opengl ppc64le 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k libgudev ppc64le 238-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k libicu ppc64le 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 M libicu-devel ppc64le 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 856 k libinput ppc64le 1.26.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 225 k libjpeg-turbo ppc64le 3.0.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 275 k libmpc ppc64le 1.3.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96 k libpng ppc64le 2:1.6.40-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 138 k libproxy ppc64le 0.5.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50 k libquadmath ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 217 k libseccomp ppc64le 2.5.3-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k libstdc++-devel ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 M libubsan ppc64le 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 261 k libusb1 ppc64le 1.0.27-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83 k libuv ppc64le 1:1.48.0-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262 k libwacom ppc64le 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50 k libwacom-data noarch 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 178 k libwayland-client ppc64le 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k libwayland-server ppc64le 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44 k libxcb ppc64le 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 251 k libxcb-devel ppc64le 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M libxcrypt-devel ppc64le 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libxkbcommon ppc64le 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 157 k libxkbcommon-x11 ppc64le 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k libxshmfence ppc64le 1.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k mesa-libEGL ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 158 k mesa-libEGL-devel ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k mesa-libGL ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198 k mesa-libgbm ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53 k mesa-libglapi ppc64le 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53 k mpdecimal ppc64le 2.5.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 103 k mtdev ppc64le 1.1.6-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k ncurses ppc64le 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 424 k nettle ppc64le 3.9.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 460 k openblas ppc64le 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k openblas-openmp ppc64le 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 M pcre2-utf16 ppc64le 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 230 k perl-AutoLoader noarch 5.74-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k perl-B ppc64le 1.88-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 179 k perl-Carp noarch 1.54-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k perl-Class-Struct noarch 0.68-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Data-Dumper ppc64le 2.189-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 57 k perl-Digest noarch 1.20-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k perl-Digest-MD5 ppc64le 2.59-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k perl-DynaLoader ppc64le 1.54-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k perl-Encode ppc64le 4:3.21-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M perl-English noarch 1.11-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Errno ppc64le 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k perl-Exporter noarch 5.78-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k perl-Fcntl ppc64le 1.15-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k perl-File-Basename noarch 2.86-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k perl-File-Find noarch 1.43-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k perl-File-Path noarch 2.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35 k perl-File-Temp noarch 1:0.231.100-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 59 k perl-File-stat noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k perl-FileHandle noarch 2.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-Getopt-Long noarch 1:2.57-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63 k perl-Getopt-Std noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-HTTP-Tiny noarch 0.088-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56 k perl-IO ppc64le 1.52-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78 k perl-IO-Socket-IP noarch 0.42-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42 k perl-IO-Socket-SSL noarch 2.085-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 225 k perl-IPC-Open3 noarch 1.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-MIME-Base64 ppc64le 3.16-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k perl-Mozilla-CA noarch 20240313-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Net-SSLeay ppc64le 1.94-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 370 k perl-POSIX ppc64le 2.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 117 k perl-PathTools ppc64le 3.91-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83 k perl-Pod-Escapes noarch 1:1.07-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k perl-Pod-Perldoc noarch 3.28.01-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k perl-Pod-Simple noarch 1:3.45-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209 k perl-Pod-Usage noarch 4:2.03-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k perl-Scalar-List-Utils ppc64le 5:1.63-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 76 k perl-SelectSaver noarch 1.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k perl-Socket ppc64le 4:2.038-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56 k perl-Storable ppc64le 1:3.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 102 k perl-Symbol noarch 1.09-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Term-ANSIColor noarch 5.01-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48 k perl-Term-Cap noarch 1.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Text-ParseWords noarch 3.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Time-Local noarch 2:1.350-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k perl-URI noarch 5.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k perl-base noarch 2.27-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-constant noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23 k perl-if noarch 0.61.000-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-interpreter ppc64le 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 72 k perl-libnet noarch 3.15-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k perl-libs ppc64le 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 M perl-locale noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-mro ppc64le 1.28-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k perl-overload noarch 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 46 k perl-overloading noarch 0.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k perl-parent noarch 1:0.241-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k perl-podlators noarch 1:5.01-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 121 k perl-vars noarch 1.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k pixman ppc64le 0.43.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 235 k pyproject-rpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k python-pip-wheel noarch 24.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 M python-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k python3 ppc64le 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k python3-libs ppc64le 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 M python3-numpy ppc64le 1:1.26.4-7.fc41 copr_base 6.8 M python3-packaging noarch 24.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116 k python3-rpm-generators noarch 14-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k python3-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k qt-settings noarch 40.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 k qt5-qtbase ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.8 M qt5-qtbase-common noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k qt5-qtbase-devel ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.3 M qt5-qtbase-gui ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.9 M qt5-qtconfiguration ppc64le 0.3.1-22.fc40 copr_base 70 k qt5-qtdeclarative ppc64le 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.5 M qt5-rpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 k rhash ppc64le 1.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 208 k systemd ppc64le 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.3 M systemd-pam ppc64le 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 420 k systemd-rpm-macros noarch 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k trellis ppc64le 1.2.1-26.20240524git2dab009.fc41 copr_base 782 k trellis-data noarch 1.2.1-26.20240524git2dab009.fc41 copr_base 1.1 M tzdata noarch 2024a-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 431 k vim-filesystem noarch 2:9.1.452-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k vulkan-headers noarch 1.3.283.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 M vulkan-loader ppc64le 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 184 k vulkan-loader-devel ppc64le 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k xcb-util ppc64le 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k xcb-util-image ppc64le 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k xcb-util-keysyms ppc64le 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k xcb-util-renderutil ppc64le 0.3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k xcb-util-wm ppc64le 0.4.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k xkeyboard-config noarch 2.41-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 921 k xml-common noarch 0.6.3-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k xorg-x11-proto-devel noarch 2024.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 266 k Transaction Summary ============================================================================================================================================ Install 240 Packages Total size: 205 M Total download size: 46 M Installed size: 1.1 G Downloading Packages: [SKIPPED] boost-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-atomic-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-chrono-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-container-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-context-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-contract-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-coroutine-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-date-time-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-devel-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-fiber-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-filesystem-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-graph-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-iostreams-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-json-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-locale-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-log-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-math-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-nowide-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-numpy3-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-program-options-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-python3-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-random-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-regex-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-serialization-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-stacktrace-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-system-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-test-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-thread-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-timer-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-type_erasure-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] boost-wave-1.83.0-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] flexiblas-3.4.4-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] flexiblas-netlib-3.4.4-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] flexiblas-openblas-openmp-3.4.4-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] python3-numpy-1.26.4-7.fc41.ppc64le.rpm: Already downloaded [SKIPPED] abattis-cantarell-vf-fonts-0.301-12.fc40.noarch.rpm: Already downloaded [SKIPPED] add-determinism-0.2.0-9.fc41.ppc64le.rpm: Already downloaded [SKIPPED] annobin-docs-12.54-2.fc41.noarch.rpm: Already downloaded [SKIPPED] annobin-plugin-gcc-12.54-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] avahi-libs-0.8-26.fc40.ppc64le.rpm: Already downloaded [SKIPPED] cairo-1.18.0-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] cmake-3.28.3-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] cmake-data-3.28.3-5.fc41.noarch.rpm: Already downloaded [SKIPPED] cmake-filesystem-3.28.3-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] cmake-rpm-macros-3.28.3-5.fc41.noarch.rpm: Already downloaded [SKIPPED] cpp-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] cups-libs-2.4.8-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] dbus-libs-1.14.10-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] default-fonts-core-sans-4.0-13.fc41.noarch.rpm: Already downloaded [SKIPPED] emacs-filesystem-30.0-2.fc41.noarch.rpm: Already downloaded [SKIPPED] expat-2.6.2-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] fontconfig-2.15.0-6.fc41.ppc64le.rpm: Already downloaded [SKIPPED] fonts-filesystem-2.0.5-15.fc41.noarch.rpm: Already downloaded [SKIPPED] freetype-2.13.2-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] gc-8.2.2-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] gcc-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] gcc-c++-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] gcc-plugin-annobin-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] glib2-2.80.2-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] glibc-devel-2.39.9000-18.fc41.ppc64le.rpm: Already downloaded [SKIPPED] gnutls-3.8.5-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] google-noto-fonts-common-20240401-1.fc41.noarch.rpm: Already downloaded [SKIPPED] google-noto-sans-vf-fonts-20240401-1.fc41.noarch.rpm: Already downloaded [SKIPPED] graphite2-1.3.14-15.fc40.ppc64le.rpm: Already downloaded [SKIPPED] groff-base-1.23.0-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] guile30-3.0.9-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] harfbuzz-8.4.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] jsoncpp-1.9.5-7.fc40.ppc64le.rpm: Already downloaded [SKIPPED] kernel-headers-6.10.0-0.rc2.24.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libICE-1.1.1-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libSM-1.2.4-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libX11-1.8.9-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libX11-common-1.8.9-1.fc41.noarch.rpm: Already downloaded [SKIPPED] libXau-1.0.11-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libXext-1.3.6-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libXrender-0.9.11-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libasan-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libatomic-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libb2-0.98.1-11.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libgfortran-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libicu-74.2-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libicu-devel-74.2-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libjpeg-turbo-3.0.2-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libmpc-1.3.1-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libpng-1.6.40-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libquadmath-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libstdc++-devel-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libubsan-14.1.1-5.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libuv-1.48.0-1.fc40.ppc64le.rpm: Already downloaded [SKIPPED] libxcb-1.17.0-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] libxcrypt-devel-4.4.36-5.fc40.ppc64le.rpm: Already downloaded [SKIPPED] make-4.4.1-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] mpdecimal-2.5.1-9.fc40.ppc64le.rpm: Already downloaded [SKIPPED] ncurses-6.4-12.20240127.fc40.ppc64le.rpm: Already downloaded [SKIPPED] nettle-3.9.1-6.fc40.ppc64le.rpm: Already downloaded [SKIPPED] openblas-0.3.26-4.fc40.ppc64le.rpm: Already downloaded [SKIPPED] openblas-openmp-0.3.26-4.fc40.ppc64le.rpm: Already downloaded [SKIPPED] perl-AutoLoader-5.74-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-B-1.88-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Carp-1.54-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Struct-0.68-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Dumper-2.189-504.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Digest-1.20-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Digest-MD5-2.59-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] perl-DynaLoader-1.54-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Encode-3.21-505.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Errno-1.37-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Exporter-5.78-3.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Fcntl-1.15-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-File-Basename-2.86-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-File-Path-2.18-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Temp-0.231.100-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-stat-1.13-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-FileHandle-2.05-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Long-2.57-4.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Std-1.13-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Tiny-0.088-5.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IO-1.52-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-IO-Socket-IP-0.42-2.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IO-Socket-SSL-2.085-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IPC-Open3-1.22-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Base64-3.16-503.fc40.ppc64le.rpm: Already downloaded [SKIPPED] perl-Mozilla-CA-20240313-1.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Net-SSLeay-1.94-3.fc40.ppc64le.rpm: Already downloaded [SKIPPED] perl-POSIX-2.13-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-PathTools-3.91-503.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Pod-Escapes-1.07-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Perldoc-3.28.01-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Simple-3.45-6.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Usage-2.03-504.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Scalar-List-Utils-1.63-503.fc40.ppc64le.rpm: Already downloaded [SKIPPED] perl-SelectSaver-1.02-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Socket-2.038-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-Storable-3.32-502.fc40.ppc64le.rpm: Already downloaded [SKIPPED] perl-Symbol-1.09-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Term-ANSIColor-5.01-504.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Term-Cap-1.18-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Text-ParseWords-3.31-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Time-Local-1.350-5.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-URI-5.28-1.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-base-2.27-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-constant-1.33-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-if-0.61.000-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-interpreter-5.38.2-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-libnet-3.15-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-libs-5.38.2-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-locale-1.10-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-mro-1.28-507.fc41.ppc64le.rpm: Already downloaded [SKIPPED] perl-overload-1.37-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-overloading-0.02-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-parent-0.241-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-podlators-5.01-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-vars-1.05-507.fc41.noarch.rpm: Already downloaded [SKIPPED] pixman-0.43.4-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] pyproject-rpm-macros-1.12.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] python-pip-wheel-24.0-2.fc41.noarch.rpm: Already downloaded [SKIPPED] python-rpm-macros-3.12-9.fc41.noarch.rpm: Already downloaded [SKIPPED] python3-3.12.3-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] python3-devel-3.12.3-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] python3-libs-3.12.3-2.fc41.ppc64le.rpm: Already downloaded [SKIPPED] python3-packaging-24.0-1.fc41.noarch.rpm: Already downloaded [SKIPPED] python3-rpm-generators-14-10.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-rpm-macros-3.12-9.fc41.noarch.rpm: Already downloaded [SKIPPED] python3-setuptools-69.2.0-3.fc41.noarch.rpm: Already downloaded [SKIPPED] rhash-1.4.4-1.fc41.ppc64le.rpm: Already downloaded [SKIPPED] tzdata-2024a-8.fc41.noarch.rpm: Already downloaded [SKIPPED] vim-filesystem-9.1.452-1.fc41.noarch.rpm: Already downloaded [SKIPPED] xml-common-0.6.3-63.fc40.noarch.rpm: Already downloaded (170/240): eigen3-devel-3.4.0-15.fc40.noarch.rp 10 MB/s | 1.1 MB 00:00 (171/240): libftdi-1.5-13.fc41.ppc64le.rpm 370 kB/s | 46 kB 00:00 (172/240): qt5-qtconfiguration-0.3.1-22.fc40.pp 492 kB/s | 70 kB 00:00 (173/240): qt5-qtconfiguration-devel-0.3.1-22.f 369 kB/s | 15 kB 00:00 (174/240): trellis-1.2.1-26.20240524git2dab009. 6.7 MB/s | 782 kB 00:00 (175/240): trellis-data-1.2.1-26.20240524git2da 9.7 MB/s | 1.1 MB 00:00 (176/240): trellis-devel-1.2.1-26.20240524git2d 9.0 MB/s | 1.0 MB 00:00 (177/240): dbus-1.14.10-3.fc40.ppc64le.rpm 114 kB/s | 7.9 kB 00:00 (178/240): dbus-common-1.14.10-3.fc40.noarch.rp 190 kB/s | 15 kB 00:00 (179/240): dbus-broker-36-2.fc41.ppc64le.rpm 2.0 MB/s | 188 kB 00:00 (180/240): dconf-0.40.0-12.fc40.ppc64le.rpm 2.2 MB/s | 116 kB 00:00 (181/240): double-conversion-3.3.0-3.fc40.ppc64 2.0 MB/s | 55 kB 00:00 (182/240): glx-utils-9.0.0-7.fc41.ppc64le.rpm 2.6 MB/s | 73 kB 00:00 (183/240): duktape-2.7.0-7.fc40.ppc64le.rpm 2.7 MB/s | 182 kB 00:00 (184/240): libX11-xcb-1.8.9-1.fc41.ppc64le.rpm 545 kB/s | 12 kB 00:00 (185/240): libX11-devel-1.8.9-1.fc41.ppc64le.rp 17 MB/s | 912 kB 00:00 (186/240): libXau-devel-1.0.11-6.fc40.ppc64le.r 558 kB/s | 14 kB 00:00 (187/240): libXfixes-6.0.1-3.fc40.ppc64le.rpm 945 kB/s | 20 kB 00:00 (188/240): libXxf86vm-1.1.5-6.fc40.ppc64le.rpm 782 kB/s | 18 kB 00:00 (189/240): libdrm-2.4.121-1.fc41.ppc64le.rpm 5.0 MB/s | 110 kB 00:00 (190/240): libevdev-1.13.2-2.fc41.ppc64le.rpm 1.6 MB/s | 40 kB 00:00 (191/240): libglvnd-1.7.0-4.fc40.ppc64le.rpm 5.3 MB/s | 115 kB 00:00 (192/240): libglvnd-core-devel-1.7.0-4.fc40.ppc 783 kB/s | 17 kB 00:00 (193/240): libglvnd-devel-1.7.0-4.fc40.ppc64le. 6.3 MB/s | 157 kB 00:00 (194/240): libglvnd-egl-1.7.0-4.fc40.ppc64le.rp 1.7 MB/s | 41 kB 00:00 (195/240): libglvnd-gles-1.7.0-4.fc40.ppc64le.r 1.4 MB/s | 31 kB 00:00 (196/240): libglvnd-opengl-1.7.0-4.fc40.ppc64le 1.6 MB/s | 41 kB 00:00 (197/240): libglvnd-glx-1.7.0-4.fc40.ppc64le.rp 4.9 MB/s | 140 kB 00:00 (198/240): libgudev-238-5.fc40.ppc64le.rpm 1.2 MB/s | 34 kB 00:00 (199/240): libinput-1.26.0-1.fc41.ppc64le.rpm 7.3 MB/s | 225 kB 00:00 (200/240): libproxy-0.5.5-1.fc41.ppc64le.rpm 1.7 MB/s | 50 kB 00:00 (201/240): libseccomp-2.5.3-8.fc40.ppc64le.rpm 2.8 MB/s | 79 kB 00:00 (202/240): libusb1-1.0.27-2.fc41.ppc64le.rpm 3.7 MB/s | 83 kB 00:00 (203/240): libwacom-2.12.0-1.fc41.ppc64le.rpm 1.8 MB/s | 50 kB 00:00 (204/240): libwacom-data-2.12.0-1.fc41.noarch.r 6.7 MB/s | 178 kB 00:00 (205/240): libwayland-client-1.22.0-3.fc40.ppc6 1.5 MB/s | 34 kB 00:00 (206/240): icestorm-0-0.33.20240524gitc23e99c.f 26 MB/s | 10 MB 00:00 (207/240): libwayland-server-1.22.0-3.fc40.ppc6 602 kB/s | 44 kB 00:00 (208/240): libxcb-devel-1.17.0-1.fc41.ppc64le.r 12 MB/s | 1.1 MB 00:00 (209/240): libxkbcommon-1.7.0-1.fc41.ppc64le.rp 6.9 MB/s | 157 kB 00:00 (210/240): libxkbcommon-x11-1.7.0-1.fc41.ppc64l 803 kB/s | 22 kB 00:00 (211/240): libxshmfence-1.3.2-3.fc40.ppc64le.rp 573 kB/s | 12 kB 00:00 (212/240): mesa-libEGL-24.1.1-2.fc41.ppc64le.rp 4.2 MB/s | 158 kB 00:00 (213/240): mesa-libEGL-devel-24.1.1-2.fc41.ppc6 737 kB/s | 24 kB 00:00 (214/240): mesa-libGL-24.1.1-2.fc41.ppc64le.rpm 4.3 MB/s | 198 kB 00:00 (215/240): mesa-libglapi-24.1.1-2.fc41.ppc64le. 1.2 MB/s | 53 kB 00:00 (216/240): mesa-libgbm-24.1.1-2.fc41.ppc64le.rp 1.2 MB/s | 53 kB 00:00 (217/240): mtdev-1.1.6-8.fc40.ppc64le.rpm 636 kB/s | 22 kB 00:00 (218/240): perl-English-1.11-507.fc41.noarch.rp 581 kB/s | 14 kB 00:00 (219/240): pcre2-utf16-10.43-2.fc41.1.ppc64le.r 7.5 MB/s | 230 kB 00:00 (220/240): perl-File-Find-1.43-507.fc41.noarch. 891 kB/s | 25 kB 00:00 (221/240): qt-settings-40.0-1.fc41.noarch.rpm 261 kB/s | 10 kB 00:00 (222/240): qt5-qtbase-common-5.15.14-1.fc41.noa 185 kB/s | 12 kB 00:00 (223/240): qt5-qtbase-5.15.14-1.fc41.ppc64le.rp 27 MB/s | 3.8 MB 00:00 (224/240): qt5-qtbase-devel-5.15.14-1.fc41.ppc6 24 MB/s | 3.3 MB 00:00 (225/240): qt5-rpm-macros-5.15.14-1.fc41.noarch 188 kB/s | 11 kB 00:00 (226/240): qt5-qtdeclarative-5.15.14-1.fc41.ppc 32 MB/s | 4.5 MB 00:00 (227/240): qt5-qtbase-gui-5.15.14-1.fc41.ppc64l 22 MB/s | 6.9 MB 00:00 (228/240): systemd-pam-256~rc4-2.fc41.ppc64le.r 3.6 MB/s | 420 kB 00:00 (229/240): systemd-rpm-macros-256~rc4-2.fc41.no 989 kB/s | 32 kB 00:00 (230/240): vulkan-headers-1.3.283.0-1.fc41.noar 24 MB/s | 1.2 MB 00:00 (231/240): systemd-256~rc4-2.fc41.ppc64le.rpm 21 MB/s | 5.3 MB 00:00 (232/240): vulkan-loader-1.3.283.0-2.fc41.ppc64 3.3 MB/s | 184 kB 00:00 (233/240): vulkan-loader-devel-1.3.283.0-2.fc41 266 kB/s | 12 kB 00:00 (234/240): xcb-util-image-0.4.1-5.fc40.ppc64le. 665 kB/s | 20 kB 00:00 (235/240): xcb-util-0.4.1-5.fc40.ppc64le.rpm 451 kB/s | 18 kB 00:00 (236/240): xcb-util-keysyms-0.4.1-5.fc40.ppc64l 566 kB/s | 15 kB 00:00 (237/240): xcb-util-renderutil-0.3.10-5.fc40.pp 735 kB/s | 18 kB 00:00 (238/240): xcb-util-wm-0.4.2-5.fc40.ppc64le.rpm 1.2 MB/s | 32 kB 00:00 (239/240): xkeyboard-config-2.41-1.fc40.noarch. 19 MB/s | 921 kB 00:00 (240/240): xorg-x11-proto-devel-2024.1-2.fc41.n 7.1 MB/s | 266 kB 00:00 -------------------------------------------------------------------------------- Total 31 MB/s | 46 MB 00:01 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : boost-system-1.83.0-7.fc41.ppc64le 1/240 Installing : boost-thread-1.83.0-7.fc41.ppc64le 2/240 Installing : cmake-filesystem-3.28.3-5.fc41.ppc64le 3/240 Installing : boost-chrono-1.83.0-7.fc41.ppc64le 4/240 Installing : libicu-74.2-1.fc40.ppc64le 5/240 Installing : expat-2.6.2-1.fc41.ppc64le 6/240 Installing : libglvnd-1:1.7.0-4.fc40.ppc64le 7/240 Installing : libdrm-2.4.121-1.fc41.ppc64le 8/240 Installing : libX11-xcb-1.8.9-1.fc41.ppc64le 9/240 Installing : boost-regex-1.83.0-7.fc41.ppc64le 10/240 Installing : python-rpm-macros-3.12-9.fc41.noarch 11/240 Installing : libpng-2:1.6.40-3.fc40.ppc64le 12/240 Installing : libmpc-1.3.1-5.fc40.ppc64le 13/240 Installing : fonts-filesystem-1:2.0.5-15.fc41.noarch 14/240 Installing : boost-context-1.83.0-7.fc41.ppc64le 15/240 Installing : boost-atomic-1.83.0-7.fc41.ppc64le 16/240 Installing : boost-filesystem-1.83.0-7.fc41.ppc64le 17/240 Installing : python3-rpm-macros-3.12-9.fc41.noarch 18/240 Installing : xorg-x11-proto-devel-2024.1-2.fc41.noarch 19/240 Installing : mesa-libglapi-24.1.1-2.fc41.ppc64le 20/240 Installing : libxshmfence-1.3.2-3.fc40.ppc64le 21/240 Installing : libwayland-server-1.22.0-3.fc40.ppc64le 22/240 Installing : libgfortran-14.1.1-5.fc41.ppc64le 23/240 Installing : libevdev-1.13.2-2.fc41.ppc64le 24/240 Installing : libXau-1.0.11-6.fc40.ppc64le 25/240 Installing : libxcb-1.17.0-1.fc41.ppc64le 26/240 Installing : mesa-libgbm-24.1.1-2.fc41.ppc64le 27/240 Installing : libICE-1.1.1-3.fc40.ppc64le 28/240 Installing : dbus-libs-1:1.14.10-3.fc40.ppc64le 29/240 Installing : trellis-data-1.2.1-26.20240524git2dab009.fc41.no 30/240 Installing : boost-program-options-1.83.0-7.fc41.ppc64le 31/240 Installing : boost-date-time-1.83.0-7.fc41.ppc64le 32/240 Installing : boost-container-1.83.0-7.fc41.ppc64le 33/240 Installing : boost-json-1.83.0-7.fc41.ppc64le 34/240 Installing : boost-wave-1.83.0-7.fc41.ppc64le 35/240 Installing : trellis-1.2.1-26.20240524git2dab009.fc41.ppc64le 36/240 Installing : avahi-libs-0.8-26.fc40.ppc64le 37/240 Installing : libSM-1.2.4-3.fc40.ppc64le 38/240 Installing : xcb-util-0.4.1-5.fc40.ppc64le 39/240 Installing : xcb-util-image-0.4.1-5.fc40.ppc64le 40/240 Installing : xcb-util-keysyms-0.4.1-5.fc40.ppc64le 41/240 Installing : xcb-util-renderutil-0.3.10-5.fc40.ppc64le 42/240 Installing : xcb-util-wm-0.4.2-5.fc40.ppc64le 43/240 Installing : libXau-devel-1.0.11-6.fc40.ppc64le 44/240 Installing : libxcb-devel-1.17.0-1.fc41.ppc64le 45/240 Installing : pyproject-rpm-macros-1.12.1-1.fc41.noarch 46/240 Installing : boost-fiber-1.83.0-7.fc41.ppc64le 47/240 Installing : boost-log-1.83.0-7.fc41.ppc64le 48/240 Installing : boost-coroutine-1.83.0-7.fc41.ppc64le 49/240 Installing : abattis-cantarell-vf-fonts-0.301-12.fc40.noarch 50/240 Installing : cpp-14.1.1-5.fc41.ppc64le 51/240 Installing : boost-graph-1.83.0-7.fc41.ppc64le 52/240 Installing : libglvnd-opengl-1:1.7.0-4.fc40.ppc64le 53/240 Installing : boost-locale-1.83.0-7.fc41.ppc64le 54/240 Installing : libicu-devel-74.2-1.fc40.ppc64le 55/240 Installing : boost-timer-1.83.0-7.fc41.ppc64le 56/240 Installing : boost-type_erasure-1.83.0-7.fc41.ppc64le 57/240 Running scriptlet: xml-common-0.6.3-63.fc40.noarch 58/240 Installing : xml-common-0.6.3-63.fc40.noarch 58/240 Installing : xkeyboard-config-2.41-1.fc40.noarch 59/240 Installing : libxkbcommon-1.7.0-1.fc41.ppc64le 60/240 Installing : libxkbcommon-x11-1.7.0-1.fc41.ppc64le 61/240 Installing : vulkan-loader-1.3.283.0-2.fc41.ppc64le 62/240 Installing : vim-filesystem-2:9.1.452-1.fc41.noarch 63/240 Installing : tzdata-2024a-8.fc41.noarch 64/240 Installing : rhash-1.4.4-1.fc41.ppc64le 65/240 Installing : qt-settings-40.0-1.fc41.noarch 66/240 Installing : python-pip-wheel-24.0-2.fc41.noarch 67/240 Installing : pixman-0.43.4-1.fc41.ppc64le 68/240 Installing : pcre2-utf16-10.43-2.fc41.1.ppc64le 69/240 Installing : openblas-0.3.26-4.fc40.ppc64le 70/240 Installing : openblas-openmp-0.3.26-4.fc40.ppc64le 71/240 Installing : nettle-3.9.1-6.fc40.ppc64le 72/240 Installing : gnutls-3.8.5-2.fc41.ppc64le 73/240 Installing : glib2-2.80.2-1.fc41.ppc64le 74/240 Installing : libgudev-238-5.fc40.ppc64le 75/240 Installing : cups-libs-1:2.4.8-5.fc41.ppc64le 76/240 Installing : ncurses-6.4-12.20240127.fc40.ppc64le 77/240 Installing : mtdev-1.1.6-8.fc40.ppc64le 78/240 Installing : mpdecimal-2.5.1-9.fc40.ppc64le 79/240 Installing : libwayland-client-1.22.0-3.fc40.ppc64le 80/240 Installing : libglvnd-egl-1:1.7.0-4.fc40.ppc64le 81/240 Installing : mesa-libEGL-24.1.1-2.fc41.ppc64le 82/240 Installing : libglvnd-gles-1:1.7.0-4.fc40.ppc64le 83/240 Installing : libwacom-data-2.12.0-1.fc41.noarch 84/240 Installing : libuv-1:1.48.0-1.fc40.ppc64le 85/240 Installing : libusb1-1.0.27-2.fc41.ppc64le 86/240 Installing : libubsan-14.1.1-5.fc41.ppc64le 87/240 Installing : libstdc++-devel-14.1.1-5.fc41.ppc64le 88/240 Installing : libseccomp-2.5.3-8.fc40.ppc64le 89/240 Installing : libquadmath-14.1.1-5.fc41.ppc64le 90/240 Installing : flexiblas-netlib-3.4.4-1.fc41.ppc64le 91/240 Installing : flexiblas-openblas-openmp-3.4.4-1.fc41.ppc64le 92/240 Installing : flexiblas-3.4.4-1.fc41.ppc64le 93/240 Installing : libjpeg-turbo-3.0.2-2.fc41.ppc64le 94/240 Installing : libglvnd-core-devel-1:1.7.0-4.fc40.ppc64le 95/240 Installing : libb2-0.98.1-11.fc40.ppc64le 96/240 Installing : python3-3.12.3-2.fc41.ppc64le 97/240 Installing : python3-libs-3.12.3-2.fc41.ppc64le 98/240 Installing : boost-python3-1.83.0-7.fc41.ppc64le 99/240 Installing : cmake-rpm-macros-3.28.3-5.fc41.noarch 100/240 Installing : python3-numpy-1:1.26.4-7.fc41.ppc64le 101/240 Installing : boost-numpy3-1.83.0-7.fc41.ppc64le 102/240 Installing : libwacom-2.12.0-1.fc41.ppc64le 103/240 Installing : libinput-1.26.0-1.fc41.ppc64le 104/240 Running scriptlet: libinput-1.26.0-1.fc41.ppc64le 104/240 Installing : python3-packaging-24.0-1.fc41.noarch 105/240 Installing : python3-rpm-generators-14-10.fc40.noarch 106/240 Installing : vulkan-headers-1.3.283.0-1.fc41.noarch 107/240 Installing : vulkan-loader-devel-1.3.283.0-2.fc41.ppc64le 108/240 Installing : libatomic-14.1.1-5.fc41.ppc64le 109/240 Installing : libasan-14.1.1-5.fc41.ppc64le 110/240 Installing : libX11-common-1.8.9-1.fc41.noarch 111/240 Installing : libX11-1.8.9-1.fc41.ppc64le 112/240 Installing : libXext-1.3.6-1.fc40.ppc64le 113/240 Installing : libXxf86vm-1.1.5-6.fc40.ppc64le 114/240 Installing : libX11-devel-1.8.9-1.fc41.ppc64le 115/240 Installing : libXfixes-6.0.1-3.fc40.ppc64le 116/240 Installing : libglvnd-glx-1:1.7.0-4.fc40.ppc64le 117/240 Installing : mesa-libGL-24.1.1-2.fc41.ppc64le 118/240 Installing : libglvnd-devel-1:1.7.0-4.fc40.ppc64le 119/240 Installing : mesa-libEGL-devel-24.1.1-2.fc41.ppc64le 120/240 Installing : glx-utils-9.0.0-7.fc41.ppc64le 121/240 Installing : libXrender-0.9.11-6.fc40.ppc64le 122/240 Installing : kernel-headers-6.10.0-0.rc2.24.fc41.ppc64le 123/240 Installing : libxcrypt-devel-4.4.36-5.fc40.ppc64le 124/240 Installing : glibc-devel-2.39.9000-18.fc41.ppc64le 125/240 Installing : jsoncpp-1.9.5-7.fc40.ppc64le 126/240 Running scriptlet: groff-base-1.23.0-6.fc40.ppc64le 127/240 Installing : groff-base-1.23.0-6.fc40.ppc64le 127/240 Running scriptlet: groff-base-1.23.0-6.fc40.ppc64le 127/240 Installing : perl-Digest-1.20-502.fc40.noarch 128/240 Installing : perl-Digest-MD5-2.59-3.fc40.ppc64le 129/240 Installing : perl-B-1.88-507.fc41.ppc64le 130/240 Installing : perl-FileHandle-2.05-507.fc41.noarch 131/240 Installing : perl-Data-Dumper-2.189-504.fc41.ppc64le 132/240 Installing : perl-libnet-3.15-503.fc40.noarch 133/240 Installing : perl-AutoLoader-5.74-507.fc41.noarch 134/240 Installing : perl-URI-5.28-1.fc41.noarch 135/240 Installing : perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch 136/240 Installing : perl-Mozilla-CA-20240313-1.fc41.noarch 137/240 Installing : perl-if-0.61.000-507.fc41.noarch 138/240 Installing : perl-locale-1.10-507.fc41.noarch 139/240 Installing : perl-IO-Socket-IP-0.42-2.fc40.noarch 140/240 Installing : perl-Time-Local-2:1.350-5.fc40.noarch 141/240 Installing : perl-File-Path-2.18-503.fc40.noarch 142/240 Installing : perl-IO-Socket-SSL-2.085-1.fc40.noarch 143/240 Installing : perl-Net-SSLeay-1.94-3.fc40.ppc64le 144/240 Installing : perl-Pod-Escapes-1:1.07-503.fc40.noarch 145/240 Installing : perl-Class-Struct-0.68-507.fc41.noarch 146/240 Installing : perl-Term-ANSIColor-5.01-504.fc40.noarch 147/240 Installing : perl-POSIX-2.13-507.fc41.ppc64le 148/240 Installing : perl-IPC-Open3-1.22-507.fc41.noarch 149/240 Installing : perl-File-Temp-1:0.231.100-503.fc40.noarch 150/240 Installing : perl-HTTP-Tiny-0.088-5.fc40.noarch 151/240 Installing : perl-Term-Cap-1.18-503.fc40.noarch 152/240 Installing : perl-Pod-Simple-1:3.45-6.fc40.noarch 153/240 Installing : perl-Socket-4:2.038-1.fc41.ppc64le 154/240 Installing : perl-SelectSaver-1.02-507.fc41.noarch 155/240 Installing : perl-Symbol-1.09-507.fc41.noarch 156/240 Installing : perl-File-stat-1.13-507.fc41.noarch 157/240 Installing : perl-podlators-1:5.01-502.fc40.noarch 158/240 Installing : perl-Pod-Perldoc-3.28.01-503.fc40.noarch 159/240 Installing : perl-Fcntl-1.15-507.fc41.ppc64le 160/240 Installing : perl-Text-ParseWords-3.31-502.fc40.noarch 161/240 Installing : perl-base-2.27-507.fc41.noarch 162/240 Installing : perl-mro-1.28-507.fc41.ppc64le 163/240 Installing : perl-IO-1.52-507.fc41.ppc64le 164/240 Installing : perl-overloading-0.02-507.fc41.noarch 165/240 Installing : perl-Pod-Usage-4:2.03-504.fc41.noarch 166/240 Installing : perl-Errno-1.37-507.fc41.ppc64le 167/240 Installing : perl-File-Basename-2.86-507.fc41.noarch 168/240 Installing : perl-Getopt-Std-1.13-507.fc41.noarch 169/240 Installing : perl-MIME-Base64-3.16-503.fc40.ppc64le 170/240 Installing : perl-Scalar-List-Utils-5:1.63-503.fc40.ppc64le 171/240 Installing : perl-constant-1.33-503.fc40.noarch 172/240 Installing : perl-Storable-1:3.32-502.fc40.ppc64le 173/240 Installing : perl-overload-1.37-507.fc41.noarch 174/240 Installing : perl-parent-1:0.241-502.fc40.noarch 175/240 Installing : perl-vars-1.05-507.fc41.noarch 176/240 Installing : perl-Getopt-Long-1:2.57-4.fc41.noarch 177/240 Installing : perl-Carp-1.54-502.fc40.noarch 178/240 Installing : perl-Exporter-5.78-3.fc40.noarch 179/240 Installing : perl-PathTools-3.91-503.fc41.ppc64le 180/240 Installing : perl-DynaLoader-1.54-507.fc41.ppc64le 181/240 Installing : perl-Encode-4:3.21-505.fc41.ppc64le 182/240 Installing : perl-libs-4:5.38.2-507.fc41.ppc64le 183/240 Installing : perl-interpreter-4:5.38.2-507.fc41.ppc64le 184/240 Installing : perl-English-1.11-507.fc41.noarch 185/240 Installing : perl-File-Find-1.43-507.fc41.noarch 186/240 Installing : graphite2-1.3.14-15.fc40.ppc64le 187/240 Installing : google-noto-fonts-common-20240401-1.fc41.noarch 188/240 Installing : google-noto-sans-vf-fonts-20240401-1.fc41.noarch 189/240 Installing : default-fonts-core-sans-4.0-13.fc41.noarch 190/240 Installing : cairo-1.18.0-3.fc40.ppc64le 191/240 Installing : harfbuzz-8.4.0-1.fc41.ppc64le 192/240 Installing : freetype-2.13.2-5.fc40.ppc64le 193/240 Installing : fontconfig-2.15.0-6.fc41.ppc64le 194/240 Running scriptlet: fontconfig-2.15.0-6.fc41.ppc64le 194/240 Installing : gc-8.2.2-6.fc40.ppc64le 195/240 Installing : guile30-3.0.9-1.fc41.ppc64le 196/240 Installing : make-1:4.4.1-6.fc40.ppc64le 197/240 Installing : gcc-14.1.1-5.fc41.ppc64le 198/240 Running scriptlet: gcc-14.1.1-5.fc41.ppc64le 198/240 Installing : gcc-c++-14.1.1-5.fc41.ppc64le 199/240 Installing : emacs-filesystem-1:30.0-2.fc41.noarch 200/240 Installing : cmake-data-3.28.3-5.fc41.noarch 201/240 Installing : cmake-3.28.3-5.fc41.ppc64le 202/240 Installing : qt5-rpm-macros-5.15.14-1.fc41.noarch 203/240 Installing : duktape-2.7.0-7.fc40.ppc64le 204/240 Installing : libproxy-0.5.5-1.fc41.ppc64le 205/240 Installing : double-conversion-3.3.0-3.fc40.ppc64le 206/240 Installing : qt5-qtbase-common-5.15.14-1.fc41.noarch 207/240 Running scriptlet: qt5-qtbase-5.15.14-1.fc41.ppc64le 208/240 Installing : qt5-qtbase-5.15.14-1.fc41.ppc64le 208/240 Running scriptlet: qt5-qtbase-5.15.14-1.fc41.ppc64le 208/240 Installing : qt5-qtbase-gui-5.15.14-1.fc41.ppc64le 209/240 Installing : qt5-qtbase-devel-5.15.14-1.fc41.ppc64le 210/240 Installing : qt5-qtdeclarative-5.15.14-1.fc41.ppc64le 211/240 Installing : dbus-common-1:1.14.10-3.fc40.noarch 212/240 Running scriptlet: dbus-common-1:1.14.10-3.fc40.noarch 212/240 Running scriptlet: dbus-broker-36-2.fc41.ppc64le 213/240 Installing : dbus-broker-36-2.fc41.ppc64le 213/240 Running scriptlet: dbus-broker-36-2.fc41.ppc64le 213/240 Installing : dbus-1:1.14.10-3.fc40.ppc64le 214/240 Installing : systemd-pam-256~rc4-2.fc41.ppc64le 215/240 Installing : systemd-256~rc4-2.fc41.ppc64le 216/240 Running scriptlet: systemd-256~rc4-2.fc41.ppc64le 216/240 Creating group 'systemd-journal' with GID 190. Creating group 'systemd-oom' with GID 999. Creating user 'systemd-oom' (systemd Userspace OOM Killer) with UID 999 and GID 999. Installing : libftdi-1.5-13.fc41.ppc64le 217/240 Installing : dconf-0.40.0-12.fc40.ppc64le 218/240 Running scriptlet: dconf-0.40.0-12.fc40.ppc64le 218/240 Installing : qt5-qtconfiguration-0.3.1-22.fc40.ppc64le 219/240 Installing : annobin-docs-12.54-2.fc41.noarch 220/240 Installing : boost-test-1.83.0-7.fc41.ppc64le 221/240 Installing : boost-stacktrace-1.83.0-7.fc41.ppc64le 222/240 Installing : boost-serialization-1.83.0-7.fc41.ppc64le 223/240 Installing : boost-random-1.83.0-7.fc41.ppc64le 224/240 Installing : boost-nowide-1.83.0-7.fc41.ppc64le 225/240 Installing : boost-math-1.83.0-7.fc41.ppc64le 226/240 Installing : boost-iostreams-1.83.0-7.fc41.ppc64le 227/240 Installing : boost-contract-1.83.0-7.fc41.ppc64le 228/240 Installing : boost-1.83.0-7.fc41.ppc64le 229/240 Installing : boost-devel-1.83.0-7.fc41.ppc64le 230/240 Installing : annobin-plugin-gcc-12.54-2.fc41.ppc64le 231/240 Running scriptlet: annobin-plugin-gcc-12.54-2.fc41.ppc64le 231/240 Installing : qt5-qtconfiguration-devel-0.3.1-22.fc40.ppc64le 232/240 Installing : icestorm-0-0.33.20240524gitc23e99c.fc41.ppc64le 233/240 Installing : gcc-plugin-annobin-14.1.1-5.fc41.ppc64le 234/240 Running scriptlet: gcc-plugin-annobin-14.1.1-5.fc41.ppc64le 234/240 Installing : python3-devel-3.12.3-2.fc41.ppc64le 235/240 Installing : trellis-devel-1.2.1-26.20240524git2dab009.fc41.p 236/240 Installing : add-determinism-0.2.0-9.fc41.ppc64le 237/240 Installing : python3-setuptools-69.2.0-3.fc41.noarch 238/240 Installing : eigen3-devel-3.4.0-15.fc40.noarch 239/240 Installing : systemd-rpm-macros-256~rc4-2.fc41.noarch 240/240 Running scriptlet: fontconfig-2.15.0-6.fc41.ppc64le 240/240 Running scriptlet: dconf-0.40.0-12.fc40.ppc64le 240/240 Running scriptlet: systemd-rpm-macros-256~rc4-2.fc41.noarch 240/240 Installed: abattis-cantarell-vf-fonts-0.301-12.fc40.noarch add-determinism-0.2.0-9.fc41.ppc64le annobin-docs-12.54-2.fc41.noarch annobin-plugin-gcc-12.54-2.fc41.ppc64le avahi-libs-0.8-26.fc40.ppc64le boost-1.83.0-7.fc41.ppc64le boost-atomic-1.83.0-7.fc41.ppc64le boost-chrono-1.83.0-7.fc41.ppc64le boost-container-1.83.0-7.fc41.ppc64le boost-context-1.83.0-7.fc41.ppc64le boost-contract-1.83.0-7.fc41.ppc64le boost-coroutine-1.83.0-7.fc41.ppc64le boost-date-time-1.83.0-7.fc41.ppc64le boost-devel-1.83.0-7.fc41.ppc64le boost-fiber-1.83.0-7.fc41.ppc64le boost-filesystem-1.83.0-7.fc41.ppc64le boost-graph-1.83.0-7.fc41.ppc64le boost-iostreams-1.83.0-7.fc41.ppc64le boost-json-1.83.0-7.fc41.ppc64le boost-locale-1.83.0-7.fc41.ppc64le boost-log-1.83.0-7.fc41.ppc64le boost-math-1.83.0-7.fc41.ppc64le boost-nowide-1.83.0-7.fc41.ppc64le boost-numpy3-1.83.0-7.fc41.ppc64le boost-program-options-1.83.0-7.fc41.ppc64le boost-python3-1.83.0-7.fc41.ppc64le boost-random-1.83.0-7.fc41.ppc64le boost-regex-1.83.0-7.fc41.ppc64le boost-serialization-1.83.0-7.fc41.ppc64le boost-stacktrace-1.83.0-7.fc41.ppc64le boost-system-1.83.0-7.fc41.ppc64le boost-test-1.83.0-7.fc41.ppc64le boost-thread-1.83.0-7.fc41.ppc64le boost-timer-1.83.0-7.fc41.ppc64le boost-type_erasure-1.83.0-7.fc41.ppc64le boost-wave-1.83.0-7.fc41.ppc64le cairo-1.18.0-3.fc40.ppc64le cmake-3.28.3-5.fc41.ppc64le cmake-data-3.28.3-5.fc41.noarch cmake-filesystem-3.28.3-5.fc41.ppc64le cmake-rpm-macros-3.28.3-5.fc41.noarch cpp-14.1.1-5.fc41.ppc64le cups-libs-1:2.4.8-5.fc41.ppc64le dbus-1:1.14.10-3.fc40.ppc64le dbus-broker-36-2.fc41.ppc64le dbus-common-1:1.14.10-3.fc40.noarch dbus-libs-1:1.14.10-3.fc40.ppc64le dconf-0.40.0-12.fc40.ppc64le default-fonts-core-sans-4.0-13.fc41.noarch double-conversion-3.3.0-3.fc40.ppc64le duktape-2.7.0-7.fc40.ppc64le eigen3-devel-3.4.0-15.fc40.noarch emacs-filesystem-1:30.0-2.fc41.noarch expat-2.6.2-1.fc41.ppc64le flexiblas-3.4.4-1.fc41.ppc64le flexiblas-netlib-3.4.4-1.fc41.ppc64le flexiblas-openblas-openmp-3.4.4-1.fc41.ppc64le fontconfig-2.15.0-6.fc41.ppc64le fonts-filesystem-1:2.0.5-15.fc41.noarch freetype-2.13.2-5.fc40.ppc64le gc-8.2.2-6.fc40.ppc64le gcc-14.1.1-5.fc41.ppc64le gcc-c++-14.1.1-5.fc41.ppc64le gcc-plugin-annobin-14.1.1-5.fc41.ppc64le glib2-2.80.2-1.fc41.ppc64le glibc-devel-2.39.9000-18.fc41.ppc64le glx-utils-9.0.0-7.fc41.ppc64le gnutls-3.8.5-2.fc41.ppc64le google-noto-fonts-common-20240401-1.fc41.noarch google-noto-sans-vf-fonts-20240401-1.fc41.noarch graphite2-1.3.14-15.fc40.ppc64le groff-base-1.23.0-6.fc40.ppc64le guile30-3.0.9-1.fc41.ppc64le harfbuzz-8.4.0-1.fc41.ppc64le icestorm-0-0.33.20240524gitc23e99c.fc41.ppc64le jsoncpp-1.9.5-7.fc40.ppc64le kernel-headers-6.10.0-0.rc2.24.fc41.ppc64le libICE-1.1.1-3.fc40.ppc64le libSM-1.2.4-3.fc40.ppc64le libX11-1.8.9-1.fc41.ppc64le libX11-common-1.8.9-1.fc41.noarch libX11-devel-1.8.9-1.fc41.ppc64le libX11-xcb-1.8.9-1.fc41.ppc64le libXau-1.0.11-6.fc40.ppc64le libXau-devel-1.0.11-6.fc40.ppc64le libXext-1.3.6-1.fc40.ppc64le libXfixes-6.0.1-3.fc40.ppc64le libXrender-0.9.11-6.fc40.ppc64le libXxf86vm-1.1.5-6.fc40.ppc64le libasan-14.1.1-5.fc41.ppc64le libatomic-14.1.1-5.fc41.ppc64le libb2-0.98.1-11.fc40.ppc64le libdrm-2.4.121-1.fc41.ppc64le libevdev-1.13.2-2.fc41.ppc64le libftdi-1.5-13.fc41.ppc64le libgfortran-14.1.1-5.fc41.ppc64le libglvnd-1:1.7.0-4.fc40.ppc64le libglvnd-core-devel-1:1.7.0-4.fc40.ppc64le libglvnd-devel-1:1.7.0-4.fc40.ppc64le libglvnd-egl-1:1.7.0-4.fc40.ppc64le libglvnd-gles-1:1.7.0-4.fc40.ppc64le libglvnd-glx-1:1.7.0-4.fc40.ppc64le libglvnd-opengl-1:1.7.0-4.fc40.ppc64le libgudev-238-5.fc40.ppc64le libicu-74.2-1.fc40.ppc64le libicu-devel-74.2-1.fc40.ppc64le libinput-1.26.0-1.fc41.ppc64le libjpeg-turbo-3.0.2-2.fc41.ppc64le libmpc-1.3.1-5.fc40.ppc64le libpng-2:1.6.40-3.fc40.ppc64le libproxy-0.5.5-1.fc41.ppc64le libquadmath-14.1.1-5.fc41.ppc64le libseccomp-2.5.3-8.fc40.ppc64le libstdc++-devel-14.1.1-5.fc41.ppc64le libubsan-14.1.1-5.fc41.ppc64le libusb1-1.0.27-2.fc41.ppc64le libuv-1:1.48.0-1.fc40.ppc64le libwacom-2.12.0-1.fc41.ppc64le libwacom-data-2.12.0-1.fc41.noarch libwayland-client-1.22.0-3.fc40.ppc64le libwayland-server-1.22.0-3.fc40.ppc64le libxcb-1.17.0-1.fc41.ppc64le libxcb-devel-1.17.0-1.fc41.ppc64le libxcrypt-devel-4.4.36-5.fc40.ppc64le libxkbcommon-1.7.0-1.fc41.ppc64le libxkbcommon-x11-1.7.0-1.fc41.ppc64le libxshmfence-1.3.2-3.fc40.ppc64le make-1:4.4.1-6.fc40.ppc64le mesa-libEGL-24.1.1-2.fc41.ppc64le mesa-libEGL-devel-24.1.1-2.fc41.ppc64le mesa-libGL-24.1.1-2.fc41.ppc64le mesa-libgbm-24.1.1-2.fc41.ppc64le mesa-libglapi-24.1.1-2.fc41.ppc64le mpdecimal-2.5.1-9.fc40.ppc64le mtdev-1.1.6-8.fc40.ppc64le ncurses-6.4-12.20240127.fc40.ppc64le nettle-3.9.1-6.fc40.ppc64le openblas-0.3.26-4.fc40.ppc64le openblas-openmp-0.3.26-4.fc40.ppc64le pcre2-utf16-10.43-2.fc41.1.ppc64le perl-AutoLoader-5.74-507.fc41.noarch perl-B-1.88-507.fc41.ppc64le perl-Carp-1.54-502.fc40.noarch perl-Class-Struct-0.68-507.fc41.noarch perl-Data-Dumper-2.189-504.fc41.ppc64le perl-Digest-1.20-502.fc40.noarch perl-Digest-MD5-2.59-3.fc40.ppc64le perl-DynaLoader-1.54-507.fc41.ppc64le perl-Encode-4:3.21-505.fc41.ppc64le perl-English-1.11-507.fc41.noarch perl-Errno-1.37-507.fc41.ppc64le perl-Exporter-5.78-3.fc40.noarch perl-Fcntl-1.15-507.fc41.ppc64le perl-File-Basename-2.86-507.fc41.noarch perl-File-Find-1.43-507.fc41.noarch perl-File-Path-2.18-503.fc40.noarch perl-File-Temp-1:0.231.100-503.fc40.noarch perl-File-stat-1.13-507.fc41.noarch perl-FileHandle-2.05-507.fc41.noarch perl-Getopt-Long-1:2.57-4.fc41.noarch perl-Getopt-Std-1.13-507.fc41.noarch perl-HTTP-Tiny-0.088-5.fc40.noarch perl-IO-1.52-507.fc41.ppc64le perl-IO-Socket-IP-0.42-2.fc40.noarch perl-IO-Socket-SSL-2.085-1.fc40.noarch perl-IPC-Open3-1.22-507.fc41.noarch perl-MIME-Base64-3.16-503.fc40.ppc64le perl-Mozilla-CA-20240313-1.fc41.noarch perl-Net-SSLeay-1.94-3.fc40.ppc64le perl-POSIX-2.13-507.fc41.ppc64le perl-PathTools-3.91-503.fc41.ppc64le perl-Pod-Escapes-1:1.07-503.fc40.noarch perl-Pod-Perldoc-3.28.01-503.fc40.noarch perl-Pod-Simple-1:3.45-6.fc40.noarch perl-Pod-Usage-4:2.03-504.fc41.noarch perl-Scalar-List-Utils-5:1.63-503.fc40.ppc64le perl-SelectSaver-1.02-507.fc41.noarch perl-Socket-4:2.038-1.fc41.ppc64le perl-Storable-1:3.32-502.fc40.ppc64le perl-Symbol-1.09-507.fc41.noarch perl-Term-ANSIColor-5.01-504.fc40.noarch perl-Term-Cap-1.18-503.fc40.noarch perl-Text-ParseWords-3.31-502.fc40.noarch perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch perl-Time-Local-2:1.350-5.fc40.noarch perl-URI-5.28-1.fc41.noarch perl-base-2.27-507.fc41.noarch perl-constant-1.33-503.fc40.noarch perl-if-0.61.000-507.fc41.noarch perl-interpreter-4:5.38.2-507.fc41.ppc64le perl-libnet-3.15-503.fc40.noarch perl-libs-4:5.38.2-507.fc41.ppc64le perl-locale-1.10-507.fc41.noarch perl-mro-1.28-507.fc41.ppc64le perl-overload-1.37-507.fc41.noarch perl-overloading-0.02-507.fc41.noarch perl-parent-1:0.241-502.fc40.noarch perl-podlators-1:5.01-502.fc40.noarch perl-vars-1.05-507.fc41.noarch pixman-0.43.4-1.fc41.ppc64le pyproject-rpm-macros-1.12.1-1.fc41.noarch python-pip-wheel-24.0-2.fc41.noarch python-rpm-macros-3.12-9.fc41.noarch python3-3.12.3-2.fc41.ppc64le python3-devel-3.12.3-2.fc41.ppc64le python3-libs-3.12.3-2.fc41.ppc64le python3-numpy-1:1.26.4-7.fc41.ppc64le python3-packaging-24.0-1.fc41.noarch python3-rpm-generators-14-10.fc40.noarch python3-rpm-macros-3.12-9.fc41.noarch python3-setuptools-69.2.0-3.fc41.noarch qt-settings-40.0-1.fc41.noarch qt5-qtbase-5.15.14-1.fc41.ppc64le qt5-qtbase-common-5.15.14-1.fc41.noarch qt5-qtbase-devel-5.15.14-1.fc41.ppc64le qt5-qtbase-gui-5.15.14-1.fc41.ppc64le qt5-qtconfiguration-0.3.1-22.fc40.ppc64le qt5-qtconfiguration-devel-0.3.1-22.fc40.ppc64le qt5-qtdeclarative-5.15.14-1.fc41.ppc64le qt5-rpm-macros-5.15.14-1.fc41.noarch rhash-1.4.4-1.fc41.ppc64le systemd-256~rc4-2.fc41.ppc64le systemd-pam-256~rc4-2.fc41.ppc64le systemd-rpm-macros-256~rc4-2.fc41.noarch trellis-1.2.1-26.20240524git2dab009.fc41.ppc64le trellis-data-1.2.1-26.20240524git2dab009.fc41.noarch trellis-devel-1.2.1-26.20240524git2dab009.fc41.ppc64le tzdata-2024a-8.fc41.noarch vim-filesystem-2:9.1.452-1.fc41.noarch vulkan-headers-1.3.283.0-1.fc41.noarch vulkan-loader-1.3.283.0-2.fc41.ppc64le vulkan-loader-devel-1.3.283.0-2.fc41.ppc64le xcb-util-0.4.1-5.fc40.ppc64le xcb-util-image-0.4.1-5.fc40.ppc64le xcb-util-keysyms-0.4.1-5.fc40.ppc64le xcb-util-renderutil-0.3.10-5.fc40.ppc64le xcb-util-wm-0.4.2-5.fc40.ppc64le xkeyboard-config-2.41-1.fc40.noarch xml-common-0.6.3-63.fc40.noarch xorg-x11-proto-devel-2024.1-2.fc41.noarch Complete! Finish: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1717804800 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.AiOfcJ + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + /usr/bin/rm -rf /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.U1Hzgr + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd /builddir/build/BUILD/nextpnr-1-build + rm -rf nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/nextpnr-b7f91e5.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.ae0177 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -S . -B redhat-linux-build -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON -DARCH=all -DICEBOX_DATADIR=/usr/share/icestorm -DTRELLIS_LIBDIR=/usr/lib64/trellis -DBUILD_GUI=ON -DUSE_OPENMP=ON -- The CXX compiler identification is GNU 14.1.1 -- The C compiler identification is GNU 14.1.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found OpenGL: /usr/lib64/libOpenGL.so -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb -- Configuring done (2.9s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build + /usr/bin/cmake --build redhat-linux-build -j5 --verbose Change Dir: '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j5 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 -B/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" [ 0%] Built target gui_ice40_autogen_timestamp_deps /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" [ 1%] Generating chipdb/chipdb-384.bba [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o [ 1%] Built target gui_generic_autogen_timestamp_deps cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/g++ -Dbbasm_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba/main.cc gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build [ 1%] Automatic MOC for target QtPropertyBrowser gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json "" [ 1%] Built target gui_ecp5_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake "--color=" AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include [ 2%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json Release gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" [ 3%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.json Release AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/generic/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target QtPropertyBrowser_autogen /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Automatic MOC for target gui_ecp5 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.h cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/generic/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.h [ 5%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ecp5/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h [ 5%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Built target bbasm /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp.d" [ 5%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/lib64/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" Dependencies file "generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target QtPropertyBrowser gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Built target gui_ice40_autogen [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Built target gui_generic_autogen /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/nextpnr.qrc [ 6%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ice40/gui_ice40_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 6%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/ppc64le-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/ppc64le-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc'. [ 6%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/generic/gui_generic_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_generic gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 6%] Built target gui_ecp5_autogen [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 7%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 8%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o -MF CMakeFiles/gui_generic.dir/application.cc.o.d -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 8%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 9%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 10%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 10%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib" libQtPropertyBrowser.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 10%] Built target QtPropertyBrowser /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 10%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/nextpnr.qrc [ 10%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/DependInfo.cmake "--color=" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba Dependencies file "generated/gui/ecp5/gui_ecp5_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ecp5 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build [ 10%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 10%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp [ 10%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o -MF CMakeFiles/gui_generic.dir/basewindow.cc.o.d -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 11%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 11%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o -MF CMakeFiles/gui_ecp5.dir/application.cc.o.d -o CMakeFiles/gui_ecp5.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 12%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o -MF CMakeFiles/gui_generic.dir/designwidget.cc.o.d -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 12%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 13%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o -MF CMakeFiles/gui_ecp5.dir/basewindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 13%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 14%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/designwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 14%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 15%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.cc [ 16%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o -MF CMakeFiles/gui_generic.dir/line_editor.cc.o.d -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 16%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 16%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 16%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 16%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o -MF CMakeFiles/gui_generic.dir/lineshader.cc.o.d -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 17%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 17%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 17%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 18%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o -MF CMakeFiles/gui_ecp5.dir/line_editor.cc.o.d -o CMakeFiles/gui_ecp5.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 19%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 19%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 19%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 20%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o -MF CMakeFiles/gui_ecp5.dir/lineshader.cc.o.d -o CMakeFiles/gui_ecp5.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 20%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o -MF CMakeFiles/gui_generic.dir/pyconsole.cc.o.d -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 21%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -MF CMakeFiles/gui_ecp5.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp [ 22%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ice40.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 23%] Built target gui_ice40 [ 24%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o -MF CMakeFiles/gui_generic.dir/pythontab.cc.o.d -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 24%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o -MF CMakeFiles/gui_generic.dir/treemodel.cc.o.d -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o -MF CMakeFiles/gui_ecp5.dir/pythontab.cc.o.d -o CMakeFiles/gui_ecp5.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o -MF CMakeFiles/gui_ecp5.dir/treemodel.cc.o.d -o CMakeFiles/gui_ecp5.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 25%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o -MF CMakeFiles/gui_generic.dir/worker.cc.o.d -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o -MF CMakeFiles/gui_ecp5.dir/worker.cc.o.d -o CMakeFiles/gui_ecp5.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 26%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -MF CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o.d -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.cc [ 27%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.cc [ 27%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 27%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 27%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 27%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 28%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 28%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 28%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 29%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 30%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 30%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 30%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 31%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 32%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 32%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 32%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 33%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o [ 33%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 34%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 34%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 35%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 35%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 35%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp [ 37%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp [ 37%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp [ 37%] Linking CXX static library libgui_ecp5.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -P CMakeFiles/gui_ecp5.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ecp5.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ecp5.a CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ecp5.dir/application.cc.o CMakeFiles/gui_ecp5.dir/basewindow.cc.o CMakeFiles/gui_ecp5.dir/designwidget.cc.o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ecp5.dir/line_editor.cc.o CMakeFiles/gui_ecp5.dir/lineshader.cc.o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o CMakeFiles/gui_ecp5.dir/pythontab.cc.o CMakeFiles/gui_ecp5.dir/treemodel.cc.o CMakeFiles/gui_ecp5.dir/worker.cc.o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ecp5.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 38%] Built target gui_ecp5 [ 38%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_generic.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 39%] Built target gui_generic /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 50%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch_pybindings.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/cells.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/main.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/pack.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_api.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_helpers.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/example/example.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/okami/okami.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fabulous.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fasm.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/pack.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/validity_check.cc [ 57%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 57%] Built target nextpnr-generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 57%] Built target chipdb-ice40-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 58%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 58%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 58%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 58%] Generating chipdb/chipdb-25k.bba [ 59%] Generating ice40/chipdb/chipdb-u4k.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 59%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Built target chipdb-ice40-bins /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o [ 59%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.cc /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 62%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_place.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_pybindings.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/bitstream.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/cells.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chains.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/delay.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/main.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pack.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pcf.cc [ 79%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 80%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Built target nextpnr-ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 80%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Built target chipdb-ecp5-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 81%] Generating ecp5/chipdb/chipdb-45k.cc [ 81%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 81%] Built target chipdb-ecp5-bins /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 81%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.cc [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.cc /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 83%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_place.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_pybindings.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/baseconfigs.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/bitstream.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/cells.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/config.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pack.cc [100%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pio.cc [100%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ecp5 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles 0 + mkdir -p examples/ice40 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.VA1Mng + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + '[' /builddir/build/BUILD/nextpnr-1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/nextpnr-1-build/BUILDROOT ++ dirname /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/nextpnr-1-build + mkdir /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DESTDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT + /usr/bin/cmake --install redhat-linux-build -- Install configuration: "Release" -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ecp5 + /usr/bin/find-debuginfo -j5 --strict-build-id -m -i --build-id-seed 1-41.20240524gitb7f91e5.fc41 --unique-debug-suffix -1-41.20240524gitb7f91e5.fc41.ppc64le --unique-debug-src-base nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 find-debuginfo: starting Extracting debug info from 3 files DWARF-compressing 3 files sepdebugcrcfix: Updated 3 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le 1544032 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j5 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j5 /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Cannot initialize handler pyc: ModuleNotFoundError: No module named 'marshalparser' [src/multiprocess.rs:66:9] &cmd = Command { program: "/usr/bin/add-determinism", args: [ "/usr/bin/add-determinism", "--socket", "3", "--brp", "--handler", "ar,jar,javadoc", ], env: CommandEnv { clear: false, vars: { "SOURCE_DATE_EPOCH": Some( "1717804800", ), }, }, create_pidfd: false, } Bye! Bye! Bye! Bye! Bye! Reading /builddir/build/BUILD/nextpnr-1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.U1L3JM + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DOCDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/README.md /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/docs /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/examples /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.5x3vjr + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + LICENSEDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/COPYING /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-imgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-qtimgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-python-console.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 1-41.20240524gitb7f91e5.fc41 nextpnr(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libboost_filesystem.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgomp.so.1()(64bit) libgomp.so.1(GOMP_1.0)(64bit) libgomp.so.1(GOMP_4.0)(64bit) libgomp.so.1(GOMP_4.5)(64bit) libgomp.so.1(OMP_1.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.17)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.38)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.ppc64le Provides: nextpnr-debugsource = 1-41.20240524gitb7f91e5.fc41 nextpnr-debugsource(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.ppc64le Provides: debuginfo(build-id) = 26cd8bc2331b4a0530f27385b7952a76481db589 debuginfo(build-id) = 42977863374536cd06b38472d80cf8c6d9d3cd37 debuginfo(build-id) = 89b99d52377ee20e9f2b470430a01d1395ddb401 nextpnr-debuginfo = 1-41.20240524gitb7f91e5.fc41 nextpnr-debuginfo(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(ppc-64) = 1-41.20240524gitb7f91e5.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Wrote: /builddir/build/RPMS/nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.ppc64le.rpm Wrote: /builddir/build/RPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.ppc64le.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.ppc64le.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.8DXu8Z + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + rm -rf /builddir/build/BUILD/nextpnr-1-build + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log/dnf.log /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-ppc64le-1717895665.361249/root/var/log/dnf.rpm.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(child) 31 minutes 1 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr-debuginfo", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "ppc64le" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "ppc64le" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "src" }, { "name": "nextpnr-debugsource", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "ppc64le" } ] } RPMResults finished