Warning: Permanently added '169.63.98.157' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7573206-fedora-39-s390x --chroot fedora-39-s390x Version: 0.73 PID: 6469 Logging PID: 6470 Task: {'allow_user_ssh': False, 'appstream': False, 'background': True, 'build_id': 7573206, 'buildroot_pkgs': [], 'chroot': 'fedora-39-s390x', 'enable_net': False, 'fedora_review': False, 'git_hash': '75279f71c5a7a44e17ebf5daa7914600bbc04611', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1-41.20240524gitb7f91e5', 'project_dirname': 'openblas-0.3.23', 'project_name': 'openblas-0.3.23', 'project_owner': 'psimovec', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/psimovec/openblas-0.3.23/fedora-39-s390x/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'http://kojipkgs.fedoraproject.org/repos/rawhide/latest/$basearch/', 'id': 'http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch', 'name': 'Additional repo http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch'}], 'sandbox': 'psimovec/openblas-0.3.23--https://src.fedoraproject.org/user/churchyard', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'https://src.fedoraproject.org/user/churchyard', 'tags': [], 'task_id': '7573206-fedora-39-s390x', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr'... Running: git checkout 75279f71c5a7a44e17ebf5daa7914600bbc04611 -- cmd: ['git', 'checkout', '75279f71c5a7a44e17ebf5daa7914600bbc04611', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr rc: 0 stdout: stderr: Note: switching to '75279f71c5a7a44e17ebf5daa7914600bbc04611'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 75279f7 automatic import of nextpnr Running: copr-distgit-client sources /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading nextpnr-b7f91e5.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o nextpnr-b7f91e5.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/psimovec/openblas-0.3.23/nextpnr/nextpnr-b7f91e5.tar.gz/md5/7431c3fc862f9867d2ced7bc89ea453e/nextpnr-b7f91e5.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 4615k 100 4615k 0 0 903k 0 0:00:05 0:00:05 --:--:-- 999k INFO: Reading stdout from command: md5sum nextpnr-b7f91e5.tar.gz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717909395.758899 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717909395.758899 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr/nextpnr.spec) Config(fedora-39-s390x) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-s390x-bootstrap-1717909395.758899/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:39 INFO: Pulling image: registry.fedoraproject.org/fedora:39 INFO: Copy content of container registry.fedoraproject.org/fedora:39 to /var/lib/mock/fedora-39-s390x-bootstrap-1717909395.758899/root INFO: Checking that registry.fedoraproject.org/fedora:39 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:39 with podman image mount INFO: image registry.fedoraproject.org/fedora:39 as /var/lib/containers/storage/overlay/c74d3fe4cbfae63e48a0926a6cf3a830ef4371d8f7c2895d6f2f335aec127547/merged INFO: umounting image registry.fedoraproject.org/fedora:39 (/var/lib/containers/storage/overlay/c74d3fe4cbfae63e48a0926a6cf3a830ef4371d8f7c2895d6f2f335aec127547/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 3.0 MB/s | 17 MB 00:05 Additional repo http_kojipkgs_fedoraproject_org 6.6 MB/s | 69 MB 00:10 fedora 12 MB/s | 79 MB 00:06 updates 1.9 MB/s | 31 MB 00:16 Last metadata expiration check: 0:00:05 ago on Sun Jun 9 05:05:00 2024. Package python3-dnf-4.19.2-1.fc39.noarch is already installed. Dependencies resolved. ========================================================================================================================= Package Arch Version Repository Size ========================================================================================================================= Installing: dnf5 s390x 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 687 k replacing dnf.noarch 4.19.2-1.fc39 replacing yum.noarch 4.19.2-1.fc39 python3-dnf-plugins-core noarch 4.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 302 k Upgrading: dnf-data noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libstdc++ s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 987 k python3-dnf noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580 k Installing dependencies: dbus-libs s390x 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 159 k fmt s390x 10.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 129 k libdnf5 s390x 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M libdnf5-cli s390x 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 311 k python3-dateutil noarch 1:2.8.2-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345 k python3-dbus s390x 1.3.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 146 k python3-distro noarch 1.9.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k python3-six noarch 1.16.0-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k python3-systemd s390x 235-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 100 k sdbus-cpp s390x 1.5.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 106 k Transaction Summary ========================================================================================================================= Install 12 Packages Upgrade 3 Packages Total download size: 4.9 M Downloading Packages: (1/15): fmt-10.2.1-4.fc41.s390x.rpm 4.1 MB/s | 129 kB 00:00 (2/15): dnf5-5.2.3.0-1.fc41.s390x.rpm 15 MB/s | 687 kB 00:00 (3/15): dbus-libs-1.14.10-3.fc40.s390x.rpm 3.4 MB/s | 159 kB 00:00 (4/15): python3-dateutil-2.8.2-13.fc40.noarch.r 45 MB/s | 345 kB 00:00 (5/15): libdnf5-5.2.3.0-1.fc41.s390x.rpm 42 MB/s | 1.0 MB 00:00 (6/15): python3-dbus-1.3.2-6.fc40.s390x.rpm 22 MB/s | 146 kB 00:00 (7/15): python3-distro-1.9.0-3.fc40.noarch.rpm 10 MB/s | 45 kB 00:00 (8/15): python3-six-1.16.0-14.fc40.noarch.rpm 9.1 MB/s | 41 kB 00:00 (9/15): python3-dnf-plugins-core-4.7.0-1.fc41.n 33 MB/s | 302 kB 00:00 (10/15): python3-systemd-235-9.fc40.s390x.rpm 16 MB/s | 100 kB 00:00 (11/15): libdnf5-cli-5.2.3.0-1.fc41.s390x.rpm 11 MB/s | 311 kB 00:00 (12/15): sdbus-cpp-1.5.0-2.fc41.s390x.rpm 15 MB/s | 106 kB 00:00 (13/15): dnf-data-4.20.0-1.fc41.noarch.rpm 5.9 MB/s | 39 kB 00:00 (14/15): libstdc++-14.1.1-5.fc41.s390x.rpm 74 MB/s | 987 kB 00:00 (15/15): python3-dnf-4.20.0-1.fc41.noarch.rpm 42 MB/s | 580 kB 00:00 -------------------------------------------------------------------------------- Total 53 MB/s | 4.9 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Upgrading : libstdc++-14.1.1-5.fc41.s390x 1/20 Installing : fmt-10.2.1-4.fc41.s390x 2/20 Installing : libdnf5-5.2.3.0-1.fc41.s390x 3/20 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : libdnf5-cli-5.2.3.0-1.fc41.s390x 4/20 Upgrading : dnf-data-4.20.0-1.fc41.noarch 5/20 Upgrading : python3-dnf-4.20.0-1.fc41.noarch 6/20 Installing : sdbus-cpp-1.5.0-2.fc41.s390x 7/20 Installing : python3-systemd-235-9.fc40.s390x 8/20 Installing : python3-six-1.16.0-14.fc40.noarch 9/20 Installing : python3-dateutil-1:2.8.2-13.fc40.noarch 10/20 Installing : python3-distro-1.9.0-3.fc40.noarch 11/20 Installing : dbus-libs-1:1.14.10-3.fc40.s390x 12/20 Installing : python3-dbus-1.3.2-6.fc40.s390x 13/20 Installing : python3-dnf-plugins-core-4.7.0-1.fc41.noarch 14/20 Installing : dnf5-5.2.3.0-1.fc41.s390x 15/20 Obsoleting : yum-4.19.2-1.fc39.noarch 16/20 Running scriptlet: dnf-4.19.2-1.fc39.noarch 17/20 Obsoleting : dnf-4.19.2-1.fc39.noarch 17/20 warning: directory /var/cache/dnf: remove failed: Device or resource busy Running scriptlet: dnf-4.19.2-1.fc39.noarch 17/20 Cleanup : python3-dnf-4.19.2-1.fc39.noarch 18/20 Cleanup : dnf-data-4.19.2-1.fc39.noarch 19/20 Cleanup : libstdc++-13.3.1-1.fc39.s390x 20/20 Running scriptlet: libstdc++-13.3.1-1.fc39.s390x 20/20 Verifying : dbus-libs-1:1.14.10-3.fc40.s390x 1/20 Verifying : dnf5-5.2.3.0-1.fc41.s390x 2/20 Verifying : dnf-4.19.2-1.fc39.noarch 3/20 Verifying : yum-4.19.2-1.fc39.noarch 4/20 Verifying : fmt-10.2.1-4.fc41.s390x 5/20 Verifying : libdnf5-5.2.3.0-1.fc41.s390x 6/20 Verifying : libdnf5-cli-5.2.3.0-1.fc41.s390x 7/20 Verifying : python3-dateutil-1:2.8.2-13.fc40.noarch 8/20 Verifying : python3-dbus-1.3.2-6.fc40.s390x 9/20 Verifying : python3-distro-1.9.0-3.fc40.noarch 10/20 Verifying : python3-dnf-plugins-core-4.7.0-1.fc41.noarch 11/20 Verifying : python3-six-1.16.0-14.fc40.noarch 12/20 Verifying : python3-systemd-235-9.fc40.s390x 13/20 Verifying : sdbus-cpp-1.5.0-2.fc41.s390x 14/20 Verifying : dnf-data-4.20.0-1.fc41.noarch 15/20 Verifying : dnf-data-4.19.2-1.fc39.noarch 16/20 Verifying : libstdc++-14.1.1-5.fc41.s390x 17/20 Verifying : libstdc++-13.3.1-1.fc39.s390x 18/20 Verifying : python3-dnf-4.20.0-1.fc41.noarch 19/20 Verifying : python3-dnf-4.19.2-1.fc39.noarch 20/20 Upgraded: dnf-data-4.20.0-1.fc41.noarch libstdc++-14.1.1-5.fc41.s390x python3-dnf-4.20.0-1.fc41.noarch Installed: dbus-libs-1:1.14.10-3.fc40.s390x dnf5-5.2.3.0-1.fc41.s390x fmt-10.2.1-4.fc41.s390x libdnf5-5.2.3.0-1.fc41.s390x libdnf5-cli-5.2.3.0-1.fc41.s390x python3-dateutil-1:2.8.2-13.fc40.noarch python3-dbus-1.3.2-6.fc40.s390x python3-distro-1.9.0-3.fc40.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch python3-six-1.16.0-14.fc40.noarch python3-systemd-235-9.fc40.s390x sdbus-cpp-1.5.0-2.fc41.s390x Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-s390x-1717909395.758899/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.s390x rpm-sequoia-1.6.0-3.fc39.s390x python3-dnf-4.20.0-1.fc41.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.s390x Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 3.3 MB/s | 17 MB 00:05 Additional repo http_kojipkgs_fedoraproject_org 106 MB/s | 69 MB 00:00 fedora 12 MB/s | 79 MB 00:06 updates 3.0 MB/s | 31 MB 00:10 Last metadata expiration check: 0:00:04 ago on Sun Jun 9 05:06:34 2024. Dependencies resolved. ============================================================================================================================================ Package Arch Version Repository Size ============================================================================================================================================ Installing group/module packages: bash s390x 5.2.26-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 M bzip2 s390x 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53 k coreutils s390x 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 M cpio s390x 2.15-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 291 k diffutils s390x 3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 412 k fedora-release-common noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k findutils s390x 1:4.10.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 549 k gawk s390x 5.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M glibc-minimal-langpack s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112 k grep s390x 3.11-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 296 k gzip s390x 1.13-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 171 k info s390x 7.1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195 k patch s390x 2.7.6-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 141 k redhat-rpm-config noarch 292-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 75 k rpm-build s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 76 k sed s390x 4.9-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 312 k shadow-utils s390x 2:4.15.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 M tar s390x 2:1.35-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 871 k unzip s390x 6.0-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195 k util-linux s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M which s390x 2.21-41.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43 k xz s390x 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 533 k Installing dependencies: add-determinism-nopython s390x 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M alternatives s390x 1.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42 k ansible-srpm-macros noarch 1-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k audit-libs s390x 4.0.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 128 k authselect s390x 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 141 k authselect-libs s390x 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 204 k basesystem noarch 11-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.2 k binutils s390x 2.42.50-14.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.0 M build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k bzip2-libs s390x 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 47 k ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 862 k coreutils-common s390x 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 M cracklib s390x 2.9.11-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84 k crypto-policies noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65 k curl s390x 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 306 k cyrus-sasl-lib s390x 2.1.28-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 827 k debugedit s390x 5.0-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82 k dwz s390x 0.15-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 145 k ed s390x 1.20.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83 k efi-srpm-macros noarch 5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k elfutils s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 582 k elfutils-debuginfod-client s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k elfutils-libelf s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 214 k elfutils-libs s390x 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 282 k fedora-gpg-keys noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k fedora-release noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 k fedora-release-identity-basic noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k fedora-repos noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 k fedora-repos-rawhide noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k file s390x 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 49 k file-libs s390x 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 770 k filesystem s390x 3.18-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M fonts-srpm-macros noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k forge-srpm-macros noarch 0.3.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k fpc-srpm-macros noarch 1.3-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.8 k gdb-minimal s390x 14.2-11.fc41 copr_base 4.3 M gdbm s390x 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 152 k gdbm-libs s390x 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 58 k ghc-srpm-macros noarch 1.9.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.0 k glibc s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 M glibc-common s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 410 k glibc-gconv-extra s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 M gmp s390x 1:6.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 329 k gnat-srpm-macros noarch 6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.8 k go-srpm-macros noarch 3.6.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28 k jansson s390x 2.13.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k kernel-srpm-macros noarch 1.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.8 k keyutils-libs s390x 1.6.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k krb5-libs s390x 1.21.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 781 k libacl s390x 2.3.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k libarchive s390x 3.7.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 442 k libattr s390x 2.5.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k libblkid s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 130 k libbrotli s390x 1.1.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 387 k libcap s390x 2.70-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 89 k libcap-ng s390x 0.8.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33 k libcom_err s390x 1.47.0-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k libcurl s390x 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 374 k libeconf s390x 0.6.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k libevent s390x 2.1.12-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 260 k libfdisk s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 165 k libffi s390x 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k libgcc s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 87 k libgomp s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 353 k libidn2 s390x 2.3.7-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 113 k libmount s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 159 k libnghttp2 s390x 1.62.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 80 k libnsl2 s390x 2.0.1-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libpkgconf s390x 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libpsl s390x 0.21.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64 k libpwquality s390x 1.4.5-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 109 k libselinux s390x 3.6-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 92 k libsemanage s390x 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k libsepol s390x 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 349 k libsmartcols s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 86 k libssh s390x 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 211 k libssh-config noarch 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k libstdc++ s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 987 k libtasn1 s390x 4.19.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78 k libtirpc s390x 1.3.4-1.rc3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 95 k libtool-ltdl s390x 2.4.7-11.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k libunistring s390x 1.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 560 k libutempter s390x 1.2.1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k libuuid s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libverto s390x 0.3.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k libxcrypt s390x 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124 k libxml2 s390x 2.12.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 706 k libzstd s390x 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345 k lua-libs s390x 5.4.6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 143 k lua-srpm-macros noarch 1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.7 k lz4-libs s390x 1.9.4-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83 k mpfr s390x 4.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 298 k ncurses-base noarch 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64 k ncurses-libs s390x 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 363 k ocaml-srpm-macros noarch 10-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k openblas-srpm-macros noarch 2-17.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.7 k openldap s390x 2.6.8-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 263 k openssl-libs s390x 1:3.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 M p11-kit s390x 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 519 k p11-kit-trust s390x 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144 k package-notes-srpm-macros noarch 0.5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 k pam s390x 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 525 k pam-libs s390x 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 58 k pcre2 s390x 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262 k pcre2-syntax noarch 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149 k perl-srpm-macros noarch 1-53.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 k pkgconf s390x 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44 k pkgconf-m4 noarch 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k pkgconf-pkg-config s390x 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.8 k popt s390x 1.19-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 62 k publicsuffix-list-dafsa noarch 20240107-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 58 k pyproject-srpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k python-srpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k qt5-srpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k qt6-srpm-macros noarch 6.7.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k readline s390x 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 230 k rpm s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 522 k rpm-build-libs s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 100 k rpm-libs s390x 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 323 k rpm-sequoia s390x 1.6.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 971 k rust-srpm-macros noarch 26.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k setup noarch 2.15.0-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 148 k sqlite-libs s390x 3.46.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 766 k systemd-libs s390x 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 743 k util-linux-core s390x 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 527 k xxhash-libs s390x 0.8.2-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k xz-libs s390x 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116 k zig-srpm-macros noarch 1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.0 k zip s390x 3.0-40.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 283 k zlib-ng-compat s390x 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66 k zstd s390x 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 516 k Installing Groups: Buildsystem building group Transaction Summary ============================================================================================================================================ Install 154 Packages Total download size: 53 M Installed size: 182 M Downloading Packages: (1/154): gdb-minimal-14.2-11.fc41.s390x.rpm 86 MB/s | 4.3 MB 00:00 (2/154): alternatives-1.27-1.fc41.s390x.rpm 794 kB/s | 42 kB 00:00 (3/154): add-determinism-nopython-0.2.0-9.fc41. 15 MB/s | 1.0 MB 00:00 (4/154): audit-libs-4.0.1-2.fc41.s390x.rpm 8.0 MB/s | 128 kB 00:00 (5/154): authselect-1.5.0-5.fc41.s390x.rpm 15 MB/s | 141 kB 00:00 (6/154): basesystem-11-20.fc40.noarch.rpm 1.5 MB/s | 7.2 kB 00:00 (7/154): authselect-libs-1.5.0-5.fc41.s390x.rpm 12 MB/s | 204 kB 00:00 (8/154): ansible-srpm-macros-1-15.fc41.noarch.r 560 kB/s | 21 kB 00:00 (9/154): build-reproducibility-srpm-macros-0.2. 1.8 MB/s | 9.1 kB 00:00 (10/154): bzip2-1.0.8-18.fc40.s390x.rpm 6.0 MB/s | 53 kB 00:00 (11/154): bzip2-libs-1.0.8-18.fc40.s390x.rpm 6.2 MB/s | 47 kB 00:00 (12/154): bash-5.2.26-3.fc40.s390x.rpm 54 MB/s | 1.8 MB 00:00 (13/154): coreutils-9.5-2.fc41.s390x.rpm 61 MB/s | 1.2 MB 00:00 (14/154): ca-certificates-2023.2.62_v7.0.401-6. 26 MB/s | 862 kB 00:00 (15/154): cpio-2.15-1.fc40.s390x.rpm 21 MB/s | 291 kB 00:00 (16/154): coreutils-common-9.5-2.fc41.s390x.rpm 80 MB/s | 2.1 MB 00:00 (17/154): cracklib-2.9.11-5.fc40.s390x.rpm 9.8 MB/s | 84 kB 00:00 (18/154): crypto-policies-20240521-1.gitf71d135 12 MB/s | 65 kB 00:00 (19/154): curl-8.8.0-1.fc41.s390x.rpm 28 MB/s | 306 kB 00:00 (20/154): debugedit-5.0-16.fc41.s390x.rpm 11 MB/s | 82 kB 00:00 (21/154): cyrus-sasl-lib-2.1.28-22.fc41.s390x.r 52 MB/s | 827 kB 00:00 (22/154): dwz-0.15-6.fc40.s390x.rpm 17 MB/s | 145 kB 00:00 (23/154): diffutils-3.10-5.fc40.s390x.rpm 39 MB/s | 412 kB 00:00 (24/154): efi-srpm-macros-5-11.fc40.noarch.rpm 5.8 MB/s | 22 kB 00:00 (25/154): ed-1.20.2-1.fc41.s390x.rpm 12 MB/s | 83 kB 00:00 (26/154): elfutils-debuginfod-client-0.191-7.fc 5.4 MB/s | 39 kB 00:00 (27/154): elfutils-0.191-7.fc41.s390x.rpm 48 MB/s | 582 kB 00:00 (28/154): binutils-2.42.50-14.fc41.s390x.rpm 46 MB/s | 6.0 MB 00:00 (29/154): elfutils-default-yama-scope-0.191-7.f 1.3 MB/s | 13 kB 00:00 (30/154): elfutils-libelf-0.191-7.fc41.s390x.rp 20 MB/s | 214 kB 00:00 (31/154): fedora-gpg-keys-41-0.2.noarch.rpm 22 MB/s | 122 kB 00:00 (32/154): elfutils-libs-0.191-7.fc41.s390x.rpm 34 MB/s | 282 kB 00:00 (33/154): fedora-release-41-0.13.noarch.rpm 1.7 MB/s | 11 kB 00:00 (34/154): fedora-release-common-41-0.13.noarch. 5.4 MB/s | 22 kB 00:00 (35/154): fedora-release-identity-basic-41-0.13 2.2 MB/s | 12 kB 00:00 (36/154): fedora-repos-rawhide-41-0.2.noarch.rp 1.8 MB/s | 8.9 kB 00:00 (37/154): fedora-repos-41-0.2.noarch.rpm 1.7 MB/s | 9.3 kB 00:00 (38/154): file-5.45-5.fc41.s390x.rpm 5.9 MB/s | 49 kB 00:00 (39/154): file-libs-5.45-5.fc41.s390x.rpm 43 MB/s | 770 kB 00:00 (40/154): findutils-4.10.0-2.fc41.s390x.rpm 44 MB/s | 549 kB 00:00 (41/154): fonts-srpm-macros-2.0.5-15.fc41.noarc 5.3 MB/s | 27 kB 00:00 (42/154): forge-srpm-macros-0.3.1-1.fc41.noarch 4.6 MB/s | 19 kB 00:00 (43/154): filesystem-3.18-9.fc41.s390x.rpm 40 MB/s | 1.1 MB 00:00 (44/154): fpc-srpm-macros-1.3-12.fc40.noarch.rp 1.7 MB/s | 7.8 kB 00:00 (45/154): gdbm-libs-1.23-6.fc40.s390x.rpm 11 MB/s | 58 kB 00:00 (46/154): gdbm-1.23-6.fc40.s390x.rpm 21 MB/s | 152 kB 00:00 (47/154): ghc-srpm-macros-1.9.1-1.fc41.noarch.r 1.9 MB/s | 9.0 kB 00:00 (48/154): gawk-5.3.0-3.fc40.s390x.rpm 48 MB/s | 1.1 MB 00:00 (49/154): glibc-common-2.39.9000-18.fc41.s390x. 40 MB/s | 410 kB 00:00 (50/154): glibc-minimal-langpack-2.39.9000-18.f 14 MB/s | 112 kB 00:00 (51/154): gmp-6.3.0-1.fc41.s390x.rpm 37 MB/s | 329 kB 00:00 (52/154): glibc-2.39.9000-18.fc41.s390x.rpm 51 MB/s | 1.9 MB 00:00 (53/154): gnat-srpm-macros-6-5.fc40.noarch.rpm 1.5 MB/s | 8.8 kB 00:00 (54/154): go-srpm-macros-3.6.0-1.fc41.noarch.rp 5.3 MB/s | 28 kB 00:00 (55/154): glibc-gconv-extra-2.39.9000-18.fc41.s 53 MB/s | 1.7 MB 00:00 (56/154): grep-3.11-8.fc41.s390x.rpm 35 MB/s | 296 kB 00:00 (57/154): gzip-1.13-1.fc40.s390x.rpm 19 MB/s | 171 kB 00:00 (58/154): info-7.1-2.fc40.s390x.rpm 25 MB/s | 195 kB 00:00 (59/154): jansson-2.13.1-9.fc40.s390x.rpm 6.8 MB/s | 45 kB 00:00 (60/154): kernel-srpm-macros-1.0-23.fc41.noarch 2.2 MB/s | 9.8 kB 00:00 (61/154): keyutils-libs-1.6.3-3.fc40.s390x.rpm 5.2 MB/s | 31 kB 00:00 (62/154): libacl-2.3.2-1.fc40.s390x.rpm 3.8 MB/s | 25 kB 00:00 (63/154): libarchive-3.7.4-1.fc41.s390x.rpm 49 MB/s | 442 kB 00:00 (64/154): krb5-libs-1.21.2-5.fc40.s390x.rpm 48 MB/s | 781 kB 00:00 (65/154): libattr-2.5.2-3.fc40.s390x.rpm 2.7 MB/s | 18 kB 00:00 (66/154): libblkid-2.40.1-1.fc41.s390x.rpm 19 MB/s | 130 kB 00:00 (67/154): libcap-2.70-1.fc41.s390x.rpm 13 MB/s | 89 kB 00:00 (68/154): libbrotli-1.1.0-3.fc40.s390x.rpm 41 MB/s | 387 kB 00:00 (69/154): libcap-ng-0.8.5-1.fc41.s390x.rpm 4.5 MB/s | 33 kB 00:00 (70/154): libcom_err-1.47.0-5.fc40.s390x.rpm 4.6 MB/s | 25 kB 00:00 (71/154): libcurl-8.8.0-1.fc41.s390x.rpm 46 MB/s | 374 kB 00:00 (72/154): libeconf-0.6.2-2.fc41.s390x.rpm 4.4 MB/s | 34 kB 00:00 (73/154): libevent-2.1.12-13.fc41.s390x.rpm 29 MB/s | 260 kB 00:00 (74/154): libfdisk-2.40.1-1.fc41.s390x.rpm 19 MB/s | 165 kB 00:00 (75/154): libffi-3.4.6-1.fc41.s390x.rpm 4.4 MB/s | 36 kB 00:00 (76/154): libgcc-14.1.1-5.fc41.s390x.rpm 11 MB/s | 87 kB 00:00 (77/154): libgomp-14.1.1-5.fc41.s390x.rpm 41 MB/s | 353 kB 00:00 (78/154): libidn2-2.3.7-1.fc40.s390x.rpm 15 MB/s | 113 kB 00:00 (79/154): libmount-2.40.1-1.fc41.s390x.rpm 26 MB/s | 159 kB 00:00 (80/154): libnghttp2-1.62.0-1.fc41.s390x.rpm 11 MB/s | 80 kB 00:00 (81/154): libnsl2-2.0.1-1.fc40.s390x.rpm 5.4 MB/s | 29 kB 00:00 (82/154): libpkgconf-2.1.1-1.fc41.s390x.rpm 7.1 MB/s | 39 kB 00:00 (83/154): libpsl-0.21.5-3.fc40.s390x.rpm 8.3 MB/s | 64 kB 00:00 (84/154): libpwquality-1.4.5-9.fc40.s390x.rpm 14 MB/s | 109 kB 00:00 (85/154): libselinux-3.6-4.fc40.s390x.rpm 12 MB/s | 92 kB 00:00 (86/154): libsmartcols-2.40.1-1.fc41.s390x.rpm 16 MB/s | 86 kB 00:00 (87/154): libsemanage-3.6-3.fc40.s390x.rpm 18 MB/s | 120 kB 00:00 (88/154): libsepol-3.6-3.fc40.s390x.rpm 33 MB/s | 349 kB 00:00 (89/154): libssh-config-0.10.6-6.fc41.noarch.rp 2.4 MB/s | 9.1 kB 00:00 (90/154): libssh-0.10.6-6.fc41.s390x.rpm 27 MB/s | 211 kB 00:00 (91/154): libtasn1-4.19.0-6.fc40.s390x.rpm 12 MB/s | 78 kB 00:00 (92/154): libtirpc-1.3.4-1.rc3.fc41.s390x.rpm 14 MB/s | 95 kB 00:00 (93/154): libtool-ltdl-2.4.7-11.fc41.s390x.rpm 4.9 MB/s | 36 kB 00:00 (94/154): libstdc++-14.1.1-5.fc41.s390x.rpm 61 MB/s | 987 kB 00:00 (95/154): libunistring-1.1-7.fc41.s390x.rpm 52 MB/s | 560 kB 00:00 (96/154): libutempter-1.2.1-13.fc40.s390x.rpm 3.9 MB/s | 26 kB 00:00 (97/154): libuuid-2.40.1-1.fc41.s390x.rpm 4.3 MB/s | 29 kB 00:00 (98/154): libverto-0.3.2-8.fc40.s390x.rpm 3.3 MB/s | 21 kB 00:00 (99/154): libxcrypt-4.4.36-5.fc40.s390x.rpm 18 MB/s | 124 kB 00:00 (100/154): lua-libs-5.4.6-5.fc40.s390x.rpm 17 MB/s | 143 kB 00:00 (101/154): libxml2-2.12.7-1.fc41.s390x.rpm 42 MB/s | 706 kB 00:00 (102/154): libzstd-1.5.6-1.fc41.s390x.rpm 26 MB/s | 345 kB 00:00 (103/154): lua-srpm-macros-1-13.fc40.noarch.rpm 1.2 MB/s | 8.7 kB 00:00 (104/154): lz4-libs-1.9.4-6.fc40.s390x.rpm 15 MB/s | 83 kB 00:00 (105/154): ncurses-base-6.4-12.20240127.fc40.no 11 MB/s | 64 kB 00:00 (106/154): mpfr-4.2.1-4.fc41.s390x.rpm 29 MB/s | 298 kB 00:00 (107/154): ncurses-libs-6.4-12.20240127.fc40.s3 46 MB/s | 363 kB 00:00 (108/154): openblas-srpm-macros-2-17.fc41.noarc 2.0 MB/s | 7.7 kB 00:00 (109/154): ocaml-srpm-macros-10-2.fc41.noarch.r 1.9 MB/s | 9.1 kB 00:00 (110/154): openldap-2.6.8-1.fc41.s390x.rpm 25 MB/s | 263 kB 00:00 (111/154): p11-kit-0.25.3-4.fc40.s390x.rpm 37 MB/s | 519 kB 00:00 (112/154): p11-kit-trust-0.25.3-4.fc40.s390x.rp 18 MB/s | 144 kB 00:00 (113/154): package-notes-srpm-macros-0.5-11.fc4 1.3 MB/s | 9.9 kB 00:00 (114/154): openssl-libs-3.2.2-1.fc41.s390x.rpm 88 MB/s | 2.0 MB 00:00 (115/154): pam-libs-1.6.1-3.fc41.s390x.rpm 8.6 MB/s | 58 kB 00:00 (116/154): pam-1.6.1-3.fc41.s390x.rpm 38 MB/s | 525 kB 00:00 (117/154): patch-2.7.6-24.fc40.s390x.rpm 17 MB/s | 141 kB 00:00 (118/154): perl-srpm-macros-1-53.fc40.noarch.rp 2.1 MB/s | 8.4 kB 00:00 (119/154): pcre2-syntax-10.43-2.fc41.1.noarch.r 26 MB/s | 149 kB 00:00 (120/154): pcre2-10.43-2.fc41.1.s390x.rpm 24 MB/s | 262 kB 00:00 (121/154): pkgconf-m4-2.1.1-1.fc41.noarch.rpm 3.5 MB/s | 14 kB 00:00 (122/154): pkgconf-2.1.1-1.fc41.s390x.rpm 6.8 MB/s | 44 kB 00:00 (123/154): pkgconf-pkg-config-2.1.1-1.fc41.s390 1.6 MB/s | 9.8 kB 00:00 (124/154): publicsuffix-list-dafsa-20240107-3.f 16 MB/s | 58 kB 00:00 (125/154): popt-1.19-6.fc40.s390x.rpm 9.2 MB/s | 62 kB 00:00 (126/154): pyproject-srpm-macros-1.12.1-1.fc41. 2.9 MB/s | 13 kB 00:00 (127/154): python-srpm-macros-3.12-9.fc41.noarc 5.4 MB/s | 24 kB 00:00 (128/154): qt5-srpm-macros-5.15.14-1.fc41.noarc 1.8 MB/s | 8.9 kB 00:00 (129/154): qt6-srpm-macros-6.7.1-1.fc41.noarch. 2.1 MB/s | 8.9 kB 00:00 (130/154): readline-8.2-8.fc40.s390x.rpm 27 MB/s | 230 kB 00:00 (131/154): redhat-rpm-config-292-1.fc41.noarch. 12 MB/s | 75 kB 00:00 (132/154): rpm-4.19.91-8.fc41.s390x.rpm 50 MB/s | 522 kB 00:00 (133/154): rpm-build-4.19.91-8.fc41.s390x.rpm 9.7 MB/s | 76 kB 00:00 (134/154): rpm-build-libs-4.19.91-8.fc41.s390x. 13 MB/s | 100 kB 00:00 (135/154): rust-srpm-macros-26.3-1.fc41.noarch. 3.3 MB/s | 13 kB 00:00 (136/154): rpm-libs-4.19.91-8.fc41.s390x.rpm 32 MB/s | 323 kB 00:00 (137/154): sed-4.9-1.fc40.s390x.rpm 33 MB/s | 312 kB 00:00 (138/154): setup-2.15.0-4.fc41.noarch.rpm 22 MB/s | 148 kB 00:00 (139/154): rpm-sequoia-1.6.0-3.fc41.s390x.rpm 51 MB/s | 971 kB 00:00 (140/154): sqlite-libs-3.46.0-1.fc41.s390x.rpm 58 MB/s | 766 kB 00:00 (141/154): systemd-libs-256~rc4-2.fc41.s390x.rp 42 MB/s | 743 kB 00:00 (142/154): shadow-utils-4.15.1-5.fc41.s390x.rpm 48 MB/s | 1.3 MB 00:00 (143/154): tar-1.35-3.fc40.s390x.rpm 64 MB/s | 871 kB 00:00 (144/154): unzip-6.0-63.fc40.s390x.rpm 24 MB/s | 195 kB 00:00 (145/154): util-linux-core-2.40.1-1.fc41.s390x. 61 MB/s | 527 kB 00:00 (146/154): which-2.21-41.fc40.s390x.rpm 7.0 MB/s | 43 kB 00:00 (147/154): xxhash-libs-0.8.2-2.fc40.s390x.rpm 5.7 MB/s | 36 kB 00:00 (148/154): util-linux-2.40.1-1.fc41.s390x.rpm 55 MB/s | 1.1 MB 00:00 (149/154): xz-5.4.6-3.fc41.s390x.rpm 41 MB/s | 533 kB 00:00 (150/154): xz-libs-5.4.6-3.fc41.s390x.rpm 15 MB/s | 116 kB 00:00 (151/154): zig-srpm-macros-1-2.fc40.noarch.rpm 1.5 MB/s | 8.0 kB 00:00 (152/154): zlib-ng-compat-2.1.6-6.fc41.s390x.rp 12 MB/s | 66 kB 00:00 (153/154): zip-3.0-40.fc40.s390x.rpm 31 MB/s | 283 kB 00:00 (154/154): zstd-1.5.6-1.fc41.s390x.rpm 40 MB/s | 516 kB 00:00 -------------------------------------------------------------------------------- Total 87 MB/s | 53 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-9.fc41.s390x 1/1 Preparing : 1/1 Installing : libgcc-14.1.1-5.fc41.s390x 1/154 Running scriptlet: libgcc-14.1.1-5.fc41.s390x 1/154 Installing : crypto-policies-20240521-1.gitf71d135.fc41.noarc 2/154 Running scriptlet: crypto-policies-20240521-1.gitf71d135.fc41.noarc 2/154 Installing : fedora-release-identity-basic-41-0.13.noarch 3/154 Installing : fedora-repos-rawhide-41-0.2.noarch 4/154 Installing : fedora-gpg-keys-41-0.2.noarch 5/154 Installing : fedora-repos-41-0.2.noarch 6/154 Installing : fedora-release-common-41-0.13.noarch 7/154 Installing : fedora-release-41-0.13.noarch 8/154 Installing : setup-2.15.0-4.fc41.noarch 9/154 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.15.0-4.fc41.noarch 9/154 Installing : filesystem-3.18-9.fc41.s390x 10/154 Installing : basesystem-11-20.fc40.noarch 11/154 Installing : rust-srpm-macros-26.3-1.fc41.noarch 12/154 Installing : qt6-srpm-macros-6.7.1-1.fc41.noarch 13/154 Installing : qt5-srpm-macros-5.15.14-1.fc41.noarch 14/154 Installing : publicsuffix-list-dafsa-20240107-3.fc40.noarch 15/154 Installing : pkgconf-m4-2.1.1-1.fc41.noarch 16/154 Installing : perl-srpm-macros-1-53.fc40.noarch 17/154 Installing : pcre2-syntax-10.43-2.fc41.1.noarch 18/154 Installing : package-notes-srpm-macros-0.5-11.fc40.noarch 19/154 Installing : openblas-srpm-macros-2-17.fc41.noarch 20/154 Installing : ocaml-srpm-macros-10-2.fc41.noarch 21/154 Installing : ncurses-base-6.4-12.20240127.fc40.noarch 22/154 Installing : glibc-gconv-extra-2.39.9000-18.fc41.s390x 23/154 Running scriptlet: glibc-gconv-extra-2.39.9000-18.fc41.s390x 23/154 Installing : glibc-minimal-langpack-2.39.9000-18.fc41.s390x 24/154 Installing : glibc-common-2.39.9000-18.fc41.s390x 25/154 Running scriptlet: glibc-2.39.9000-18.fc41.s390x 26/154 Installing : glibc-2.39.9000-18.fc41.s390x 26/154 Running scriptlet: glibc-2.39.9000-18.fc41.s390x 26/154 Installing : ncurses-libs-6.4-12.20240127.fc40.s390x 27/154 Installing : bash-5.2.26-3.fc40.s390x 28/154 Running scriptlet: bash-5.2.26-3.fc40.s390x 28/154 Installing : zlib-ng-compat-2.1.6-6.fc41.s390x 29/154 Installing : bzip2-libs-1.0.8-18.fc40.s390x 30/154 Installing : xz-libs-1:5.4.6-3.fc41.s390x 31/154 Installing : readline-8.2-8.fc40.s390x 32/154 Installing : libuuid-2.40.1-1.fc41.s390x 33/154 Installing : libzstd-1.5.6-1.fc41.s390x 34/154 Installing : elfutils-libelf-0.191-7.fc41.s390x 35/154 Installing : popt-1.19-6.fc40.s390x 36/154 Installing : libblkid-2.40.1-1.fc41.s390x 37/154 Installing : gmp-1:6.3.0-1.fc41.s390x 38/154 Installing : libattr-2.5.2-3.fc40.s390x 39/154 Installing : libacl-2.3.2-1.fc40.s390x 40/154 Installing : libstdc++-14.1.1-5.fc41.s390x 41/154 Installing : libxcrypt-4.4.36-5.fc40.s390x 42/154 Installing : gdbm-libs-1:1.23-6.fc40.s390x 43/154 Installing : libeconf-0.6.2-2.fc41.s390x 44/154 Installing : mpfr-4.2.1-4.fc41.s390x 45/154 Installing : gawk-5.3.0-3.fc40.s390x 46/154 Installing : dwz-0.15-6.fc40.s390x 47/154 Installing : unzip-6.0-63.fc40.s390x 48/154 Installing : file-libs-5.45-5.fc41.s390x 49/154 Installing : file-5.45-5.fc41.s390x 50/154 Installing : alternatives-1.27-1.fc41.s390x 51/154 Installing : libcap-ng-0.8.5-1.fc41.s390x 52/154 Installing : audit-libs-4.0.1-2.fc41.s390x 53/154 Installing : pam-libs-1.6.1-3.fc41.s390x 54/154 Installing : libcap-2.70-1.fc41.s390x 55/154 Installing : systemd-libs-256~rc4-2.fc41.s390x 56/154 Installing : libcom_err-1.47.0-5.fc40.s390x 57/154 Installing : libsepol-3.6-3.fc40.s390x 58/154 Installing : libsmartcols-2.40.1-1.fc41.s390x 59/154 Installing : libtasn1-4.19.0-6.fc40.s390x 60/154 Installing : libunistring-1.1-7.fc41.s390x 61/154 Installing : libidn2-2.3.7-1.fc40.s390x 62/154 Installing : lua-libs-5.4.6-5.fc40.s390x 63/154 Installing : lz4-libs-1.9.4-6.fc40.s390x 64/154 Installing : pcre2-10.43-2.fc41.1.s390x 65/154 Installing : libselinux-3.6-4.fc40.s390x 66/154 Installing : sed-4.9-1.fc40.s390x 67/154 Installing : grep-3.11-8.fc41.s390x 68/154 Installing : findutils-1:4.10.0-2.fc41.s390x 69/154 Installing : xz-1:5.4.6-3.fc41.s390x 70/154 Installing : libmount-2.40.1-1.fc41.s390x 71/154 Installing : util-linux-core-2.40.1-1.fc41.s390x 72/154 Installing : libsemanage-3.6-3.fc40.s390x 73/154 Installing : shadow-utils-2:4.15.1-5.fc41.s390x 74/154 Running scriptlet: libutempter-1.2.1-13.fc40.s390x 75/154 Installing : libutempter-1.2.1-13.fc40.s390x 75/154 Installing : tar-2:1.35-3.fc40.s390x 76/154 Installing : zstd-1.5.6-1.fc41.s390x 77/154 Installing : libpsl-0.21.5-3.fc40.s390x 78/154 Installing : zip-3.0-40.fc40.s390x 79/154 Installing : cyrus-sasl-lib-2.1.28-22.fc41.s390x 80/154 Installing : gdbm-1:1.23-6.fc40.s390x 81/154 Installing : libfdisk-2.40.1-1.fc41.s390x 82/154 Installing : add-determinism-nopython-0.2.0-9.fc41.s390x 83/154 Installing : build-reproducibility-srpm-macros-0.2.0-9.fc41.n 84/154 Installing : libxml2-2.12.7-1.fc41.s390x 85/154 Installing : bzip2-1.0.8-18.fc40.s390x 86/154 Installing : sqlite-libs-3.46.0-1.fc41.s390x 87/154 Installing : ed-1.20.2-1.fc41.s390x 88/154 Installing : patch-2.7.6-24.fc40.s390x 89/154 Installing : elfutils-default-yama-scope-0.191-7.fc41.noarch 90/154 Running scriptlet: elfutils-default-yama-scope-0.191-7.fc41.noarch 90/154 Installing : cpio-2.15-1.fc40.s390x 91/154 Installing : diffutils-3.10-5.fc40.s390x 92/154 Installing : jansson-2.13.1-9.fc40.s390x 93/154 Installing : keyutils-libs-1.6.3-3.fc40.s390x 94/154 Installing : libbrotli-1.1.0-3.fc40.s390x 95/154 Installing : libffi-3.4.6-1.fc41.s390x 96/154 Installing : p11-kit-0.25.3-4.fc40.s390x 97/154 Installing : p11-kit-trust-0.25.3-4.fc40.s390x 98/154 Running scriptlet: p11-kit-trust-0.25.3-4.fc40.s390x 98/154 Installing : libgomp-14.1.1-5.fc41.s390x 99/154 Installing : libnghttp2-1.62.0-1.fc41.s390x 100/154 Installing : libpkgconf-2.1.1-1.fc41.s390x 101/154 Installing : pkgconf-2.1.1-1.fc41.s390x 102/154 Installing : pkgconf-pkg-config-2.1.1-1.fc41.s390x 103/154 Installing : libtool-ltdl-2.4.7-11.fc41.s390x 104/154 Installing : libverto-0.3.2-8.fc40.s390x 105/154 Installing : xxhash-libs-0.8.2-2.fc40.s390x 106/154 Installing : libssh-config-0.10.6-6.fc41.noarch 107/154 Installing : kernel-srpm-macros-1.0-23.fc41.noarch 108/154 Installing : gnat-srpm-macros-6-5.fc40.noarch 109/154 Installing : ghc-srpm-macros-1.9.1-1.fc41.noarch 110/154 Installing : fpc-srpm-macros-1.3-12.fc40.noarch 111/154 Installing : coreutils-common-9.5-2.fc41.s390x 112/154 Installing : openssl-libs-1:3.2.2-1.fc41.s390x 113/154 Installing : coreutils-9.5-2.fc41.s390x 114/154 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 115/154 Installing : ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 115/154 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 115/154 Installing : krb5-libs-1.21.2-5.fc40.s390x 116/154 Installing : libarchive-3.7.4-1.fc41.s390x 117/154 Installing : libtirpc-1.3.4-1.rc3.fc41.s390x 118/154 Installing : authselect-libs-1.5.0-5.fc41.s390x 119/154 Installing : gzip-1.13-1.fc40.s390x 120/154 Installing : cracklib-2.9.11-5.fc40.s390x 121/154 Installing : libpwquality-1.4.5-9.fc40.s390x 122/154 Installing : authselect-1.5.0-5.fc41.s390x 123/154 Installing : libnsl2-2.0.1-1.fc40.s390x 124/154 Installing : pam-1.6.1-3.fc41.s390x 125/154 Installing : libssh-0.10.6-6.fc41.s390x 126/154 Installing : libevent-2.1.12-13.fc41.s390x 127/154 Installing : openldap-2.6.8-1.fc41.s390x 128/154 Installing : libcurl-8.8.0-1.fc41.s390x 129/154 Installing : elfutils-libs-0.191-7.fc41.s390x 130/154 Installing : elfutils-debuginfod-client-0.191-7.fc41.s390x 131/154 Installing : gdb-minimal-14.2-11.fc41.s390x 132/154 Installing : binutils-2.42.50-14.fc41.s390x 133/154 Running scriptlet: binutils-2.42.50-14.fc41.s390x 133/154 Installing : elfutils-0.191-7.fc41.s390x 134/154 Installing : debugedit-5.0-16.fc41.s390x 135/154 Installing : curl-8.8.0-1.fc41.s390x 136/154 Installing : rpm-sequoia-1.6.0-3.fc41.s390x 137/154 Installing : rpm-libs-4.19.91-8.fc41.s390x 138/154 Running scriptlet: rpm-4.19.91-8.fc41.s390x 139/154 Installing : rpm-4.19.91-8.fc41.s390x 139/154 Installing : efi-srpm-macros-5-11.fc40.noarch 140/154 Installing : lua-srpm-macros-1-13.fc40.noarch 141/154 Installing : zig-srpm-macros-1-2.fc40.noarch 142/154 Installing : rpm-build-libs-4.19.91-8.fc41.s390x 143/154 Installing : ansible-srpm-macros-1-15.fc41.noarch 144/154 Installing : fonts-srpm-macros-1:2.0.5-15.fc41.noarch 145/154 Installing : forge-srpm-macros-0.3.1-1.fc41.noarch 146/154 Installing : go-srpm-macros-3.6.0-1.fc41.noarch 147/154 Installing : python-srpm-macros-3.12-9.fc41.noarch 148/154 Installing : redhat-rpm-config-292-1.fc41.noarch 149/154 Installing : rpm-build-4.19.91-8.fc41.s390x 150/154 Installing : pyproject-srpm-macros-1.12.1-1.fc41.noarch 151/154 Installing : util-linux-2.40.1-1.fc41.s390x 152/154 Running scriptlet: util-linux-2.40.1-1.fc41.s390x 152/154 Installing : which-2.21-41.fc40.s390x 153/154 Installing : info-7.1-2.fc40.s390x 154/154 Running scriptlet: filesystem-3.18-9.fc41.s390x 154/154 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 154/154 Running scriptlet: authselect-libs-1.5.0-5.fc41.s390x 154/154 Running scriptlet: rpm-4.19.91-8.fc41.s390x 154/154 Running scriptlet: info-7.1-2.fc40.s390x 154/154 Installed: add-determinism-nopython-0.2.0-9.fc41.s390x alternatives-1.27-1.fc41.s390x ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.s390x authselect-1.5.0-5.fc41.s390x authselect-libs-1.5.0-5.fc41.s390x basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.s390x binutils-2.42.50-14.fc41.s390x build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.s390x bzip2-libs-1.0.8-18.fc40.s390x ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.s390x coreutils-common-9.5-2.fc41.s390x cpio-2.15-1.fc40.s390x cracklib-2.9.11-5.fc40.s390x crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.s390x cyrus-sasl-lib-2.1.28-22.fc41.s390x debugedit-5.0-16.fc41.s390x diffutils-3.10-5.fc40.s390x dwz-0.15-6.fc40.s390x ed-1.20.2-1.fc41.s390x efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.s390x elfutils-debuginfod-client-0.191-7.fc41.s390x elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.s390x elfutils-libs-0.191-7.fc41.s390x fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.s390x file-libs-5.45-5.fc41.s390x filesystem-3.18-9.fc41.s390x findutils-1:4.10.0-2.fc41.s390x fonts-srpm-macros-1:2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.s390x gdb-minimal-14.2-11.fc41.s390x gdbm-1:1.23-6.fc40.s390x gdbm-libs-1:1.23-6.fc40.s390x ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.s390x glibc-common-2.39.9000-18.fc41.s390x glibc-gconv-extra-2.39.9000-18.fc41.s390x glibc-minimal-langpack-2.39.9000-18.fc41.s390x gmp-1:6.3.0-1.fc41.s390x gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.s390x gzip-1.13-1.fc40.s390x info-7.1-2.fc40.s390x jansson-2.13.1-9.fc40.s390x kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.s390x krb5-libs-1.21.2-5.fc40.s390x libacl-2.3.2-1.fc40.s390x libarchive-3.7.4-1.fc41.s390x libattr-2.5.2-3.fc40.s390x libblkid-2.40.1-1.fc41.s390x libbrotli-1.1.0-3.fc40.s390x libcap-2.70-1.fc41.s390x libcap-ng-0.8.5-1.fc41.s390x libcom_err-1.47.0-5.fc40.s390x libcurl-8.8.0-1.fc41.s390x libeconf-0.6.2-2.fc41.s390x libevent-2.1.12-13.fc41.s390x libfdisk-2.40.1-1.fc41.s390x libffi-3.4.6-1.fc41.s390x libgcc-14.1.1-5.fc41.s390x libgomp-14.1.1-5.fc41.s390x libidn2-2.3.7-1.fc40.s390x libmount-2.40.1-1.fc41.s390x libnghttp2-1.62.0-1.fc41.s390x libnsl2-2.0.1-1.fc40.s390x libpkgconf-2.1.1-1.fc41.s390x libpsl-0.21.5-3.fc40.s390x libpwquality-1.4.5-9.fc40.s390x libselinux-3.6-4.fc40.s390x libsemanage-3.6-3.fc40.s390x libsepol-3.6-3.fc40.s390x libsmartcols-2.40.1-1.fc41.s390x libssh-0.10.6-6.fc41.s390x libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.s390x libtasn1-4.19.0-6.fc40.s390x libtirpc-1.3.4-1.rc3.fc41.s390x libtool-ltdl-2.4.7-11.fc41.s390x libunistring-1.1-7.fc41.s390x libutempter-1.2.1-13.fc40.s390x libuuid-2.40.1-1.fc41.s390x libverto-0.3.2-8.fc40.s390x libxcrypt-4.4.36-5.fc40.s390x libxml2-2.12.7-1.fc41.s390x libzstd-1.5.6-1.fc41.s390x lua-libs-5.4.6-5.fc40.s390x lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.s390x mpfr-4.2.1-4.fc41.s390x ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.s390x ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.s390x openssl-libs-1:3.2.2-1.fc41.s390x p11-kit-0.25.3-4.fc40.s390x p11-kit-trust-0.25.3-4.fc40.s390x package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.s390x pam-libs-1.6.1-3.fc41.s390x patch-2.7.6-24.fc40.s390x pcre2-10.43-2.fc41.1.s390x pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.s390x pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.s390x popt-1.19-6.fc40.s390x publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.s390x redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.s390x rpm-build-4.19.91-8.fc41.s390x rpm-build-libs-4.19.91-8.fc41.s390x rpm-libs-4.19.91-8.fc41.s390x rpm-sequoia-1.6.0-3.fc41.s390x rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.s390x setup-2.15.0-4.fc41.noarch shadow-utils-2:4.15.1-5.fc41.s390x sqlite-libs-3.46.0-1.fc41.s390x systemd-libs-256~rc4-2.fc41.s390x tar-2:1.35-3.fc40.s390x unzip-6.0-63.fc40.s390x util-linux-2.40.1-1.fc41.s390x util-linux-core-2.40.1-1.fc41.s390x which-2.21-41.fc40.s390x xxhash-libs-0.8.2-2.fc40.s390x xz-1:5.4.6-3.fc41.s390x xz-libs-1:5.4.6-3.fc41.s390x zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.s390x zlib-ng-compat-2.1.6-6.fc41.s390x zstd-1.5.6-1.fc41.s390x Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.s390x alternatives-1.27-1.fc41.s390x ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.s390x authselect-1.5.0-5.fc41.s390x authselect-libs-1.5.0-5.fc41.s390x basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.s390x binutils-2.42.50-14.fc41.s390x build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.s390x bzip2-libs-1.0.8-18.fc40.s390x ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.s390x coreutils-common-9.5-2.fc41.s390x cpio-2.15-1.fc40.s390x cracklib-2.9.11-5.fc40.s390x crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.s390x cyrus-sasl-lib-2.1.28-22.fc41.s390x debugedit-5.0-16.fc41.s390x diffutils-3.10-5.fc40.s390x dwz-0.15-6.fc40.s390x ed-1.20.2-1.fc41.s390x efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.s390x elfutils-debuginfod-client-0.191-7.fc41.s390x elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.s390x elfutils-libs-0.191-7.fc41.s390x fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.s390x file-libs-5.45-5.fc41.s390x filesystem-3.18-9.fc41.s390x findutils-4.10.0-2.fc41.s390x fonts-srpm-macros-2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.s390x gdb-minimal-14.2-11.fc41.s390x gdbm-1.23-6.fc40.s390x gdbm-libs-1.23-6.fc40.s390x ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.s390x glibc-common-2.39.9000-18.fc41.s390x glibc-gconv-extra-2.39.9000-18.fc41.s390x glibc-minimal-langpack-2.39.9000-18.fc41.s390x gmp-6.3.0-1.fc41.s390x gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.s390x gzip-1.13-1.fc40.s390x info-7.1-2.fc40.s390x jansson-2.13.1-9.fc40.s390x kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.s390x krb5-libs-1.21.2-5.fc40.s390x libacl-2.3.2-1.fc40.s390x libarchive-3.7.4-1.fc41.s390x libattr-2.5.2-3.fc40.s390x libblkid-2.40.1-1.fc41.s390x libbrotli-1.1.0-3.fc40.s390x libcap-2.70-1.fc41.s390x libcap-ng-0.8.5-1.fc41.s390x libcom_err-1.47.0-5.fc40.s390x libcurl-8.8.0-1.fc41.s390x libeconf-0.6.2-2.fc41.s390x libevent-2.1.12-13.fc41.s390x libfdisk-2.40.1-1.fc41.s390x libffi-3.4.6-1.fc41.s390x libgcc-14.1.1-5.fc41.s390x libgomp-14.1.1-5.fc41.s390x libidn2-2.3.7-1.fc40.s390x libmount-2.40.1-1.fc41.s390x libnghttp2-1.62.0-1.fc41.s390x libnsl2-2.0.1-1.fc40.s390x libpkgconf-2.1.1-1.fc41.s390x libpsl-0.21.5-3.fc40.s390x libpwquality-1.4.5-9.fc40.s390x libselinux-3.6-4.fc40.s390x libsemanage-3.6-3.fc40.s390x libsepol-3.6-3.fc40.s390x libsmartcols-2.40.1-1.fc41.s390x libssh-0.10.6-6.fc41.s390x libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.s390x libtasn1-4.19.0-6.fc40.s390x libtirpc-1.3.4-1.rc3.fc41.s390x libtool-ltdl-2.4.7-11.fc41.s390x libunistring-1.1-7.fc41.s390x libutempter-1.2.1-13.fc40.s390x libuuid-2.40.1-1.fc41.s390x libverto-0.3.2-8.fc40.s390x libxcrypt-4.4.36-5.fc40.s390x libxml2-2.12.7-1.fc41.s390x libzstd-1.5.6-1.fc41.s390x lua-libs-5.4.6-5.fc40.s390x lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.s390x mpfr-4.2.1-4.fc41.s390x ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.s390x ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.s390x openssl-libs-3.2.2-1.fc41.s390x p11-kit-0.25.3-4.fc40.s390x p11-kit-trust-0.25.3-4.fc40.s390x package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.s390x pam-libs-1.6.1-3.fc41.s390x patch-2.7.6-24.fc40.s390x pcre2-10.43-2.fc41.1.s390x pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.s390x pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.s390x popt-1.19-6.fc40.s390x publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.s390x redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.s390x rpm-build-4.19.91-8.fc41.s390x rpm-build-libs-4.19.91-8.fc41.s390x rpm-libs-4.19.91-8.fc41.s390x rpm-sequoia-1.6.0-3.fc41.s390x rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.s390x setup-2.15.0-4.fc41.noarch shadow-utils-4.15.1-5.fc41.s390x sqlite-libs-3.46.0-1.fc41.s390x systemd-libs-256~rc4-2.fc41.s390x tar-1.35-3.fc40.s390x unzip-6.0-63.fc40.s390x util-linux-2.40.1-1.fc41.s390x util-linux-core-2.40.1-1.fc41.s390x which-2.21-41.fc40.s390x xxhash-libs-0.8.2-2.fc40.s390x xz-5.4.6-3.fc41.s390x xz-libs-5.4.6-3.fc41.s390x zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.s390x zlib-ng-compat-2.1.6-6.fc41.s390x zstd-1.5.6-1.fc41.s390x Start: buildsrpm Start: rpmbuild -bs Building target platforms: s390x Building for target s390x setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log/dnf.log /var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log/dnf.rpm.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-ut5qf9_m/nextpnr/nextpnr.spec) Config(child) 3 minutes 45 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(fedora-39-s390x) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-s390x-bootstrap-1717909395.758899/root. INFO: reusing tmpfs at /var/lib/mock/fedora-39-s390x-bootstrap-1717909395.758899/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-s390x-1717909395.758899/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.s390x rpm-sequoia-1.6.0-3.fc39.s390x python3-dnf-4.20.0-1.fc41.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.s390x Finish: chroot init Start: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: s390x Building for target s390x setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 253 B/s | 1.5 kB 00:06 Additional repo http_kojipkgs_fedoraproject_org 130 kB/s | 3.8 kB 00:00 fedora 169 kB/s | 5.5 kB 00:00 updates 1.3 kB/s | 6.5 kB 00:05 Dependencies resolved. =========================================================================================================================================== Package Arch Version Repository Size =========================================================================================================================================== Installing: boost-devel s390x 1.83.0-7.fc41 copr_base 12 M boost-filesystem s390x 1.83.0-7.fc41 copr_base 68 k boost-iostreams s390x 1.83.0-7.fc41 copr_base 40 k boost-program-options s390x 1.83.0-7.fc41 copr_base 111 k boost-thread s390x 1.83.0-7.fc41 copr_base 62 k cmake s390x 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 M eigen3-devel noarch 3.4.0-15.fc40 copr_base 1.1 M gcc-c++ s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 M icestorm s390x 0-0.33.20240524gitc23e99c.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 M libglvnd-devel s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 157 k make s390x 1:4.4.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 601 k python3-devel s390x 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 275 k python3-setuptools noarch 69.2.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 M qt5-qtconfiguration-devel s390x 0.3.1-22.fc40 copr_base 15 k trellis-devel s390x 1.2.1-26.20240524git2dab009.fc41 copr_base 1.1 M Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k add-determinism s390x 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M annobin-docs noarch 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 90 k annobin-plugin-gcc s390x 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 964 k avahi-libs s390x 0.8-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67 k boost s390x 1.83.0-7.fc41 copr_base 14 k boost-atomic s390x 1.83.0-7.fc41 copr_base 19 k boost-chrono s390x 1.83.0-7.fc41 copr_base 25 k boost-container s390x 1.83.0-7.fc41 copr_base 41 k boost-context s390x 1.83.0-7.fc41 copr_base 17 k boost-contract s390x 1.83.0-7.fc41 copr_base 45 k boost-coroutine s390x 1.83.0-7.fc41 copr_base 20 k boost-date-time s390x 1.83.0-7.fc41 copr_base 16 k boost-fiber s390x 1.83.0-7.fc41 copr_base 43 k boost-graph s390x 1.83.0-7.fc41 copr_base 159 k boost-json s390x 1.83.0-7.fc41 copr_base 155 k boost-locale s390x 1.83.0-7.fc41 copr_base 217 k boost-log s390x 1.83.0-7.fc41 copr_base 500 k boost-math s390x 1.83.0-7.fc41 copr_base 241 k boost-nowide s390x 1.83.0-7.fc41 copr_base 21 k boost-numpy3 s390x 1.83.0-7.fc41 copr_base 29 k boost-python3 s390x 1.83.0-7.fc41 copr_base 94 k boost-random s390x 1.83.0-7.fc41 copr_base 24 k boost-regex s390x 1.83.0-7.fc41 copr_base 124 k boost-serialization s390x 1.83.0-7.fc41 copr_base 137 k boost-stacktrace s390x 1.83.0-7.fc41 copr_base 30 k boost-system s390x 1.83.0-7.fc41 copr_base 16 k boost-test s390x 1.83.0-7.fc41 copr_base 249 k boost-timer s390x 1.83.0-7.fc41 copr_base 21 k boost-type_erasure s390x 1.83.0-7.fc41 copr_base 33 k boost-wave s390x 1.83.0-7.fc41 copr_base 226 k cairo s390x 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 729 k cmake-data noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 M cmake-filesystem s390x 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k cmake-rpm-macros noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k cpp s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.6 M cups-libs s390x 1:2.4.8-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 278 k dbus s390x 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.9 k dbus-broker s390x 36-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 172 k dbus-common noarch 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k dbus-libs s390x 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 159 k dconf s390x 0.40.0-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 111 k default-fonts-core-sans noarch 4.0-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k double-conversion s390x 3.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53 k duktape s390x 2.7.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 174 k emacs-filesystem noarch 1:30.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.1 k expat s390x 2.6.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 117 k flexiblas s390x 3.4.4-1.fc41 copr_base 26 k flexiblas-netlib s390x 3.4.4-1.fc41 copr_base 3.8 M flexiblas-openblas-openmp s390x 3.4.4-1.fc41 copr_base 18 k fontconfig s390x 2.15.0-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 265 k fonts-filesystem noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 k freetype s390x 2.13.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 445 k gc s390x 8.2.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 114 k gcc s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 M gcc-plugin-annobin s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 51 k glib2 s390x 2.80.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 M glibc-devel s390x 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 133 k glibc-headers-s390 noarch 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 534 k glx-utils s390x 9.0.0-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 71 k gnutls s390x 3.8.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M google-noto-fonts-common noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k google-noto-sans-vf-fonts noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 594 k graphite2 s390x 1.3.14-15.fc40 copr_base 101 k groff-base s390x 1.23.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M guile30 s390x 3.0.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.2 M harfbuzz s390x 8.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M jsoncpp s390x 1.9.5-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96 k kernel-headers s390x 6.10.0-0.rc2.24.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 M libICE s390x 1.1.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 77 k libSM s390x 1.2.4-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k libX11 s390x 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 672 k libX11-common noarch 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 145 k libX11-devel s390x 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 911 k libX11-xcb s390x 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k libXau s390x 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k libXau-devel s390x 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k libXext s390x 1.3.6-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k libXfixes s390x 6.0.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k libXrender s390x 0.9.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28 k libXxf86vm s390x 1.1.5-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k libasan s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 529 k libatomic s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k libb2 s390x 0.98.1-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k libdrm s390x 2.4.121-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 103 k libevdev s390x 1.13.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43 k libftdi s390x 1.5-12.fc40 copr_base 45 k libgfortran s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 614 k libglvnd s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 145 k libglvnd-core-devel s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k libglvnd-egl s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k libglvnd-gles s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37 k libglvnd-glx s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 170 k libglvnd-opengl s390x 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 54 k libgudev s390x 238-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k libicu s390x 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 M libicu-devel s390x 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 854 k libinput s390x 1.26.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 207 k libjpeg-turbo s390x 3.0.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 243 k libmpc s390x 1.3.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 74 k libpng s390x 2:1.6.40-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 131 k libproxy s390x 0.5.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 47 k libseccomp s390x 2.5.3-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73 k libstdc++-devel s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 M libubsan s390x 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 230 k libusb1 s390x 1.0.27-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 75 k libuv s390x 1:1.48.0-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 254 k libwacom s390x 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k libwacom-data noarch 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 178 k libwayland-client s390x 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k libwayland-server s390x 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42 k libxcb s390x 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 245 k libxcb-devel s390x 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M libxcrypt-devel s390x 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libxkbcommon s390x 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 150 k libxkbcommon-x11 s390x 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k libxshmfence s390x 1.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k mesa-libEGL s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149 k mesa-libEGL-devel s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k mesa-libGL s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200 k mesa-libgbm s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 49 k mesa-libglapi s390x 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k mpdecimal s390x 2.5.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 101 k mtdev s390x 1.1.6-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k ncurses s390x 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 424 k nettle s390x 3.9.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 456 k openblas s390x 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k openblas-openmp s390x 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.4 M pcre2-utf16 s390x 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 242 k perl-AutoLoader noarch 5.74-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k perl-B s390x 1.88-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 178 k perl-Carp noarch 1.54-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k perl-Class-Struct noarch 0.68-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Data-Dumper s390x 2.189-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 57 k perl-Digest noarch 1.20-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k perl-Digest-MD5 s390x 2.59-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k perl-DynaLoader s390x 1.54-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k perl-Encode s390x 4:3.21-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 M perl-English noarch 1.11-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Errno s390x 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k perl-Exporter noarch 5.78-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k perl-Fcntl s390x 1.15-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k perl-File-Basename noarch 2.86-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k perl-File-Find noarch 1.43-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k perl-File-Path noarch 2.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35 k perl-File-Temp noarch 1:0.231.100-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 59 k perl-File-stat noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k perl-FileHandle noarch 2.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-Getopt-Long noarch 1:2.57-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63 k perl-Getopt-Std noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-HTTP-Tiny noarch 0.088-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56 k perl-IO s390x 1.52-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78 k perl-IO-Socket-IP noarch 0.42-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42 k perl-IO-Socket-SSL noarch 2.085-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 225 k perl-IPC-Open3 noarch 1.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-MIME-Base64 s390x 3.16-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k perl-Mozilla-CA noarch 20240313-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Net-SSLeay s390x 1.94-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 370 k perl-POSIX s390x 2.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98 k perl-PathTools s390x 3.91-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82 k perl-Pod-Escapes noarch 1:1.07-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k perl-Pod-Perldoc noarch 3.28.01-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k perl-Pod-Simple noarch 1:3.45-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209 k perl-Pod-Usage noarch 4:2.03-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k perl-Scalar-List-Utils s390x 5:1.63-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 74 k perl-SelectSaver noarch 1.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k perl-Socket s390x 4:2.038-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55 k perl-Storable s390x 1:3.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99 k perl-Symbol noarch 1.09-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Term-ANSIColor noarch 5.01-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48 k perl-Term-Cap noarch 1.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Text-ParseWords noarch 3.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Time-Local noarch 2:1.350-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k perl-URI noarch 5.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k perl-base noarch 2.27-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-constant noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23 k perl-if noarch 0.61.000-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-interpreter s390x 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 72 k perl-libnet noarch 3.15-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k perl-libs s390x 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 M perl-locale noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-mro s390x 1.28-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k perl-overload noarch 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 46 k perl-overloading noarch 0.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k perl-parent noarch 1:0.241-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k perl-podlators noarch 1:5.01-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 121 k perl-vars noarch 1.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k pixman s390x 0.43.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 226 k pyproject-rpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k python-pip-wheel noarch 24.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 M python-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k python3 s390x 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k python3-libs s390x 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.8 M python3-numpy s390x 1:1.26.4-7.fc41 copr_base 6.9 M python3-packaging noarch 24.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116 k python3-rpm-generators noarch 14-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k python3-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k qt-settings noarch 40.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 k qt5-qtbase s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.8 M qt5-qtbase-common noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k qt5-qtbase-devel s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.2 M qt5-qtbase-gui s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.9 M qt5-qtconfiguration s390x 0.3.1-22.fc40 copr_base 70 k qt5-qtdeclarative s390x 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.5 M qt5-rpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 k rhash s390x 1.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 201 k systemd s390x 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.2 M systemd-pam s390x 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 400 k systemd-rpm-macros noarch 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k trellis s390x 1.2.1-26.20240524git2dab009.fc41 copr_base 803 k trellis-data noarch 1.2.1-26.20240524git2dab009.fc41 copr_base 1.1 M tzdata noarch 2024a-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 431 k vim-filesystem noarch 2:9.1.452-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k vulkan-headers noarch 1.3.283.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 M vulkan-loader s390x 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 160 k vulkan-loader-devel s390x 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k xcb-util s390x 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k xcb-util-image s390x 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k xcb-util-keysyms s390x 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k xcb-util-renderutil s390x 0.3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k xcb-util-wm s390x 0.4.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k xkeyboard-config noarch 2.41-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 921 k xml-common noarch 0.6.3-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k xorg-x11-proto-devel noarch 2024.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 266 k Transaction Summary =========================================================================================================================================== Install 240 Packages Total download size: 199 M Installed size: 981 M Downloading Packages: (1/240): boost-1.83.0-7.fc41.s390x.rpm 2.8 kB/s | 14 kB 00:05 (2/240): boost-atomic-1.83.0-7.fc41.s390x.rpm 3.8 kB/s | 19 kB 00:05 (3/240): boost-chrono-1.83.0-7.fc41.s390x.rpm 5.1 kB/s | 25 kB 00:05 (4/240): boost-container-1.83.0-7.fc41.s390x.rp 11 MB/s | 41 kB 00:00 (5/240): boost-context-1.83.0-7.fc41.s390x.rpm 4.1 MB/s | 17 kB 00:00 (6/240): boost-contract-1.83.0-7.fc41.s390x.rpm 16 MB/s | 45 kB 00:00 (7/240): boost-coroutine-1.83.0-7.fc41.s390x.rp 6.0 MB/s | 20 kB 00:00 (8/240): boost-date-time-1.83.0-7.fc41.s390x.rp 4.7 MB/s | 16 kB 00:00 (9/240): boost-fiber-1.83.0-7.fc41.s390x.rpm 6.2 MB/s | 43 kB 00:00 (10/240): boost-filesystem-1.83.0-7.fc41.s390x. 7.5 MB/s | 68 kB 00:00 (11/240): boost-graph-1.83.0-7.fc41.s390x.rpm 16 MB/s | 159 kB 00:00 (12/240): boost-iostreams-1.83.0-7.fc41.s390x.r 2.9 MB/s | 40 kB 00:00 (13/240): boost-json-1.83.0-7.fc41.s390x.rpm 10 MB/s | 155 kB 00:00 (14/240): boost-devel-1.83.0-7.fc41.s390x.rpm 239 MB/s | 12 MB 00:00 (15/240): boost-locale-1.83.0-7.fc41.s390x.rpm 9.0 MB/s | 217 kB 00:00 (16/240): boost-log-1.83.0-7.fc41.s390x.rpm 32 MB/s | 500 kB 00:00 (17/240): boost-nowide-1.83.0-7.fc41.s390x.rpm 7.0 MB/s | 21 kB 00:00 (18/240): boost-numpy3-1.83.0-7.fc41.s390x.rpm 8.7 MB/s | 29 kB 00:00 (19/240): boost-math-1.83.0-7.fc41.s390x.rpm 47 MB/s | 241 kB 00:00 (20/240): boost-python3-1.83.0-7.fc41.s390x.rpm 30 MB/s | 94 kB 00:00 (21/240): boost-program-options-1.83.0-7.fc41.s 24 MB/s | 111 kB 00:00 (22/240): boost-random-1.83.0-7.fc41.s390x.rpm 6.4 MB/s | 24 kB 00:00 (23/240): boost-regex-1.83.0-7.fc41.s390x.rpm 38 MB/s | 124 kB 00:00 (24/240): boost-stacktrace-1.83.0-7.fc41.s390x. 9.0 MB/s | 30 kB 00:00 (25/240): boost-serialization-1.83.0-7.fc41.s39 31 MB/s | 137 kB 00:00 (26/240): boost-system-1.83.0-7.fc41.s390x.rpm 4.8 MB/s | 16 kB 00:00 (27/240): boost-thread-1.83.0-7.fc41.s390x.rpm 23 MB/s | 62 kB 00:00 (28/240): boost-test-1.83.0-7.fc41.s390x.rpm 50 MB/s | 249 kB 00:00 (29/240): boost-timer-1.83.0-7.fc41.s390x.rpm 6.6 MB/s | 21 kB 00:00 (30/240): boost-type_erasure-1.83.0-7.fc41.s390 12 MB/s | 33 kB 00:00 (31/240): boost-wave-1.83.0-7.fc41.s390x.rpm 58 MB/s | 226 kB 00:00 (32/240): flexiblas-3.4.4-1.fc41.s390x.rpm 8.4 MB/s | 26 kB 00:00 (33/240): flexiblas-openblas-openmp-3.4.4-1.fc4 6.7 MB/s | 18 kB 00:00 (34/240): graphite2-1.3.14-15.fc40.s390x.rpm 11 MB/s | 101 kB 00:00 (35/240): eigen3-devel-3.4.0-15.fc40.noarch.rpm 60 MB/s | 1.1 MB 00:00 (36/240): flexiblas-netlib-3.4.4-1.fc41.s390x.r 154 MB/s | 3.8 MB 00:00 (37/240): python3-numpy-1.26.4-7.fc41.s390x.rpm 185 MB/s | 6.9 MB 00:00 (38/240): qt5-qtconfiguration-devel-0.3.1-22.fc 15 kB/s | 15 kB 00:00 (39/240): libftdi-1.5-12.fc40.s390x.rpm 37 kB/s | 45 kB 00:01 (40/240): qt5-qtconfiguration-0.3.1-22.fc40.s39 58 kB/s | 70 kB 00:01 (41/240): trellis-1.2.1-26.20240524git2dab009.f 273 kB/s | 803 kB 00:02 (42/240): trellis-data-1.2.1-26.20240524git2dab 403 kB/s | 1.1 MB 00:02 (43/240): trellis-devel-1.2.1-26.20240524git2da 399 kB/s | 1.1 MB 00:02 (44/240): annobin-docs-12.54-2.fc41.noarch.rpm 9.0 kB/s | 90 kB 00:10 (45/240): abattis-cantarell-vf-fonts-0.301-12.f 12 kB/s | 120 kB 00:10 (46/240): avahi-libs-0.8-26.fc40.s390x.rpm 7.3 MB/s | 67 kB 00:00 (47/240): cairo-1.18.0-3.fc40.s390x.rpm 34 MB/s | 729 kB 00:00 (48/240): annobin-plugin-gcc-12.54-2.fc41.s390x 25 MB/s | 964 kB 00:00 (49/240): add-determinism-0.2.0-9.fc41.s390x.rp 103 kB/s | 1.0 MB 00:10 (50/240): cmake-filesystem-3.28.3-5.fc41.s390x. 2.7 MB/s | 18 kB 00:00 (51/240): cmake-rpm-macros-3.28.3-5.fc41.noarch 3.7 MB/s | 18 kB 00:00 (52/240): cmake-data-3.28.3-5.fc41.noarch.rpm 50 MB/s | 1.8 MB 00:00 (53/240): cups-libs-2.4.8-5.fc41.s390x.rpm 31 MB/s | 278 kB 00:00 (54/240): dbus-1.14.10-3.fc40.s390x.rpm 1.3 MB/s | 7.9 kB 00:00 (55/240): dbus-broker-36-2.fc41.s390x.rpm 23 MB/s | 172 kB 00:00 (56/240): dbus-common-1.14.10-3.fc40.noarch.rpm 3.4 MB/s | 15 kB 00:00 (57/240): dbus-libs-1.14.10-3.fc40.s390x.rpm 21 MB/s | 159 kB 00:00 (58/240): dconf-0.40.0-12.fc40.s390x.rpm 13 MB/s | 111 kB 00:00 (59/240): default-fonts-core-sans-4.0-13.fc41.n 6.3 MB/s | 25 kB 00:00 (60/240): double-conversion-3.3.0-3.fc40.s390x. 9.4 MB/s | 53 kB 00:00 (61/240): duktape-2.7.0-7.fc40.s390x.rpm 23 MB/s | 174 kB 00:00 (62/240): emacs-filesystem-30.0-2.fc41.noarch.r 1.9 MB/s | 7.1 kB 00:00 (63/240): expat-2.6.2-1.fc41.s390x.rpm 15 MB/s | 117 kB 00:00 (64/240): fontconfig-2.15.0-6.fc41.s390x.rpm 28 MB/s | 265 kB 00:00 (65/240): cmake-3.28.3-5.fc41.s390x.rpm 69 MB/s | 9.3 MB 00:00 (66/240): fonts-filesystem-2.0.5-15.fc41.noarch 749 kB/s | 8.3 kB 00:00 (67/240): gc-8.2.2-6.fc40.s390x.rpm 14 MB/s | 114 kB 00:00 (68/240): freetype-2.13.2-5.fc40.s390x.rpm 43 MB/s | 445 kB 00:00 (69/240): cpp-14.1.1-5.fc41.s390x.rpm 36 MB/s | 9.6 MB 00:00 (70/240): gcc-plugin-annobin-14.1.1-5.fc41.s390 8.4 MB/s | 51 kB 00:00 (71/240): gcc-c++-14.1.1-5.fc41.s390x.rpm 68 MB/s | 12 MB 00:00 (72/240): glibc-devel-2.39.9000-18.fc41.s390x.r 23 MB/s | 133 kB 00:00 (73/240): glibc-headers-s390-2.39.9000-18.fc41. 46 MB/s | 534 kB 00:00 (74/240): glx-utils-9.0.0-7.fc41.s390x.rpm 7.9 MB/s | 71 kB 00:00 (75/240): gnutls-3.8.5-2.fc41.s390x.rpm 52 MB/s | 1.1 MB 00:00 (76/240): glib2-2.80.2-1.fc41.s390x.rpm 39 MB/s | 3.0 MB 00:00 (77/240): google-noto-fonts-common-20240401-1.f 2.2 MB/s | 17 kB 00:00 (78/240): gcc-14.1.1-5.fc41.s390x.rpm 113 MB/s | 31 MB 00:00 (79/240): google-noto-sans-vf-fonts-20240401-1. 14 MB/s | 594 kB 00:00 (80/240): groff-base-1.23.0-6.fc40.s390x.rpm 27 MB/s | 1.1 MB 00:00 (81/240): harfbuzz-8.4.0-1.fc41.s390x.rpm 40 MB/s | 1.1 MB 00:00 (82/240): jsoncpp-1.9.5-7.fc40.s390x.rpm 13 MB/s | 96 kB 00:00 (83/240): guile30-3.0.9-1.fc41.s390x.rpm 123 MB/s | 8.2 MB 00:00 (84/240): kernel-headers-6.10.0-0.rc2.24.fc41.s 38 MB/s | 1.4 MB 00:00 (85/240): libICE-1.1.1-3.fc40.s390x.rpm 12 MB/s | 77 kB 00:00 (86/240): libSM-1.2.4-3.fc40.s390x.rpm 8.5 MB/s | 45 kB 00:00 (87/240): libX11-common-1.8.9-1.fc41.noarch.rpm 28 MB/s | 145 kB 00:00 (88/240): libX11-1.8.9-1.fc41.s390x.rpm 36 MB/s | 672 kB 00:00 (89/240): libX11-devel-1.8.9-1.fc41.s390x.rpm 71 MB/s | 911 kB 00:00 (90/240): libX11-xcb-1.8.9-1.fc41.s390x.rpm 2.1 MB/s | 12 kB 00:00 (91/240): libXau-1.0.11-6.fc40.s390x.rpm 6.4 MB/s | 32 kB 00:00 (92/240): libXau-devel-1.0.11-6.fc40.s390x.rpm 2.3 MB/s | 14 kB 00:00 (93/240): libXext-1.3.6-1.fc40.s390x.rpm 5.7 MB/s | 40 kB 00:00 (94/240): libXfixes-6.0.1-3.fc40.s390x.rpm 3.2 MB/s | 19 kB 00:00 (95/240): libXrender-0.9.11-6.fc40.s390x.rpm 5.3 MB/s | 28 kB 00:00 (96/240): libXxf86vm-1.1.5-6.fc40.s390x.rpm 2.6 MB/s | 18 kB 00:00 (97/240): libasan-14.1.1-5.fc41.s390x.rpm 42 MB/s | 529 kB 00:00 (98/240): libatomic-14.1.1-5.fc41.s390x.rpm 3.6 MB/s | 38 kB 00:00 (99/240): libb2-0.98.1-11.fc40.s390x.rpm 4.3 MB/s | 27 kB 00:00 (100/240): libdrm-2.4.121-1.fc41.s390x.rpm 15 MB/s | 103 kB 00:00 (101/240): libevdev-1.13.2-2.fc41.s390x.rpm 6.7 MB/s | 43 kB 00:00 (102/240): libgfortran-14.1.1-5.fc41.s390x.rpm 40 MB/s | 614 kB 00:00 (103/240): libglvnd-1.7.0-4.fc40.s390x.rpm 16 MB/s | 145 kB 00:00 (104/240): libglvnd-core-devel-1.7.0-4.fc40.s39 3.5 MB/s | 17 kB 00:00 (105/240): libglvnd-devel-1.7.0-4.fc40.s390x.rp 19 MB/s | 157 kB 00:00 (106/240): libglvnd-egl-1.7.0-4.fc40.s390x.rpm 5.3 MB/s | 38 kB 00:00 (107/240): libglvnd-gles-1.7.0-4.fc40.s390x.rpm 5.8 MB/s | 37 kB 00:00 (108/240): libglvnd-glx-1.7.0-4.fc40.s390x.rpm 22 MB/s | 170 kB 00:00 (109/240): libglvnd-opengl-1.7.0-4.fc40.s390x.r 8.3 MB/s | 54 kB 00:00 (110/240): libgudev-238-5.fc40.s390x.rpm 5.8 MB/s | 34 kB 00:00 (111/240): icestorm-0-0.33.20240524gitc23e99c.f 54 MB/s | 10 MB 00:00 (112/240): libicu-devel-74.2-1.fc40.s390x.rpm 40 MB/s | 854 kB 00:00 (113/240): libinput-1.26.0-1.fc41.s390x.rpm 23 MB/s | 207 kB 00:00 (114/240): libjpeg-turbo-3.0.2-2.fc41.s390x.rpm 29 MB/s | 243 kB 00:00 (115/240): libmpc-1.3.1-5.fc40.s390x.rpm 12 MB/s | 74 kB 00:00 (116/240): libpng-1.6.40-3.fc40.s390x.rpm 21 MB/s | 131 kB 00:00 (117/240): libproxy-0.5.5-1.fc41.s390x.rpm 9.3 MB/s | 47 kB 00:00 (118/240): libseccomp-2.5.3-8.fc40.s390x.rpm 12 MB/s | 73 kB 00:00 (119/240): libubsan-14.1.1-5.fc41.s390x.rpm 28 MB/s | 230 kB 00:00 (120/240): libusb1-1.0.27-2.fc41.s390x.rpm 10 MB/s | 75 kB 00:00 (121/240): libstdc++-devel-14.1.1-5.fc41.s390x. 82 MB/s | 2.6 MB 00:00 (122/240): libuv-1.48.0-1.fc40.s390x.rpm 24 MB/s | 254 kB 00:00 (123/240): libwacom-2.12.0-1.fc41.s390x.rpm 8.3 MB/s | 45 kB 00:00 (124/240): libwacom-data-2.12.0-1.fc41.noarch.r 25 MB/s | 178 kB 00:00 (125/240): libwayland-client-1.22.0-3.fc40.s390 5.9 MB/s | 34 kB 00:00 (126/240): libwayland-server-1.22.0-3.fc40.s390 8.7 MB/s | 42 kB 00:00 (127/240): libxcb-1.17.0-1.fc41.s390x.rpm 28 MB/s | 245 kB 00:00 (128/240): libxcrypt-devel-4.4.36-5.fc40.s390x. 4.6 MB/s | 29 kB 00:00 (129/240): libxcb-devel-1.17.0-1.fc41.s390x.rpm 60 MB/s | 1.1 MB 00:00 (130/240): libxkbcommon-1.7.0-1.fc41.s390x.rpm 23 MB/s | 150 kB 00:00 (131/240): libxkbcommon-x11-1.7.0-1.fc41.s390x. 3.7 MB/s | 22 kB 00:00 (132/240): libxshmfence-1.3.2-3.fc40.s390x.rpm 2.0 MB/s | 12 kB 00:00 (133/240): mesa-libEGL-24.1.1-2.fc41.s390x.rpm 21 MB/s | 149 kB 00:00 (134/240): make-4.4.1-6.fc40.s390x.rpm 49 MB/s | 601 kB 00:00 (135/240): mesa-libEGL-devel-24.1.1-2.fc41.s390 4.4 MB/s | 24 kB 00:00 (136/240): mesa-libGL-24.1.1-2.fc41.s390x.rpm 31 MB/s | 200 kB 00:00 (137/240): mesa-libgbm-24.1.1-2.fc41.s390x.rpm 8.1 MB/s | 49 kB 00:00 (138/240): mesa-libglapi-24.1.1-2.fc41.s390x.rp 12 MB/s | 79 kB 00:00 (139/240): mpdecimal-2.5.1-9.fc40.s390x.rpm 15 MB/s | 101 kB 00:00 (140/240): mtdev-1.1.6-8.fc40.s390x.rpm 4.1 MB/s | 22 kB 00:00 (141/240): libicu-74.2-1.fc40.s390x.rpm 68 MB/s | 10 MB 00:00 (142/240): ncurses-6.4-12.20240127.fc40.s390x.r 28 MB/s | 424 kB 00:00 (143/240): nettle-3.9.1-6.fc40.s390x.rpm 29 MB/s | 456 kB 00:00 (144/240): openblas-0.3.26-4.fc40.s390x.rpm 7.1 MB/s | 39 kB 00:00 (145/240): perl-AutoLoader-5.74-507.fc41.noarch 5.1 MB/s | 21 kB 00:00 (146/240): pcre2-utf16-10.43-2.fc41.1.s390x.rpm 29 MB/s | 242 kB 00:00 (147/240): perl-Carp-1.54-502.fc40.noarch.rpm 5.9 MB/s | 29 kB 00:00 (148/240): perl-B-1.88-507.fc41.s390x.rpm 24 MB/s | 178 kB 00:00 (149/240): perl-Class-Struct-0.68-507.fc41.noar 5.5 MB/s | 22 kB 00:00 (150/240): perl-Data-Dumper-2.189-504.fc41.s390 9.0 MB/s | 57 kB 00:00 (151/240): perl-Digest-1.20-502.fc40.noarch.rpm 5.9 MB/s | 25 kB 00:00 (152/240): perl-Digest-MD5-2.59-3.fc40.s390x.rp 6.7 MB/s | 36 kB 00:00 (153/240): perl-DynaLoader-1.54-507.fc41.s390x. 4.6 MB/s | 26 kB 00:00 (154/240): perl-English-1.11-507.fc41.noarch.rp 3.1 MB/s | 14 kB 00:00 (155/240): perl-Errno-1.37-507.fc41.s390x.rpm 2.5 MB/s | 15 kB 00:00 (156/240): perl-Exporter-5.78-3.fc40.noarch.rpm 5.1 MB/s | 31 kB 00:00 (157/240): perl-Encode-3.21-505.fc41.s390x.rpm 90 MB/s | 1.7 MB 00:00 (158/240): perl-Fcntl-1.15-507.fc41.s390x.rpm 3.0 MB/s | 21 kB 00:00 (159/240): perl-File-Basename-2.86-507.fc41.noa 3.5 MB/s | 17 kB 00:00 (160/240): openblas-openmp-0.3.26-4.fc40.s390x. 73 MB/s | 4.4 MB 00:00 (161/240): perl-File-Find-1.43-507.fc41.noarch. 4.8 MB/s | 25 kB 00:00 (162/240): perl-File-Path-2.18-503.fc40.noarch. 4.2 MB/s | 35 kB 00:00 (163/240): perl-File-Temp-0.231.100-503.fc40.no 13 MB/s | 59 kB 00:00 (164/240): perl-File-stat-1.13-507.fc41.noarch. 3.7 MB/s | 17 kB 00:00 (165/240): perl-FileHandle-2.05-507.fc41.noarch 3.9 MB/s | 16 kB 00:00 (166/240): perl-Getopt-Std-1.13-507.fc41.noarch 3.1 MB/s | 16 kB 00:00 (167/240): perl-Getopt-Long-2.57-4.fc41.noarch. 10 MB/s | 63 kB 00:00 (168/240): perl-HTTP-Tiny-0.088-5.fc40.noarch.r 12 MB/s | 56 kB 00:00 (169/240): perl-IO-1.52-507.fc41.s390x.rpm 14 MB/s | 78 kB 00:00 (170/240): perl-IO-Socket-IP-0.42-2.fc40.noarch 7.2 MB/s | 42 kB 00:00 (171/240): perl-IO-Socket-SSL-2.085-1.fc40.noar 40 MB/s | 225 kB 00:00 (172/240): perl-IPC-Open3-1.22-507.fc41.noarch. 5.3 MB/s | 22 kB 00:00 (173/240): perl-Mozilla-CA-20240313-1.fc41.noar 3.9 MB/s | 14 kB 00:00 (174/240): perl-MIME-Base64-3.16-503.fc40.s390x 4.7 MB/s | 30 kB 00:00 (175/240): perl-POSIX-2.13-507.fc41.s390x.rpm 15 MB/s | 98 kB 00:00 (176/240): perl-Net-SSLeay-1.94-3.fc40.s390x.rp 44 MB/s | 370 kB 00:00 (177/240): perl-PathTools-3.91-503.fc41.s390x.r 10 MB/s | 82 kB 00:00 (178/240): perl-Pod-Escapes-1.07-503.fc40.noarc 4.9 MB/s | 20 kB 00:00 (179/240): perl-Pod-Perldoc-3.28.01-503.fc40.no 16 MB/s | 79 kB 00:00 (180/240): perl-Pod-Simple-3.45-6.fc40.noarch.r 36 MB/s | 209 kB 00:00 (181/240): perl-Pod-Usage-2.03-504.fc41.noarch. 8.2 MB/s | 40 kB 00:00 (182/240): perl-SelectSaver-1.02-507.fc41.noarc 3.7 MB/s | 12 kB 00:00 (183/240): perl-Scalar-List-Utils-1.63-503.fc40 10 MB/s | 74 kB 00:00 (184/240): perl-Socket-2.038-1.fc41.s390x.rpm 8.1 MB/s | 55 kB 00:00 (185/240): perl-Symbol-1.09-507.fc41.noarch.rpm 3.0 MB/s | 14 kB 00:00 (186/240): perl-Storable-3.32-502.fc40.s390x.rp 16 MB/s | 99 kB 00:00 (187/240): perl-Term-ANSIColor-5.01-504.fc40.no 11 MB/s | 48 kB 00:00 (188/240): perl-Term-Cap-1.18-503.fc40.noarch.r 5.8 MB/s | 22 kB 00:00 (189/240): perl-Text-ParseWords-3.31-502.fc40.n 3.5 MB/s | 16 kB 00:00 (190/240): perl-Text-Tabs+Wrap-2024.001-1.fc41. 5.7 MB/s | 22 kB 00:00 (191/240): perl-Time-Local-1.350-5.fc40.noarch. 9.9 MB/s | 34 kB 00:00 (192/240): perl-URI-5.28-1.fc41.noarch.rpm 25 MB/s | 120 kB 00:00 (193/240): perl-base-2.27-507.fc41.noarch.rpm 3.7 MB/s | 16 kB 00:00 (194/240): perl-constant-1.33-503.fc40.noarch.r 4.8 MB/s | 23 kB 00:00 (195/240): perl-if-0.61.000-507.fc41.noarch.rpm 4.1 MB/s | 14 kB 00:00 (196/240): perl-interpreter-5.38.2-507.fc41.s39 12 MB/s | 72 kB 00:00 (197/240): perl-libnet-3.15-503.fc40.noarch.rpm 23 MB/s | 122 kB 00:00 (198/240): perl-locale-1.10-507.fc41.noarch.rpm 4.0 MB/s | 14 kB 00:00 (199/240): perl-mro-1.28-507.fc41.s390x.rpm 3.9 MB/s | 29 kB 00:00 (200/240): perl-overload-1.37-507.fc41.noarch.r 9.8 MB/s | 46 kB 00:00 (201/240): perl-overloading-0.02-507.fc41.noarc 3.0 MB/s | 13 kB 00:00 (202/240): perl-parent-0.241-502.fc40.noarch.rp 2.4 MB/s | 15 kB 00:00 (203/240): perl-podlators-5.01-502.fc40.noarch. 21 MB/s | 121 kB 00:00 (204/240): perl-vars-1.05-507.fc41.noarch.rpm 3.3 MB/s | 13 kB 00:00 (205/240): perl-libs-5.38.2-507.fc41.s390x.rpm 87 MB/s | 2.4 MB 00:00 (206/240): pyproject-rpm-macros-1.12.1-1.fc41.n 8.6 MB/s | 41 kB 00:00 (207/240): pixman-0.43.4-1.fc41.s390x.rpm 30 MB/s | 226 kB 00:00 (208/240): python-rpm-macros-3.12-9.fc41.noarch 3.7 MB/s | 18 kB 00:00 (209/240): python3-3.12.3-2.fc41.s390x.rpm 5.3 MB/s | 27 kB 00:00 (210/240): python3-devel-3.12.3-2.fc41.s390x.rp 37 MB/s | 275 kB 00:00 (211/240): python-pip-wheel-24.0-2.fc41.noarch. 76 MB/s | 1.5 MB 00:00 (212/240): python3-packaging-24.0-1.fc41.noarch 16 MB/s | 116 kB 00:00 (213/240): python3-rpm-generators-14-10.fc40.no 6.2 MB/s | 30 kB 00:00 (214/240): python3-rpm-macros-3.12-9.fc41.noarc 2.7 MB/s | 13 kB 00:00 (215/240): qt-settings-40.0-1.fc41.noarch.rpm 2.1 MB/s | 10 kB 00:00 (216/240): python3-setuptools-69.2.0-3.fc41.noa 90 MB/s | 1.4 MB 00:00 (217/240): qt5-qtbase-common-5.15.14-1.fc41.noa 3.0 MB/s | 12 kB 00:00 (218/240): qt5-qtbase-devel-5.15.14-1.fc41.s390 88 MB/s | 3.2 MB 00:00 (219/240): qt5-qtbase-5.15.14-1.fc41.s390x.rpm 61 MB/s | 3.8 MB 00:00 (220/240): python3-libs-3.12.3-2.fc41.s390x.rpm 86 MB/s | 8.8 MB 00:00 (221/240): qt5-rpm-macros-5.15.14-1.fc41.noarch 1.8 MB/s | 11 kB 00:00 (222/240): rhash-1.4.4-1.fc41.s390x.rpm 20 MB/s | 201 kB 00:00 (223/240): qt5-qtbase-gui-5.15.14-1.fc41.s390x. 95 MB/s | 6.9 MB 00:00 (224/240): systemd-pam-256~rc4-2.fc41.s390x.rpm 44 MB/s | 400 kB 00:00 (225/240): qt5-qtdeclarative-5.15.14-1.fc41.s39 58 MB/s | 4.5 MB 00:00 (226/240): systemd-rpm-macros-256~rc4-2.fc41.no 4.5 MB/s | 32 kB 00:00 (227/240): vim-filesystem-9.1.452-1.fc41.noarch 3.5 MB/s | 17 kB 00:00 (228/240): tzdata-2024a-8.fc41.noarch.rpm 38 MB/s | 431 kB 00:00 (229/240): vulkan-loader-1.3.283.0-2.fc41.s390x 14 MB/s | 160 kB 00:00 (230/240): vulkan-headers-1.3.283.0-1.fc41.noar 75 MB/s | 1.2 MB 00:00 (231/240): systemd-256~rc4-2.fc41.s390x.rpm 73 MB/s | 5.2 MB 00:00 (232/240): vulkan-loader-devel-1.3.283.0-2.fc41 1.6 MB/s | 12 kB 00:00 (233/240): xcb-util-keysyms-0.4.1-5.fc40.s390x. 2.6 MB/s | 14 kB 00:00 (234/240): xcb-util-image-0.4.1-5.fc40.s390x.rp 2.3 MB/s | 20 kB 00:00 (235/240): xcb-util-0.4.1-5.fc40.s390x.rpm 1.4 MB/s | 19 kB 00:00 (236/240): xcb-util-renderutil-0.3.10-5.fc40.s3 2.5 MB/s | 17 kB 00:00 (237/240): xcb-util-wm-0.4.2-5.fc40.s390x.rpm 4.7 MB/s | 32 kB 00:00 (238/240): xml-common-0.6.3-63.fc40.noarch.rpm 6.9 MB/s | 31 kB 00:00 (239/240): xkeyboard-config-2.41-1.fc40.noarch. 78 MB/s | 921 kB 00:00 (240/240): xorg-x11-proto-devel-2024.1-2.fc41.n 33 MB/s | 266 kB 00:00 -------------------------------------------------------------------------------- Total 9.7 MB/s | 199 MB 00:20 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : boost-system-1.83.0-7.fc41.s390x 1/240 Installing : boost-thread-1.83.0-7.fc41.s390x 2/240 Installing : cmake-filesystem-3.28.3-5.fc41.s390x 3/240 Installing : boost-chrono-1.83.0-7.fc41.s390x 4/240 Installing : libicu-74.2-1.fc40.s390x 5/240 Installing : expat-2.6.2-1.fc41.s390x 6/240 Installing : libglvnd-1:1.7.0-4.fc40.s390x 7/240 Installing : libdrm-2.4.121-1.fc41.s390x 8/240 Installing : libX11-xcb-1.8.9-1.fc41.s390x 9/240 Installing : boost-regex-1.83.0-7.fc41.s390x 10/240 Installing : python-rpm-macros-3.12-9.fc41.noarch 11/240 Installing : libpng-2:1.6.40-3.fc40.s390x 12/240 Installing : libmpc-1.3.1-5.fc40.s390x 13/240 Installing : fonts-filesystem-1:2.0.5-15.fc41.noarch 14/240 Installing : boost-context-1.83.0-7.fc41.s390x 15/240 Installing : boost-atomic-1.83.0-7.fc41.s390x 16/240 Installing : boost-filesystem-1.83.0-7.fc41.s390x 17/240 Installing : python3-rpm-macros-3.12-9.fc41.noarch 18/240 Installing : xorg-x11-proto-devel-2024.1-2.fc41.noarch 19/240 Installing : mesa-libglapi-24.1.1-2.fc41.s390x 20/240 Installing : libxshmfence-1.3.2-3.fc40.s390x 21/240 Installing : libwayland-server-1.22.0-3.fc40.s390x 22/240 Installing : libgfortran-14.1.1-5.fc41.s390x 23/240 Installing : libevdev-1.13.2-2.fc41.s390x 24/240 Installing : libXau-1.0.11-6.fc40.s390x 25/240 Installing : libxcb-1.17.0-1.fc41.s390x 26/240 Installing : mesa-libgbm-24.1.1-2.fc41.s390x 27/240 Installing : libICE-1.1.1-3.fc40.s390x 28/240 Installing : dbus-libs-1:1.14.10-3.fc40.s390x 29/240 Installing : trellis-data-1.2.1-26.20240524git2dab009.fc41.no 30/240 Installing : boost-program-options-1.83.0-7.fc41.s390x 31/240 Installing : boost-date-time-1.83.0-7.fc41.s390x 32/240 Installing : boost-container-1.83.0-7.fc41.s390x 33/240 Installing : boost-json-1.83.0-7.fc41.s390x 34/240 Installing : boost-wave-1.83.0-7.fc41.s390x 35/240 Installing : trellis-1.2.1-26.20240524git2dab009.fc41.s390x 36/240 Installing : avahi-libs-0.8-26.fc40.s390x 37/240 Installing : libSM-1.2.4-3.fc40.s390x 38/240 Installing : xcb-util-0.4.1-5.fc40.s390x 39/240 Installing : xcb-util-image-0.4.1-5.fc40.s390x 40/240 Installing : xcb-util-keysyms-0.4.1-5.fc40.s390x 41/240 Installing : xcb-util-renderutil-0.3.10-5.fc40.s390x 42/240 Installing : xcb-util-wm-0.4.2-5.fc40.s390x 43/240 Installing : libXau-devel-1.0.11-6.fc40.s390x 44/240 Installing : libxcb-devel-1.17.0-1.fc41.s390x 45/240 Installing : pyproject-rpm-macros-1.12.1-1.fc41.noarch 46/240 Installing : boost-fiber-1.83.0-7.fc41.s390x 47/240 Installing : boost-log-1.83.0-7.fc41.s390x 48/240 Installing : boost-coroutine-1.83.0-7.fc41.s390x 49/240 Installing : abattis-cantarell-vf-fonts-0.301-12.fc40.noarch 50/240 Installing : cpp-14.1.1-5.fc41.s390x 51/240 Installing : boost-graph-1.83.0-7.fc41.s390x 52/240 Installing : libglvnd-opengl-1:1.7.0-4.fc40.s390x 53/240 Installing : boost-locale-1.83.0-7.fc41.s390x 54/240 Installing : libicu-devel-74.2-1.fc40.s390x 55/240 Installing : boost-timer-1.83.0-7.fc41.s390x 56/240 Installing : boost-type_erasure-1.83.0-7.fc41.s390x 57/240 Running scriptlet: xml-common-0.6.3-63.fc40.noarch 58/240 Installing : xml-common-0.6.3-63.fc40.noarch 58/240 Installing : xkeyboard-config-2.41-1.fc40.noarch 59/240 Installing : libxkbcommon-1.7.0-1.fc41.s390x 60/240 Installing : libxkbcommon-x11-1.7.0-1.fc41.s390x 61/240 Installing : vulkan-loader-1.3.283.0-2.fc41.s390x 62/240 Installing : vim-filesystem-2:9.1.452-1.fc41.noarch 63/240 Installing : tzdata-2024a-8.fc41.noarch 64/240 Installing : rhash-1.4.4-1.fc41.s390x 65/240 Installing : qt-settings-40.0-1.fc41.noarch 66/240 Installing : python-pip-wheel-24.0-2.fc41.noarch 67/240 Installing : pixman-0.43.4-1.fc41.s390x 68/240 Installing : pcre2-utf16-10.43-2.fc41.1.s390x 69/240 Installing : openblas-0.3.26-4.fc40.s390x 70/240 Installing : openblas-openmp-0.3.26-4.fc40.s390x 71/240 Installing : flexiblas-netlib-3.4.4-1.fc41.s390x 72/240 Installing : flexiblas-openblas-openmp-3.4.4-1.fc41.s390x 73/240 Installing : flexiblas-3.4.4-1.fc41.s390x 74/240 Installing : nettle-3.9.1-6.fc40.s390x 75/240 Installing : gnutls-3.8.5-2.fc41.s390x 76/240 Installing : glib2-2.80.2-1.fc41.s390x 77/240 Installing : libgudev-238-5.fc40.s390x 78/240 Installing : cups-libs-1:2.4.8-5.fc41.s390x 79/240 Installing : ncurses-6.4-12.20240127.fc40.s390x 80/240 Installing : mtdev-1.1.6-8.fc40.s390x 81/240 Installing : mpdecimal-2.5.1-9.fc40.s390x 82/240 Installing : libwayland-client-1.22.0-3.fc40.s390x 83/240 Installing : libglvnd-egl-1:1.7.0-4.fc40.s390x 84/240 Installing : mesa-libEGL-24.1.1-2.fc41.s390x 85/240 Installing : libglvnd-gles-1:1.7.0-4.fc40.s390x 86/240 Installing : libwacom-data-2.12.0-1.fc41.noarch 87/240 Installing : libuv-1:1.48.0-1.fc40.s390x 88/240 Installing : libusb1-1.0.27-2.fc41.s390x 89/240 Installing : libubsan-14.1.1-5.fc41.s390x 90/240 Installing : libstdc++-devel-14.1.1-5.fc41.s390x 91/240 Installing : libseccomp-2.5.3-8.fc40.s390x 92/240 Installing : libjpeg-turbo-3.0.2-2.fc41.s390x 93/240 Installing : libglvnd-core-devel-1:1.7.0-4.fc40.s390x 94/240 Installing : libb2-0.98.1-11.fc40.s390x 95/240 Installing : python3-3.12.3-2.fc41.s390x 96/240 Installing : python3-libs-3.12.3-2.fc41.s390x 97/240 Installing : boost-python3-1.83.0-7.fc41.s390x 98/240 Installing : cmake-rpm-macros-3.28.3-5.fc41.noarch 99/240 Installing : python3-numpy-1:1.26.4-7.fc41.s390x 100/240 Installing : boost-numpy3-1.83.0-7.fc41.s390x 101/240 Installing : libwacom-2.12.0-1.fc41.s390x 102/240 Installing : libinput-1.26.0-1.fc41.s390x 103/240 Running scriptlet: libinput-1.26.0-1.fc41.s390x 103/240 Installing : python3-packaging-24.0-1.fc41.noarch 104/240 Installing : python3-rpm-generators-14-10.fc40.noarch 105/240 Installing : vulkan-headers-1.3.283.0-1.fc41.noarch 106/240 Installing : vulkan-loader-devel-1.3.283.0-2.fc41.s390x 107/240 Installing : libatomic-14.1.1-5.fc41.s390x 108/240 Installing : libasan-14.1.1-5.fc41.s390x 109/240 Installing : libX11-common-1.8.9-1.fc41.noarch 110/240 Installing : libX11-1.8.9-1.fc41.s390x 111/240 Installing : libXext-1.3.6-1.fc40.s390x 112/240 Installing : libXxf86vm-1.1.5-6.fc40.s390x 113/240 Installing : libX11-devel-1.8.9-1.fc41.s390x 114/240 Installing : libXfixes-6.0.1-3.fc40.s390x 115/240 Installing : libglvnd-glx-1:1.7.0-4.fc40.s390x 116/240 Installing : mesa-libGL-24.1.1-2.fc41.s390x 117/240 Installing : libglvnd-devel-1:1.7.0-4.fc40.s390x 118/240 Installing : mesa-libEGL-devel-24.1.1-2.fc41.s390x 119/240 Installing : glx-utils-9.0.0-7.fc41.s390x 120/240 Installing : libXrender-0.9.11-6.fc40.s390x 121/240 Installing : kernel-headers-6.10.0-0.rc2.24.fc41.s390x 122/240 Installing : jsoncpp-1.9.5-7.fc40.s390x 123/240 Running scriptlet: groff-base-1.23.0-6.fc40.s390x 124/240 Installing : groff-base-1.23.0-6.fc40.s390x 124/240 Running scriptlet: groff-base-1.23.0-6.fc40.s390x 124/240 Installing : perl-Digest-1.20-502.fc40.noarch 125/240 Installing : perl-Digest-MD5-2.59-3.fc40.s390x 126/240 Installing : perl-B-1.88-507.fc41.s390x 127/240 Installing : perl-FileHandle-2.05-507.fc41.noarch 128/240 Installing : perl-Data-Dumper-2.189-504.fc41.s390x 129/240 Installing : perl-libnet-3.15-503.fc40.noarch 130/240 Installing : perl-AutoLoader-5.74-507.fc41.noarch 131/240 Installing : perl-URI-5.28-1.fc41.noarch 132/240 Installing : perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch 133/240 Installing : perl-Mozilla-CA-20240313-1.fc41.noarch 134/240 Installing : perl-if-0.61.000-507.fc41.noarch 135/240 Installing : perl-locale-1.10-507.fc41.noarch 136/240 Installing : perl-IO-Socket-IP-0.42-2.fc40.noarch 137/240 Installing : perl-Time-Local-2:1.350-5.fc40.noarch 138/240 Installing : perl-File-Path-2.18-503.fc40.noarch 139/240 Installing : perl-IO-Socket-SSL-2.085-1.fc40.noarch 140/240 Installing : perl-Net-SSLeay-1.94-3.fc40.s390x 141/240 Installing : perl-Pod-Escapes-1:1.07-503.fc40.noarch 142/240 Installing : perl-Class-Struct-0.68-507.fc41.noarch 143/240 Installing : perl-Term-ANSIColor-5.01-504.fc40.noarch 144/240 Installing : perl-POSIX-2.13-507.fc41.s390x 145/240 Installing : perl-IPC-Open3-1.22-507.fc41.noarch 146/240 Installing : perl-File-Temp-1:0.231.100-503.fc40.noarch 147/240 Installing : perl-HTTP-Tiny-0.088-5.fc40.noarch 148/240 Installing : perl-Term-Cap-1.18-503.fc40.noarch 149/240 Installing : perl-Pod-Simple-1:3.45-6.fc40.noarch 150/240 Installing : perl-Socket-4:2.038-1.fc41.s390x 151/240 Installing : perl-SelectSaver-1.02-507.fc41.noarch 152/240 Installing : perl-Symbol-1.09-507.fc41.noarch 153/240 Installing : perl-File-stat-1.13-507.fc41.noarch 154/240 Installing : perl-podlators-1:5.01-502.fc40.noarch 155/240 Installing : perl-Pod-Perldoc-3.28.01-503.fc40.noarch 156/240 Installing : perl-Fcntl-1.15-507.fc41.s390x 157/240 Installing : perl-Text-ParseWords-3.31-502.fc40.noarch 158/240 Installing : perl-base-2.27-507.fc41.noarch 159/240 Installing : perl-mro-1.28-507.fc41.s390x 160/240 Installing : perl-IO-1.52-507.fc41.s390x 161/240 Installing : perl-overloading-0.02-507.fc41.noarch 162/240 Installing : perl-Pod-Usage-4:2.03-504.fc41.noarch 163/240 Installing : perl-Errno-1.37-507.fc41.s390x 164/240 Installing : perl-File-Basename-2.86-507.fc41.noarch 165/240 Installing : perl-Getopt-Std-1.13-507.fc41.noarch 166/240 Installing : perl-MIME-Base64-3.16-503.fc40.s390x 167/240 Installing : perl-Scalar-List-Utils-5:1.63-503.fc40.s390x 168/240 Installing : perl-constant-1.33-503.fc40.noarch 169/240 Installing : perl-Storable-1:3.32-502.fc40.s390x 170/240 Installing : perl-overload-1.37-507.fc41.noarch 171/240 Installing : perl-parent-1:0.241-502.fc40.noarch 172/240 Installing : perl-vars-1.05-507.fc41.noarch 173/240 Installing : perl-Getopt-Long-1:2.57-4.fc41.noarch 174/240 Installing : perl-Carp-1.54-502.fc40.noarch 175/240 Installing : perl-Exporter-5.78-3.fc40.noarch 176/240 Installing : perl-PathTools-3.91-503.fc41.s390x 177/240 Installing : perl-DynaLoader-1.54-507.fc41.s390x 178/240 Installing : perl-Encode-4:3.21-505.fc41.s390x 179/240 Installing : perl-libs-4:5.38.2-507.fc41.s390x 180/240 Installing : perl-interpreter-4:5.38.2-507.fc41.s390x 181/240 Installing : perl-English-1.11-507.fc41.noarch 182/240 Installing : perl-File-Find-1.43-507.fc41.noarch 183/240 Installing : google-noto-fonts-common-20240401-1.fc41.noarch 184/240 Installing : google-noto-sans-vf-fonts-20240401-1.fc41.noarch 185/240 Installing : default-fonts-core-sans-4.0-13.fc41.noarch 186/240 Installing : glibc-headers-s390-2.39.9000-18.fc41.noarch 187/240 Installing : libxcrypt-devel-4.4.36-5.fc40.s390x 188/240 Installing : glibc-devel-2.39.9000-18.fc41.s390x 189/240 Installing : gc-8.2.2-6.fc40.s390x 190/240 Installing : guile30-3.0.9-1.fc41.s390x 191/240 Installing : make-1:4.4.1-6.fc40.s390x 192/240 Installing : gcc-14.1.1-5.fc41.s390x 193/240 Running scriptlet: gcc-14.1.1-5.fc41.s390x 193/240 Installing : gcc-c++-14.1.1-5.fc41.s390x 194/240 Installing : emacs-filesystem-1:30.0-2.fc41.noarch 195/240 Installing : cmake-data-3.28.3-5.fc41.noarch 196/240 Installing : cmake-3.28.3-5.fc41.s390x 197/240 Installing : qt5-rpm-macros-5.15.14-1.fc41.noarch 198/240 Installing : duktape-2.7.0-7.fc40.s390x 199/240 Installing : libproxy-0.5.5-1.fc41.s390x 200/240 Installing : double-conversion-3.3.0-3.fc40.s390x 201/240 Installing : qt5-qtbase-common-5.15.14-1.fc41.noarch 202/240 Running scriptlet: qt5-qtbase-5.15.14-1.fc41.s390x 203/240 Installing : qt5-qtbase-5.15.14-1.fc41.s390x 203/240 Running scriptlet: qt5-qtbase-5.15.14-1.fc41.s390x 203/240 Installing : dbus-common-1:1.14.10-3.fc40.noarch 204/240 Running scriptlet: dbus-common-1:1.14.10-3.fc40.noarch 204/240 Running scriptlet: dbus-broker-36-2.fc41.s390x 205/240 Installing : dbus-broker-36-2.fc41.s390x 205/240 Running scriptlet: dbus-broker-36-2.fc41.s390x 205/240 Installing : dbus-1:1.14.10-3.fc40.s390x 206/240 Installing : systemd-pam-256~rc4-2.fc41.s390x 207/240 Installing : systemd-256~rc4-2.fc41.s390x 208/240 Running scriptlet: systemd-256~rc4-2.fc41.s390x 208/240 Creating group 'systemd-journal' with GID 190. Creating group 'systemd-oom' with GID 999. Creating user 'systemd-oom' (systemd Userspace OOM Killer) with UID 999 and GID 999. Installing : libftdi-1.5-12.fc40.s390x 209/240 Installing : dconf-0.40.0-12.fc40.s390x 210/240 Running scriptlet: dconf-0.40.0-12.fc40.s390x 210/240 Installing : annobin-docs-12.54-2.fc41.noarch 211/240 Installing : graphite2-1.3.14-15.fc40.s390x 212/240 Installing : cairo-1.18.0-3.fc40.s390x 213/240 Installing : harfbuzz-8.4.0-1.fc41.s390x 214/240 Installing : freetype-2.13.2-5.fc40.s390x 215/240 Installing : fontconfig-2.15.0-6.fc41.s390x 216/240 Running scriptlet: fontconfig-2.15.0-6.fc41.s390x 216/240 Installing : qt5-qtbase-gui-5.15.14-1.fc41.s390x 217/240 Installing : qt5-qtbase-devel-5.15.14-1.fc41.s390x 218/240 Installing : qt5-qtdeclarative-5.15.14-1.fc41.s390x 219/240 Installing : qt5-qtconfiguration-0.3.1-22.fc40.s390x 220/240 Installing : boost-test-1.83.0-7.fc41.s390x 221/240 Installing : boost-stacktrace-1.83.0-7.fc41.s390x 222/240 Installing : boost-serialization-1.83.0-7.fc41.s390x 223/240 Installing : boost-random-1.83.0-7.fc41.s390x 224/240 Installing : boost-nowide-1.83.0-7.fc41.s390x 225/240 Installing : boost-math-1.83.0-7.fc41.s390x 226/240 Installing : boost-iostreams-1.83.0-7.fc41.s390x 227/240 Installing : boost-contract-1.83.0-7.fc41.s390x 228/240 Installing : boost-1.83.0-7.fc41.s390x 229/240 Installing : boost-devel-1.83.0-7.fc41.s390x 230/240 Installing : qt5-qtconfiguration-devel-0.3.1-22.fc40.s390x 231/240 Installing : annobin-plugin-gcc-12.54-2.fc41.s390x 232/240 Running scriptlet: annobin-plugin-gcc-12.54-2.fc41.s390x 232/240 Installing : icestorm-0-0.33.20240524gitc23e99c.fc41.s390x 233/240 Installing : gcc-plugin-annobin-14.1.1-5.fc41.s390x 234/240 Running scriptlet: gcc-plugin-annobin-14.1.1-5.fc41.s390x 234/240 Installing : python3-devel-3.12.3-2.fc41.s390x 235/240 Installing : trellis-devel-1.2.1-26.20240524git2dab009.fc41.s 236/240 Installing : add-determinism-0.2.0-9.fc41.s390x 237/240 Installing : python3-setuptools-69.2.0-3.fc41.noarch 238/240 Installing : eigen3-devel-3.4.0-15.fc40.noarch 239/240 Installing : systemd-rpm-macros-256~rc4-2.fc41.noarch 240/240 Running scriptlet: dconf-0.40.0-12.fc40.s390x 240/240 Running scriptlet: fontconfig-2.15.0-6.fc41.s390x 240/240 Running scriptlet: systemd-rpm-macros-256~rc4-2.fc41.noarch 240/240 Installed: abattis-cantarell-vf-fonts-0.301-12.fc40.noarch add-determinism-0.2.0-9.fc41.s390x annobin-docs-12.54-2.fc41.noarch annobin-plugin-gcc-12.54-2.fc41.s390x avahi-libs-0.8-26.fc40.s390x boost-1.83.0-7.fc41.s390x boost-atomic-1.83.0-7.fc41.s390x boost-chrono-1.83.0-7.fc41.s390x boost-container-1.83.0-7.fc41.s390x boost-context-1.83.0-7.fc41.s390x boost-contract-1.83.0-7.fc41.s390x boost-coroutine-1.83.0-7.fc41.s390x boost-date-time-1.83.0-7.fc41.s390x boost-devel-1.83.0-7.fc41.s390x boost-fiber-1.83.0-7.fc41.s390x boost-filesystem-1.83.0-7.fc41.s390x boost-graph-1.83.0-7.fc41.s390x boost-iostreams-1.83.0-7.fc41.s390x boost-json-1.83.0-7.fc41.s390x boost-locale-1.83.0-7.fc41.s390x boost-log-1.83.0-7.fc41.s390x boost-math-1.83.0-7.fc41.s390x boost-nowide-1.83.0-7.fc41.s390x boost-numpy3-1.83.0-7.fc41.s390x boost-program-options-1.83.0-7.fc41.s390x boost-python3-1.83.0-7.fc41.s390x boost-random-1.83.0-7.fc41.s390x boost-regex-1.83.0-7.fc41.s390x boost-serialization-1.83.0-7.fc41.s390x boost-stacktrace-1.83.0-7.fc41.s390x boost-system-1.83.0-7.fc41.s390x boost-test-1.83.0-7.fc41.s390x boost-thread-1.83.0-7.fc41.s390x boost-timer-1.83.0-7.fc41.s390x boost-type_erasure-1.83.0-7.fc41.s390x boost-wave-1.83.0-7.fc41.s390x cairo-1.18.0-3.fc40.s390x cmake-3.28.3-5.fc41.s390x cmake-data-3.28.3-5.fc41.noarch cmake-filesystem-3.28.3-5.fc41.s390x cmake-rpm-macros-3.28.3-5.fc41.noarch cpp-14.1.1-5.fc41.s390x cups-libs-1:2.4.8-5.fc41.s390x dbus-1:1.14.10-3.fc40.s390x dbus-broker-36-2.fc41.s390x dbus-common-1:1.14.10-3.fc40.noarch dbus-libs-1:1.14.10-3.fc40.s390x dconf-0.40.0-12.fc40.s390x default-fonts-core-sans-4.0-13.fc41.noarch double-conversion-3.3.0-3.fc40.s390x duktape-2.7.0-7.fc40.s390x eigen3-devel-3.4.0-15.fc40.noarch emacs-filesystem-1:30.0-2.fc41.noarch expat-2.6.2-1.fc41.s390x flexiblas-3.4.4-1.fc41.s390x flexiblas-netlib-3.4.4-1.fc41.s390x flexiblas-openblas-openmp-3.4.4-1.fc41.s390x fontconfig-2.15.0-6.fc41.s390x fonts-filesystem-1:2.0.5-15.fc41.noarch freetype-2.13.2-5.fc40.s390x gc-8.2.2-6.fc40.s390x gcc-14.1.1-5.fc41.s390x gcc-c++-14.1.1-5.fc41.s390x gcc-plugin-annobin-14.1.1-5.fc41.s390x glib2-2.80.2-1.fc41.s390x glibc-devel-2.39.9000-18.fc41.s390x glibc-headers-s390-2.39.9000-18.fc41.noarch glx-utils-9.0.0-7.fc41.s390x gnutls-3.8.5-2.fc41.s390x google-noto-fonts-common-20240401-1.fc41.noarch google-noto-sans-vf-fonts-20240401-1.fc41.noarch graphite2-1.3.14-15.fc40.s390x groff-base-1.23.0-6.fc40.s390x guile30-3.0.9-1.fc41.s390x harfbuzz-8.4.0-1.fc41.s390x icestorm-0-0.33.20240524gitc23e99c.fc41.s390x jsoncpp-1.9.5-7.fc40.s390x kernel-headers-6.10.0-0.rc2.24.fc41.s390x libICE-1.1.1-3.fc40.s390x libSM-1.2.4-3.fc40.s390x libX11-1.8.9-1.fc41.s390x libX11-common-1.8.9-1.fc41.noarch libX11-devel-1.8.9-1.fc41.s390x libX11-xcb-1.8.9-1.fc41.s390x libXau-1.0.11-6.fc40.s390x libXau-devel-1.0.11-6.fc40.s390x libXext-1.3.6-1.fc40.s390x libXfixes-6.0.1-3.fc40.s390x libXrender-0.9.11-6.fc40.s390x libXxf86vm-1.1.5-6.fc40.s390x libasan-14.1.1-5.fc41.s390x libatomic-14.1.1-5.fc41.s390x libb2-0.98.1-11.fc40.s390x libdrm-2.4.121-1.fc41.s390x libevdev-1.13.2-2.fc41.s390x libftdi-1.5-12.fc40.s390x libgfortran-14.1.1-5.fc41.s390x libglvnd-1:1.7.0-4.fc40.s390x libglvnd-core-devel-1:1.7.0-4.fc40.s390x libglvnd-devel-1:1.7.0-4.fc40.s390x libglvnd-egl-1:1.7.0-4.fc40.s390x libglvnd-gles-1:1.7.0-4.fc40.s390x libglvnd-glx-1:1.7.0-4.fc40.s390x libglvnd-opengl-1:1.7.0-4.fc40.s390x libgudev-238-5.fc40.s390x libicu-74.2-1.fc40.s390x libicu-devel-74.2-1.fc40.s390x libinput-1.26.0-1.fc41.s390x libjpeg-turbo-3.0.2-2.fc41.s390x libmpc-1.3.1-5.fc40.s390x libpng-2:1.6.40-3.fc40.s390x libproxy-0.5.5-1.fc41.s390x libseccomp-2.5.3-8.fc40.s390x libstdc++-devel-14.1.1-5.fc41.s390x libubsan-14.1.1-5.fc41.s390x libusb1-1.0.27-2.fc41.s390x libuv-1:1.48.0-1.fc40.s390x libwacom-2.12.0-1.fc41.s390x libwacom-data-2.12.0-1.fc41.noarch libwayland-client-1.22.0-3.fc40.s390x libwayland-server-1.22.0-3.fc40.s390x libxcb-1.17.0-1.fc41.s390x libxcb-devel-1.17.0-1.fc41.s390x libxcrypt-devel-4.4.36-5.fc40.s390x libxkbcommon-1.7.0-1.fc41.s390x libxkbcommon-x11-1.7.0-1.fc41.s390x libxshmfence-1.3.2-3.fc40.s390x make-1:4.4.1-6.fc40.s390x mesa-libEGL-24.1.1-2.fc41.s390x mesa-libEGL-devel-24.1.1-2.fc41.s390x mesa-libGL-24.1.1-2.fc41.s390x mesa-libgbm-24.1.1-2.fc41.s390x mesa-libglapi-24.1.1-2.fc41.s390x mpdecimal-2.5.1-9.fc40.s390x mtdev-1.1.6-8.fc40.s390x ncurses-6.4-12.20240127.fc40.s390x nettle-3.9.1-6.fc40.s390x openblas-0.3.26-4.fc40.s390x openblas-openmp-0.3.26-4.fc40.s390x pcre2-utf16-10.43-2.fc41.1.s390x perl-AutoLoader-5.74-507.fc41.noarch perl-B-1.88-507.fc41.s390x perl-Carp-1.54-502.fc40.noarch perl-Class-Struct-0.68-507.fc41.noarch perl-Data-Dumper-2.189-504.fc41.s390x perl-Digest-1.20-502.fc40.noarch perl-Digest-MD5-2.59-3.fc40.s390x perl-DynaLoader-1.54-507.fc41.s390x perl-Encode-4:3.21-505.fc41.s390x perl-English-1.11-507.fc41.noarch perl-Errno-1.37-507.fc41.s390x perl-Exporter-5.78-3.fc40.noarch perl-Fcntl-1.15-507.fc41.s390x perl-File-Basename-2.86-507.fc41.noarch perl-File-Find-1.43-507.fc41.noarch perl-File-Path-2.18-503.fc40.noarch perl-File-Temp-1:0.231.100-503.fc40.noarch perl-File-stat-1.13-507.fc41.noarch perl-FileHandle-2.05-507.fc41.noarch perl-Getopt-Long-1:2.57-4.fc41.noarch perl-Getopt-Std-1.13-507.fc41.noarch perl-HTTP-Tiny-0.088-5.fc40.noarch perl-IO-1.52-507.fc41.s390x perl-IO-Socket-IP-0.42-2.fc40.noarch perl-IO-Socket-SSL-2.085-1.fc40.noarch perl-IPC-Open3-1.22-507.fc41.noarch perl-MIME-Base64-3.16-503.fc40.s390x perl-Mozilla-CA-20240313-1.fc41.noarch perl-Net-SSLeay-1.94-3.fc40.s390x perl-POSIX-2.13-507.fc41.s390x perl-PathTools-3.91-503.fc41.s390x perl-Pod-Escapes-1:1.07-503.fc40.noarch perl-Pod-Perldoc-3.28.01-503.fc40.noarch perl-Pod-Simple-1:3.45-6.fc40.noarch perl-Pod-Usage-4:2.03-504.fc41.noarch perl-Scalar-List-Utils-5:1.63-503.fc40.s390x perl-SelectSaver-1.02-507.fc41.noarch perl-Socket-4:2.038-1.fc41.s390x perl-Storable-1:3.32-502.fc40.s390x perl-Symbol-1.09-507.fc41.noarch perl-Term-ANSIColor-5.01-504.fc40.noarch perl-Term-Cap-1.18-503.fc40.noarch perl-Text-ParseWords-3.31-502.fc40.noarch perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch perl-Time-Local-2:1.350-5.fc40.noarch perl-URI-5.28-1.fc41.noarch perl-base-2.27-507.fc41.noarch perl-constant-1.33-503.fc40.noarch perl-if-0.61.000-507.fc41.noarch perl-interpreter-4:5.38.2-507.fc41.s390x perl-libnet-3.15-503.fc40.noarch perl-libs-4:5.38.2-507.fc41.s390x perl-locale-1.10-507.fc41.noarch perl-mro-1.28-507.fc41.s390x perl-overload-1.37-507.fc41.noarch perl-overloading-0.02-507.fc41.noarch perl-parent-1:0.241-502.fc40.noarch perl-podlators-1:5.01-502.fc40.noarch perl-vars-1.05-507.fc41.noarch pixman-0.43.4-1.fc41.s390x pyproject-rpm-macros-1.12.1-1.fc41.noarch python-pip-wheel-24.0-2.fc41.noarch python-rpm-macros-3.12-9.fc41.noarch python3-3.12.3-2.fc41.s390x python3-devel-3.12.3-2.fc41.s390x python3-libs-3.12.3-2.fc41.s390x python3-numpy-1:1.26.4-7.fc41.s390x python3-packaging-24.0-1.fc41.noarch python3-rpm-generators-14-10.fc40.noarch python3-rpm-macros-3.12-9.fc41.noarch python3-setuptools-69.2.0-3.fc41.noarch qt-settings-40.0-1.fc41.noarch qt5-qtbase-5.15.14-1.fc41.s390x qt5-qtbase-common-5.15.14-1.fc41.noarch qt5-qtbase-devel-5.15.14-1.fc41.s390x qt5-qtbase-gui-5.15.14-1.fc41.s390x qt5-qtconfiguration-0.3.1-22.fc40.s390x qt5-qtconfiguration-devel-0.3.1-22.fc40.s390x qt5-qtdeclarative-5.15.14-1.fc41.s390x qt5-rpm-macros-5.15.14-1.fc41.noarch rhash-1.4.4-1.fc41.s390x systemd-256~rc4-2.fc41.s390x systemd-pam-256~rc4-2.fc41.s390x systemd-rpm-macros-256~rc4-2.fc41.noarch trellis-1.2.1-26.20240524git2dab009.fc41.s390x trellis-data-1.2.1-26.20240524git2dab009.fc41.noarch trellis-devel-1.2.1-26.20240524git2dab009.fc41.s390x tzdata-2024a-8.fc41.noarch vim-filesystem-2:9.1.452-1.fc41.noarch vulkan-headers-1.3.283.0-1.fc41.noarch vulkan-loader-1.3.283.0-2.fc41.s390x vulkan-loader-devel-1.3.283.0-2.fc41.s390x xcb-util-0.4.1-5.fc40.s390x xcb-util-image-0.4.1-5.fc40.s390x xcb-util-keysyms-0.4.1-5.fc40.s390x xcb-util-renderutil-0.3.10-5.fc40.s390x xcb-util-wm-0.4.2-5.fc40.s390x xkeyboard-config-2.41-1.fc40.noarch xml-common-0.6.3-63.fc40.noarch xorg-x11-proto-devel-2024.1-2.fc41.noarch Complete! Finish: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: s390x Building for target s390x setting SOURCE_DATE_EPOCH=1717804800 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.oOZ0Az + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + /usr/bin/rm -rf /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.UihH2k + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd /builddir/build/BUILD/nextpnr-1-build + rm -rf nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/nextpnr-b7f91e5.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.jpCFHZ + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -S . -B redhat-linux-build -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON -DARCH=all -DICEBOX_DATADIR=/usr/share/icestorm -DTRELLIS_LIBDIR=/usr/lib64/trellis -DBUILD_GUI=ON -DUSE_OPENMP=ON -- The CXX compiler identification is GNU 14.1.1 -- The C compiler identification is GNU 14.1.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found OpenGL: /usr/lib64/libOpenGL.so -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb -- Configuring done (2.1s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build + /usr/bin/cmake --build redhat-linux-build -j2 --verbose Change Dir: '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j2 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 -B/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target gui_generic_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target gui_ice40_autogen_timestamp_deps /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" [ 0%] Generating chipdb/chipdb-384.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/g++ -Dbbasm_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba/main.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 2%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 2%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Built target bbasm /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Built target gui_ecp5_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/generic/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/generic/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target gui_generic_autogen /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json "" AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target QtPropertyBrowser_autogen /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Built target gui_ice40_autogen /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Automatic MOC for target gui_ecp5 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 5%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ecp5/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/s390x-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/s390x-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Built target gui_ecp5_autogen /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc'. [ 5%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/generic/gui_generic_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_generic gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o -MF CMakeFiles/gui_generic.dir/application.cc.o.d -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o -MF CMakeFiles/gui_generic.dir/basewindow.cc.o.d -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o -MF CMakeFiles/gui_generic.dir/designwidget.cc.o.d -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o -MF CMakeFiles/gui_generic.dir/line_editor.cc.o.d -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o -MF CMakeFiles/gui_generic.dir/lineshader.cc.o.d -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o -MF CMakeFiles/gui_generic.dir/pyconsole.cc.o.d -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o -MF CMakeFiles/gui_generic.dir/pythontab.cc.o.d -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 8%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o -MF CMakeFiles/gui_generic.dir/treemodel.cc.o.d -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o -MF CMakeFiles/gui_generic.dir/worker.cc.o.d -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -MF CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o.d -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.cc [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp [ 14%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_generic.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 15%] Built target gui_generic /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 15%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/lib64/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" Dependencies file "generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target QtPropertyBrowser gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 16%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 16%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 16%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 18%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 20%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 20%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib" libQtPropertyBrowser.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Built target QtPropertyBrowser /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/nextpnr.qrc [ 20%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ice40/gui_ice40_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp [ 29%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ice40.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 30%] Built target gui_ice40 /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 30%] Built target chipdb-ice40-bbas /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" [ 30%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/nextpnr.qrc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build [ 30%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/DependInfo.cmake "--color=" [ 30%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc Dependencies file "generated/gui/ecp5/gui_ecp5_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ecp5 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 30%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp [ 31%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 31%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o -MF CMakeFiles/gui_ecp5.dir/application.cc.o.d -o CMakeFiles/gui_ecp5.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 31%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 31%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o -MF CMakeFiles/gui_ecp5.dir/basewindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/designwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 33%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 33%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 33%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 34%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o -MF CMakeFiles/gui_ecp5.dir/line_editor.cc.o.d -o CMakeFiles/gui_ecp5.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 35%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o -MF CMakeFiles/gui_ecp5.dir/lineshader.cc.o.d -o CMakeFiles/gui_ecp5.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 35%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -MF CMakeFiles/gui_ecp5.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 36%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 36%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 36%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 37%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 37%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o -MF CMakeFiles/gui_ecp5.dir/pythontab.cc.o.d -o CMakeFiles/gui_ecp5.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 38%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 39%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o -MF CMakeFiles/gui_ecp5.dir/treemodel.cc.o.d -o CMakeFiles/gui_ecp5.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 39%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o -MF CMakeFiles/gui_ecp5.dir/worker.cc.o.d -o CMakeFiles/gui_ecp5.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 39%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 40%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.cc [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 40%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 40%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 41%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 42%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 42%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 43%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 43%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 43%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 44%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 44%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 44%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 45%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 45%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 45%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 46%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp [ 46%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp [ 46%] Linking CXX static library libgui_ecp5.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -P CMakeFiles/gui_ecp5.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ecp5.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ecp5.a CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ecp5.dir/application.cc.o CMakeFiles/gui_ecp5.dir/basewindow.cc.o CMakeFiles/gui_ecp5.dir/designwidget.cc.o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ecp5.dir/line_editor.cc.o CMakeFiles/gui_ecp5.dir/lineshader.cc.o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o CMakeFiles/gui_ecp5.dir/pythontab.cc.o CMakeFiles/gui_ecp5.dir/treemodel.cc.o CMakeFiles/gui_ecp5.dir/worker.cc.o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ecp5.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 47%] Built target gui_ecp5 [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 49%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 49%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 49%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 50%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 51%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 51%] Built target chipdb-ice40-bins /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 51%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch_pybindings.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/cells.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/main.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/pack.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_api.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_helpers.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/example/example.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/okami/okami.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fabulous.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fasm.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/pack.cc [ 59%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/validity_check.cc [ 59%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 60%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 60%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 60%] Built target nextpnr-generic /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.cc [ 60%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.cc [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.cc [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.cc [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 63%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 63%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 67%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 74%] Built target chipdb-ecp5-bbas [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 74%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 76%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 76%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --be --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 77%] Built target chipdb-ecp5-bins [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_place.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_pybindings.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/bitstream.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/cells.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chains.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/delay.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/main.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pack.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pcf.cc /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 80%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.cc [ 81%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.cc [ 82%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 83%] Built target chipdb-ecp5 /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 95%] Built target nextpnr-ice40 [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_place.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_pybindings.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/baseconfigs.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/bitstream.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/cells.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/config.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pack.cc [100%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pio.cc [100%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ecp5 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles 0 + mkdir -p examples/ice40 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.1xfnFz + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + '[' /builddir/build/BUILD/nextpnr-1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/nextpnr-1-build/BUILDROOT ++ dirname /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/nextpnr-1-build + mkdir /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=z13 -mtune=z14 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DESTDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT + /usr/bin/cmake --install redhat-linux-build -- Install configuration: "Release" -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ecp5 + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 1-41.20240524gitb7f91e5.fc41 --unique-debug-suffix -1-41.20240524gitb7f91e5.fc41.s390x --unique-debug-src-base nextpnr-1-41.20240524gitb7f91e5.fc41.s390x --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 find-debuginfo: starting Extracting debug info from 3 files DWARF-compressing 3 files sepdebugcrcfix: Updated 3 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-1-41.20240524gitb7f91e5.fc41.s390x 1544187 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j2 /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Cannot initialize handler pyc: ModuleNotFoundError: No module named 'marshalparser' [src/multiprocess.rs:66:9] &cmd = Command { program: "/usr/bin/add-determinism", args: [ "/usr/bin/add-determinism", "--socket", "3", "--brp", "--handler", "ar,jar,javadoc", ], env: CommandEnv { clear: false, vars: { "SOURCE_DATE_EPOCH": Some( "1717804800", ), }, }, create_pidfd: false, } Bye! Bye! Reading /builddir/build/BUILD/nextpnr-1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: nextpnr-1-41.20240524gitb7f91e5.fc41.s390x Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.d8CAqU + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DOCDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/README.md /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/docs /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/examples /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.X18IrY + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + LICENSEDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/COPYING /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-imgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-qtimgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-python-console.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 1-41.20240524gitb7f91e5.fc41 nextpnr(s390-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libboost_filesystem.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.2)(64bit) libc.so.6(GLIBC_2.3.2)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.8)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgomp.so.1()(64bit) libgomp.so.1(GOMP_1.0)(64bit) libgomp.so.1(GOMP_4.0)(64bit) libgomp.so.1(GOMP_4.5)(64bit) libgomp.so.1(OMP_1.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.38)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.s390x Provides: nextpnr-debugsource = 1-41.20240524gitb7f91e5.fc41 nextpnr-debugsource(s390-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.s390x Provides: debuginfo(build-id) = 7ce447df1f522fd846c155346161e459d813a01f debuginfo(build-id) = dcac72248e92064ddb67207a6b0e5bd71c11b7d4 debuginfo(build-id) = e7c0ee56a859dff974cf35284b99125fd1c24694 nextpnr-debuginfo = 1-41.20240524gitb7f91e5.fc41 nextpnr-debuginfo(s390-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(s390-64) = 1-41.20240524gitb7f91e5.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Wrote: /builddir/build/RPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.s390x.rpm Wrote: /builddir/build/RPMS/nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.s390x.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.s390x.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.LJ19U7 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + rm -rf /builddir/build/BUILD/nextpnr-1-build + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log/dnf.log /var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-s390x-1717909395.758899/root/var/log/dnf.rpm.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(child) 27 minutes 39 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr-debuginfo", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "s390x" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "src" }, { "name": "nextpnr-debugsource", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "s390x" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "s390x" } ] } RPMResults finished