Warning: Permanently added '2620:52:3:1:dead:beef:cafe:c15b' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7573206-fedora-39-x86_64 --chroot fedora-39-x86_64 Version: 0.73 PID: 49039 Logging PID: 49040 Task: {'allow_user_ssh': False, 'appstream': False, 'background': True, 'build_id': 7573206, 'buildroot_pkgs': [], 'chroot': 'fedora-39-x86_64', 'enable_net': False, 'fedora_review': False, 'git_hash': '75279f71c5a7a44e17ebf5daa7914600bbc04611', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'nextpnr', 'package_version': '1-41.20240524gitb7f91e5', 'project_dirname': 'openblas-0.3.23', 'project_name': 'openblas-0.3.23', 'project_owner': 'psimovec', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/psimovec/openblas-0.3.23/fedora-39-x86_64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'http://kojipkgs.fedoraproject.org/repos/rawhide/latest/$basearch/', 'id': 'http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch', 'name': 'Additional repo http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch'}], 'sandbox': 'psimovec/openblas-0.3.23--https://src.fedoraproject.org/user/churchyard', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'https://src.fedoraproject.org/user/churchyard', 'tags': [], 'task_id': '7573206-fedora-39-x86_64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/nextpnr', '/var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr'... Running: git checkout 75279f71c5a7a44e17ebf5daa7914600bbc04611 -- cmd: ['git', 'checkout', '75279f71c5a7a44e17ebf5daa7914600bbc04611', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr rc: 0 stdout: stderr: Note: switching to '75279f71c5a7a44e17ebf5daa7914600bbc04611'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 75279f7 automatic import of nextpnr Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr rc: 0 /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated INFO: Downloading nextpnr-b7f91e5.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o nextpnr-b7f91e5.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/psimovec/openblas-0.3.23/nextpnr/nextpnr-b7f91e5.tar.gz/md5/7431c3fc862f9867d2ced7bc89ea453e/nextpnr-b7f91e5.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 4615k 100 4615k 0 0 33.4M 0 --:--:-- --:--:-- --:--:-- 33.3M INFO: Reading stdout from command: md5sum nextpnr-b7f91e5.tar.gz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717895680.766162 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr/nextpnr.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1717895680.766162 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr/nextpnr.spec) Config(fedora-39-x86_64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-bootstrap-1717895680.766162/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:39 INFO: Pulling image: registry.fedoraproject.org/fedora:39 INFO: Copy content of container registry.fedoraproject.org/fedora:39 to /var/lib/mock/fedora-39-x86_64-bootstrap-1717895680.766162/root INFO: Checking that registry.fedoraproject.org/fedora:39 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:39 with podman image mount INFO: image registry.fedoraproject.org/fedora:39 as /var/lib/containers/storage/overlay/07a6729e8cd592c880d8e5f8cab297b1bb59221da4584113b6c353f0803df3e1/merged INFO: umounting image registry.fedoraproject.org/fedora:39 (/var/lib/containers/storage/overlay/07a6729e8cd592c880d8e5f8cab297b1bb59221da4584113b6c353f0803df3e1/merged) with podman image umount INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 42 MB/s | 20 MB 00:00 Additional repo http_kojipkgs_fedoraproject_org 26 MB/s | 75 MB 00:02 fedora 27 MB/s | 89 MB 00:03 updates 9.3 MB/s | 38 MB 00:04 Last metadata expiration check: 0:00:04 ago on Sun Jun 9 01:15:32 2024. Package python3-dnf-4.19.2-1.fc39.noarch is already installed. Dependencies resolved. ========================================================================================================================= Package Arch Version Repository Size ========================================================================================================================= Installing: dnf5 x86_64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 695 k replacing dnf.noarch 4.19.2-1.fc39 replacing yum.noarch 4.19.2-1.fc39 python3-dnf-plugins-core noarch 4.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 302 k Upgrading: dnf-data noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libstdc++ x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 883 k python3-dnf noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580 k Installing dependencies: dbus-libs x86_64 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 156 k fmt x86_64 10.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 125 k libdnf5 x86_64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M libdnf5-cli x86_64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 306 k python3-dateutil noarch 1:2.8.2-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345 k python3-dbus x86_64 1.3.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 145 k python3-distro noarch 1.9.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k python3-six noarch 1.16.0-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k python3-systemd x86_64 235-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 100 k sdbus-cpp x86_64 1.5.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 113 k Transaction Summary ========================================================================================================================= Install 12 Packages Upgrade 3 Packages Total download size: 4.8 M Downloading Packages: (1/15): fmt-10.2.1-4.fc41.x86_64.rpm 1.2 MB/s | 125 kB 00:00 (2/15): dbus-libs-1.14.10-3.fc40.x86_64.rpm 1.4 MB/s | 156 kB 00:00 (3/15): dnf5-5.2.3.0-1.fc41.x86_64.rpm 5.1 MB/s | 695 kB 00:00 (4/15): libdnf5-cli-5.2.3.0-1.fc41.x86_64.rpm 8.8 MB/s | 306 kB 00:00 (5/15): libdnf5-5.2.3.0-1.fc41.x86_64.rpm 22 MB/s | 1.1 MB 00:00 (6/15): python3-dateutil-2.8.2-13.fc40.noarch.r 13 MB/s | 345 kB 00:00 (7/15): python3-dbus-1.3.2-6.fc40.x86_64.rpm 6.3 MB/s | 145 kB 00:00 (8/15): python3-distro-1.9.0-3.fc40.noarch.rpm 2.1 MB/s | 45 kB 00:00 (9/15): python3-systemd-235-9.fc40.x86_64.rpm 3.5 MB/s | 100 kB 00:00 (10/15): python3-six-1.16.0-14.fc40.noarch.rpm 1.3 MB/s | 41 kB 00:00 (11/15): python3-dnf-plugins-core-4.7.0-1.fc41. 7.4 MB/s | 302 kB 00:00 (12/15): sdbus-cpp-1.5.0-2.fc41.x86_64.rpm 5.1 MB/s | 113 kB 00:00 (13/15): dnf-data-4.20.0-1.fc41.noarch.rpm 1.8 MB/s | 39 kB 00:00 (14/15): libstdc++-14.1.1-5.fc41.x86_64.rpm 29 MB/s | 883 kB 00:00 (15/15): python3-dnf-4.20.0-1.fc41.noarch.rpm 19 MB/s | 580 kB 00:00 -------------------------------------------------------------------------------- Total 19 MB/s | 4.8 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Upgrading : libstdc++-14.1.1-5.fc41.x86_64 1/20 Installing : fmt-10.2.1-4.fc41.x86_64 2/20 Installing : libdnf5-5.2.3.0-1.fc41.x86_64 3/20 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : libdnf5-cli-5.2.3.0-1.fc41.x86_64 4/20 Upgrading : dnf-data-4.20.0-1.fc41.noarch 5/20 Upgrading : python3-dnf-4.20.0-1.fc41.noarch 6/20 Installing : sdbus-cpp-1.5.0-2.fc41.x86_64 7/20 Installing : python3-systemd-235-9.fc40.x86_64 8/20 Installing : python3-six-1.16.0-14.fc40.noarch 9/20 Installing : python3-dateutil-1:2.8.2-13.fc40.noarch 10/20 Installing : python3-distro-1.9.0-3.fc40.noarch 11/20 Installing : dbus-libs-1:1.14.10-3.fc40.x86_64 12/20 Installing : python3-dbus-1.3.2-6.fc40.x86_64 13/20 Installing : python3-dnf-plugins-core-4.7.0-1.fc41.noarch 14/20 Installing : dnf5-5.2.3.0-1.fc41.x86_64 15/20 Obsoleting : yum-4.19.2-1.fc39.noarch 16/20 Running scriptlet: dnf-4.19.2-1.fc39.noarch 17/20 Obsoleting : dnf-4.19.2-1.fc39.noarch 17/20 warning: directory /var/cache/dnf: remove failed: Device or resource busy Running scriptlet: dnf-4.19.2-1.fc39.noarch 17/20 Cleanup : python3-dnf-4.19.2-1.fc39.noarch 18/20 Cleanup : dnf-data-4.19.2-1.fc39.noarch 19/20 Cleanup : libstdc++-13.3.1-1.fc39.x86_64 20/20 Running scriptlet: libstdc++-13.3.1-1.fc39.x86_64 20/20 Verifying : dbus-libs-1:1.14.10-3.fc40.x86_64 1/20 Verifying : dnf5-5.2.3.0-1.fc41.x86_64 2/20 Verifying : dnf-4.19.2-1.fc39.noarch 3/20 Verifying : yum-4.19.2-1.fc39.noarch 4/20 Verifying : fmt-10.2.1-4.fc41.x86_64 5/20 Verifying : libdnf5-5.2.3.0-1.fc41.x86_64 6/20 Verifying : libdnf5-cli-5.2.3.0-1.fc41.x86_64 7/20 Verifying : python3-dateutil-1:2.8.2-13.fc40.noarch 8/20 Verifying : python3-dbus-1.3.2-6.fc40.x86_64 9/20 Verifying : python3-distro-1.9.0-3.fc40.noarch 10/20 Verifying : python3-dnf-plugins-core-4.7.0-1.fc41.noarch 11/20 Verifying : python3-six-1.16.0-14.fc40.noarch 12/20 Verifying : python3-systemd-235-9.fc40.x86_64 13/20 Verifying : sdbus-cpp-1.5.0-2.fc41.x86_64 14/20 Verifying : dnf-data-4.20.0-1.fc41.noarch 15/20 Verifying : dnf-data-4.19.2-1.fc39.noarch 16/20 Verifying : libstdc++-14.1.1-5.fc41.x86_64 17/20 Verifying : libstdc++-13.3.1-1.fc39.x86_64 18/20 Verifying : python3-dnf-4.20.0-1.fc41.noarch 19/20 Verifying : python3-dnf-4.19.2-1.fc39.noarch 20/20 Upgraded: dnf-data-4.20.0-1.fc41.noarch libstdc++-14.1.1-5.fc41.x86_64 python3-dnf-4.20.0-1.fc41.noarch Installed: dbus-libs-1:1.14.10-3.fc40.x86_64 dnf5-5.2.3.0-1.fc41.x86_64 fmt-10.2.1-4.fc41.x86_64 libdnf5-5.2.3.0-1.fc41.x86_64 libdnf5-cli-5.2.3.0-1.fc41.x86_64 python3-dateutil-1:2.8.2-13.fc40.noarch python3-dbus-1.3.2-6.fc40.x86_64 python3-distro-1.9.0-3.fc40.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch python3-six-1.16.0-14.fc40.noarch python3-systemd-235-9.fc40.x86_64 sdbus-cpp-1.5.0-2.fc41.x86_64 Complete! Finish(bootstrap): installing dnf tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-1717895680.766162/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.x86_64 rpm-sequoia-1.6.0-3.fc39.x86_64 python3-dnf-4.20.0-1.fc41.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.x86_64 Start: installing minimal buildroot with dnf No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 23 kB/s | 1.5 kB 00:00 Copr repository 32 MB/s | 20 MB 00:00 Additional repo http_kojipkgs_fedoraproject_org 40 kB/s | 3.8 kB 00:00 fedora 1.2 MB/s | 32 kB 00:00 updates 1.2 MB/s | 31 kB 00:00 Dependencies resolved. ============================================================================================================================================ Package Arch Version Repository Size ============================================================================================================================================ Installing group/module packages: bash x86_64 5.2.26-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 M bzip2 x86_64 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 52 k coreutils x86_64 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M cpio x86_64 2.15-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 285 k diffutils x86_64 3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 397 k fedora-release-common noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k findutils x86_64 1:4.10.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 539 k gawk x86_64 5.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M glibc-minimal-langpack x86_64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112 k grep x86_64 3.11-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 289 k gzip x86_64 1.13-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 164 k info x86_64 7.1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 182 k patch x86_64 2.7.6-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 131 k redhat-rpm-config noarch 292-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 75 k rpm-build x86_64 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 75 k sed x86_64 4.9-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 309 k shadow-utils x86_64 2:4.15.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 M tar x86_64 2:1.35-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 847 k unzip x86_64 6.0-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 185 k util-linux x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 M which x86_64 2.21-41.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k xz x86_64 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 532 k Installing dependencies: add-determinism-nopython x86_64 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 891 k alternatives x86_64 1.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k ansible-srpm-macros noarch 1-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k audit-libs x86_64 4.0.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 125 k authselect x86_64 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 141 k authselect-libs x86_64 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 205 k basesystem noarch 11-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.2 k binutils x86_64 2.42.50-14.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.3 M build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k bzip2-libs x86_64 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 862 k coreutils-common x86_64 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 M cracklib x86_64 2.9.11-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82 k crypto-policies noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65 k curl x86_64 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 302 k cyrus-sasl-lib x86_64 2.1.28-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 793 k debugedit x86_64 5.0-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 80 k dwz x86_64 0.15-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 138 k ed x86_64 1.20.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82 k efi-srpm-macros noarch 5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k elfutils x86_64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 524 k elfutils-debuginfod-client x86_64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k elfutils-libelf x86_64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 208 k elfutils-libs x86_64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 258 k fedora-gpg-keys noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k fedora-release noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 k fedora-release-identity-basic noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k fedora-repos noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 k fedora-repos-rawhide noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k file x86_64 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 49 k file-libs x86_64 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 763 k filesystem x86_64 3.18-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M fonts-srpm-macros noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k forge-srpm-macros noarch 0.3.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k fpc-srpm-macros noarch 1.3-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.8 k gdb-minimal x86_64 14.2-11.fc41 copr_base 4.3 M gdbm x86_64 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 147 k gdbm-libs x86_64 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56 k ghc-srpm-macros noarch 1.9.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.0 k glibc x86_64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 M glibc-common x86_64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 394 k glibc-gconv-extra x86_64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 M gmp x86_64 1:6.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 317 k gnat-srpm-macros noarch 6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.8 k go-srpm-macros noarch 3.6.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28 k jansson x86_64 2.13.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44 k kernel-srpm-macros noarch 1.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.8 k keyutils-libs x86_64 1.6.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k krb5-libs x86_64 1.21.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 751 k libacl x86_64 2.3.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k libarchive x86_64 3.7.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 408 k libattr x86_64 2.5.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k libblkid x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124 k libbrotli x86_64 1.1.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 338 k libcap x86_64 2.70-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 86 k libcap-ng x86_64 0.8.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k libcom_err x86_64 1.47.0-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k libcurl x86_64 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 355 k libeconf x86_64 0.6.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k libevent x86_64 2.1.12-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 257 k libfdisk x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 159 k libffi x86_64 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k libgcc x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 129 k libgomp x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 350 k libidn2 x86_64 2.3.7-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112 k libmount x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 155 k libnghttp2 x86_64 1.62.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 76 k libnsl2 x86_64 2.0.1-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k libpkgconf x86_64 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k libpsl x86_64 0.21.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64 k libpwquality x86_64 1.4.5-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 108 k libselinux x86_64 3.6-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 88 k libsemanage x86_64 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116 k libsepol x86_64 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 340 k libsmartcols x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83 k libssh x86_64 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 212 k libssh-config noarch 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k libstdc++ x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 883 k libtasn1 x86_64 4.19.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 74 k libtirpc x86_64 1.3.4-1.rc3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 92 k libtool-ltdl x86_64 2.4.7-11.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35 k libunistring x86_64 1.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 545 k libutempter x86_64 1.2.1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k libuuid x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28 k libverto x86_64 0.3.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k libxcrypt x86_64 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 118 k libxml2 x86_64 2.12.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 685 k libzstd x86_64 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 309 k lua-libs x86_64 5.4.6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 132 k lua-srpm-macros noarch 1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.7 k lz4-libs x86_64 1.9.4-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67 k mpfr x86_64 4.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345 k ncurses-base noarch 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64 k ncurses-libs x86_64 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 332 k ocaml-srpm-macros noarch 10-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.1 k openblas-srpm-macros noarch 2-17.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.7 k openldap x86_64 2.6.8-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 254 k openssl-libs x86_64 1:3.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 M p11-kit x86_64 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 476 k p11-kit-trust x86_64 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 132 k package-notes-srpm-macros noarch 0.5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 k pam x86_64 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 517 k pam-libs x86_64 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 57 k pcre2 x86_64 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 242 k pcre2-syntax noarch 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149 k perl-srpm-macros noarch 1-53.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 k pkgconf x86_64 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44 k pkgconf-m4 noarch 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k pkgconf-pkg-config x86_64 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 k popt x86_64 1.19-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 60 k publicsuffix-list-dafsa noarch 20240107-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 58 k pyproject-srpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k python-srpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k qt5-srpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k qt6-srpm-macros noarch 6.7.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.9 k readline x86_64 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 213 k rpm x86_64 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 524 k rpm-build-libs x86_64 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98 k rpm-libs x86_64 4.19.91-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 308 k rpm-sequoia x86_64 1.6.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 846 k rust-srpm-macros noarch 26.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k setup noarch 2.15.0-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 148 k sqlite-libs x86_64 3.46.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 711 k systemd-libs x86_64 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 728 k util-linux-core x86_64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 520 k xxhash-libs x86_64 0.8.2-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37 k xz-libs x86_64 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 110 k zig-srpm-macros noarch 1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.0 k zip x86_64 3.0-40.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 265 k zlib-ng-compat x86_64 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 77 k zstd x86_64 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 479 k Installing Groups: Buildsystem building group Transaction Summary ============================================================================================================================================ Install 154 Packages Total size: 52 M Installed size: 179 M Downloading Packages: [SKIPPED] gdb-minimal-14.2-11.fc41.x86_64.rpm: Already downloaded [SKIPPED] add-determinism-nopython-0.2.0-9.fc41.x86_64.rpm: Already downloaded [SKIPPED] alternatives-1.27-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] ansible-srpm-macros-1-15.fc41.noarch.rpm: Already downloaded [SKIPPED] audit-libs-4.0.1-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] authselect-1.5.0-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] authselect-libs-1.5.0-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] basesystem-11-20.fc40.noarch.rpm: Already downloaded [SKIPPED] bash-5.2.26-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] binutils-2.42.50-14.fc41.x86_64.rpm: Already downloaded [SKIPPED] build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch.rpm: Already downloaded [SKIPPED] bzip2-1.0.8-18.fc40.x86_64.rpm: Already downloaded [SKIPPED] bzip2-libs-1.0.8-18.fc40.x86_64.rpm: Already downloaded [SKIPPED] ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch.rpm: Already downloaded [SKIPPED] coreutils-9.5-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] coreutils-common-9.5-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] cpio-2.15-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] cracklib-2.9.11-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] crypto-policies-20240521-1.gitf71d135.fc41.noarch.rpm: Already downloaded [SKIPPED] curl-8.8.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] cyrus-sasl-lib-2.1.28-22.fc41.x86_64.rpm: Already downloaded [SKIPPED] debugedit-5.0-16.fc41.x86_64.rpm: Already downloaded [SKIPPED] diffutils-3.10-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] dwz-0.15-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] ed-1.20.2-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] efi-srpm-macros-5-11.fc40.noarch.rpm: Already downloaded [SKIPPED] elfutils-0.191-7.fc41.x86_64.rpm: Already downloaded [SKIPPED] elfutils-debuginfod-client-0.191-7.fc41.x86_64.rpm: Already downloaded [SKIPPED] elfutils-default-yama-scope-0.191-7.fc41.noarch.rpm: Already downloaded [SKIPPED] elfutils-libelf-0.191-7.fc41.x86_64.rpm: Already downloaded [SKIPPED] elfutils-libs-0.191-7.fc41.x86_64.rpm: Already downloaded [SKIPPED] fedora-gpg-keys-41-0.2.noarch.rpm: Already downloaded [SKIPPED] fedora-release-41-0.13.noarch.rpm: Already downloaded [SKIPPED] fedora-release-common-41-0.13.noarch.rpm: Already downloaded [SKIPPED] fedora-release-identity-basic-41-0.13.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-41-0.2.noarch.rpm: Already downloaded [SKIPPED] fedora-repos-rawhide-41-0.2.noarch.rpm: Already downloaded [SKIPPED] file-5.45-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] file-libs-5.45-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] filesystem-3.18-9.fc41.x86_64.rpm: Already downloaded [SKIPPED] findutils-4.10.0-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] fonts-srpm-macros-2.0.5-15.fc41.noarch.rpm: Already downloaded [SKIPPED] forge-srpm-macros-0.3.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] fpc-srpm-macros-1.3-12.fc40.noarch.rpm: Already downloaded [SKIPPED] gawk-5.3.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] gdbm-1.23-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] gdbm-libs-1.23-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] ghc-srpm-macros-1.9.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] glibc-2.39.9000-18.fc41.x86_64.rpm: Already downloaded [SKIPPED] glibc-common-2.39.9000-18.fc41.x86_64.rpm: Already downloaded [SKIPPED] glibc-gconv-extra-2.39.9000-18.fc41.x86_64.rpm: Already downloaded [SKIPPED] glibc-minimal-langpack-2.39.9000-18.fc41.x86_64.rpm: Already downloaded [SKIPPED] gmp-6.3.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] gnat-srpm-macros-6-5.fc40.noarch.rpm: Already downloaded [SKIPPED] go-srpm-macros-3.6.0-1.fc41.noarch.rpm: Already downloaded [SKIPPED] grep-3.11-8.fc41.x86_64.rpm: Already downloaded [SKIPPED] gzip-1.13-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] info-7.1-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] jansson-2.13.1-9.fc40.x86_64.rpm: Already downloaded [SKIPPED] kernel-srpm-macros-1.0-23.fc41.noarch.rpm: Already downloaded [SKIPPED] keyutils-libs-1.6.3-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] krb5-libs-1.21.2-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libacl-2.3.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libarchive-3.7.4-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libattr-2.5.2-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libblkid-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libbrotli-1.1.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libcap-2.70-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libcap-ng-0.8.5-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libcom_err-1.47.0-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libcurl-8.8.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libeconf-0.6.2-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] libevent-2.1.12-13.fc41.x86_64.rpm: Already downloaded [SKIPPED] libfdisk-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libffi-3.4.6-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libgcc-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libgomp-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libidn2-2.3.7-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libmount-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libnghttp2-1.62.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libnsl2-2.0.1-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libpkgconf-2.1.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libpsl-0.21.5-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libpwquality-1.4.5-9.fc40.x86_64.rpm: Already downloaded [SKIPPED] libselinux-3.6-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsemanage-3.6-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsepol-3.6-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libsmartcols-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libssh-0.10.6-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] libssh-config-0.10.6-6.fc41.noarch.rpm: Already downloaded [SKIPPED] libstdc++-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libtasn1-4.19.0-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] libtirpc-1.3.4-1.rc3.fc41.x86_64.rpm: Already downloaded [SKIPPED] libtool-ltdl-2.4.7-11.fc41.x86_64.rpm: Already downloaded [SKIPPED] libunistring-1.1-7.fc41.x86_64.rpm: Already downloaded [SKIPPED] libutempter-1.2.1-13.fc40.x86_64.rpm: Already downloaded [SKIPPED] libuuid-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libverto-0.3.2-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-4.4.36-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libxml2-2.12.7-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libzstd-1.5.6-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] lua-libs-5.4.6-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] lua-srpm-macros-1-13.fc40.noarch.rpm: Already downloaded [SKIPPED] lz4-libs-1.9.4-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] mpfr-4.2.1-4.fc41.x86_64.rpm: Already downloaded [SKIPPED] ncurses-base-6.4-12.20240127.fc40.noarch.rpm: Already downloaded [SKIPPED] ncurses-libs-6.4-12.20240127.fc40.x86_64.rpm: Already downloaded [SKIPPED] ocaml-srpm-macros-10-2.fc41.noarch.rpm: Already downloaded [SKIPPED] openblas-srpm-macros-2-17.fc41.noarch.rpm: Already downloaded [SKIPPED] openldap-2.6.8-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] openssl-libs-3.2.2-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-0.25.3-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] p11-kit-trust-0.25.3-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] package-notes-srpm-macros-0.5-11.fc40.noarch.rpm: Already downloaded [SKIPPED] pam-1.6.1-3.fc41.x86_64.rpm: Already downloaded [SKIPPED] pam-libs-1.6.1-3.fc41.x86_64.rpm: Already downloaded [SKIPPED] patch-2.7.6-24.fc40.x86_64.rpm: Already downloaded [SKIPPED] pcre2-10.43-2.fc41.1.x86_64.rpm: Already downloaded [SKIPPED] pcre2-syntax-10.43-2.fc41.1.noarch.rpm: Already downloaded [SKIPPED] perl-srpm-macros-1-53.fc40.noarch.rpm: Already downloaded [SKIPPED] pkgconf-2.1.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] pkgconf-m4-2.1.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] pkgconf-pkg-config-2.1.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] popt-1.19-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] publicsuffix-list-dafsa-20240107-3.fc40.noarch.rpm: Already downloaded [SKIPPED] pyproject-srpm-macros-1.12.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] python-srpm-macros-3.12-9.fc41.noarch.rpm: Already downloaded [SKIPPED] qt5-srpm-macros-5.15.14-1.fc41.noarch.rpm: Already downloaded [SKIPPED] qt6-srpm-macros-6.7.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] readline-8.2-8.fc40.x86_64.rpm: Already downloaded [SKIPPED] redhat-rpm-config-292-1.fc41.noarch.rpm: Already downloaded [SKIPPED] rpm-4.19.91-8.fc41.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-4.19.91-8.fc41.x86_64.rpm: Already downloaded [SKIPPED] rpm-build-libs-4.19.91-8.fc41.x86_64.rpm: Already downloaded [SKIPPED] rpm-libs-4.19.91-8.fc41.x86_64.rpm: Already downloaded [SKIPPED] rpm-sequoia-1.6.0-3.fc41.x86_64.rpm: Already downloaded [SKIPPED] rust-srpm-macros-26.3-1.fc41.noarch.rpm: Already downloaded [SKIPPED] sed-4.9-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] setup-2.15.0-4.fc41.noarch.rpm: Already downloaded [SKIPPED] shadow-utils-4.15.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] sqlite-libs-3.46.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] systemd-libs-256~rc4-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] tar-1.35-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] unzip-6.0-63.fc40.x86_64.rpm: Already downloaded [SKIPPED] util-linux-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] util-linux-core-2.40.1-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] which-2.21-41.fc40.x86_64.rpm: Already downloaded [SKIPPED] xxhash-libs-0.8.2-2.fc40.x86_64.rpm: Already downloaded [SKIPPED] xz-5.4.6-3.fc41.x86_64.rpm: Already downloaded [SKIPPED] xz-libs-5.4.6-3.fc41.x86_64.rpm: Already downloaded [SKIPPED] zig-srpm-macros-1-2.fc40.noarch.rpm: Already downloaded [SKIPPED] zip-3.0-40.fc40.x86_64.rpm: Already downloaded [SKIPPED] zlib-ng-compat-2.1.6-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] zstd-1.5.6-1.fc41.x86_64.rpm: Already downloaded Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Running scriptlet: filesystem-3.18-9.fc41.x86_64 1/1 Preparing : 1/1 Installing : libgcc-14.1.1-5.fc41.x86_64 1/154 Running scriptlet: libgcc-14.1.1-5.fc41.x86_64 1/154 Installing : crypto-policies-20240521-1.gitf71d135.fc41.noarc 2/154 Running scriptlet: crypto-policies-20240521-1.gitf71d135.fc41.noarc 2/154 Installing : fedora-release-identity-basic-41-0.13.noarch 3/154 Installing : fedora-repos-rawhide-41-0.2.noarch 4/154 Installing : fedora-gpg-keys-41-0.2.noarch 5/154 Installing : fedora-repos-41-0.2.noarch 6/154 Installing : fedora-release-common-41-0.13.noarch 7/154 Installing : fedora-release-41-0.13.noarch 8/154 Installing : setup-2.15.0-4.fc41.noarch 9/154 warning: /etc/hosts created as /etc/hosts.rpmnew Running scriptlet: setup-2.15.0-4.fc41.noarch 9/154 Installing : filesystem-3.18-9.fc41.x86_64 10/154 Installing : basesystem-11-20.fc40.noarch 11/154 Installing : rust-srpm-macros-26.3-1.fc41.noarch 12/154 Installing : qt6-srpm-macros-6.7.1-1.fc41.noarch 13/154 Installing : qt5-srpm-macros-5.15.14-1.fc41.noarch 14/154 Installing : publicsuffix-list-dafsa-20240107-3.fc40.noarch 15/154 Installing : pkgconf-m4-2.1.1-1.fc41.noarch 16/154 Installing : perl-srpm-macros-1-53.fc40.noarch 17/154 Installing : pcre2-syntax-10.43-2.fc41.1.noarch 18/154 Installing : package-notes-srpm-macros-0.5-11.fc40.noarch 19/154 Installing : openblas-srpm-macros-2-17.fc41.noarch 20/154 Installing : ocaml-srpm-macros-10-2.fc41.noarch 21/154 Installing : ncurses-base-6.4-12.20240127.fc40.noarch 22/154 Installing : glibc-gconv-extra-2.39.9000-18.fc41.x86_64 23/154 Running scriptlet: glibc-gconv-extra-2.39.9000-18.fc41.x86_64 23/154 Installing : glibc-minimal-langpack-2.39.9000-18.fc41.x86_64 24/154 Installing : glibc-common-2.39.9000-18.fc41.x86_64 25/154 Running scriptlet: glibc-2.39.9000-18.fc41.x86_64 26/154 Installing : glibc-2.39.9000-18.fc41.x86_64 26/154 Running scriptlet: glibc-2.39.9000-18.fc41.x86_64 26/154 Installing : ncurses-libs-6.4-12.20240127.fc40.x86_64 27/154 Installing : bash-5.2.26-3.fc40.x86_64 28/154 Running scriptlet: bash-5.2.26-3.fc40.x86_64 28/154 Installing : zlib-ng-compat-2.1.6-6.fc41.x86_64 29/154 Installing : bzip2-libs-1.0.8-18.fc40.x86_64 30/154 Installing : xz-libs-1:5.4.6-3.fc41.x86_64 31/154 Installing : readline-8.2-8.fc40.x86_64 32/154 Installing : libuuid-2.40.1-1.fc41.x86_64 33/154 Installing : libzstd-1.5.6-1.fc41.x86_64 34/154 Installing : elfutils-libelf-0.191-7.fc41.x86_64 35/154 Installing : popt-1.19-6.fc40.x86_64 36/154 Installing : libblkid-2.40.1-1.fc41.x86_64 37/154 Installing : gmp-1:6.3.0-1.fc41.x86_64 38/154 Installing : libattr-2.5.2-3.fc40.x86_64 39/154 Installing : libacl-2.3.2-1.fc40.x86_64 40/154 Installing : libstdc++-14.1.1-5.fc41.x86_64 41/154 Installing : libxcrypt-4.4.36-5.fc40.x86_64 42/154 Installing : gdbm-libs-1:1.23-6.fc40.x86_64 43/154 Installing : libeconf-0.6.2-2.fc41.x86_64 44/154 Installing : mpfr-4.2.1-4.fc41.x86_64 45/154 Installing : gawk-5.3.0-3.fc40.x86_64 46/154 Installing : dwz-0.15-6.fc40.x86_64 47/154 Installing : unzip-6.0-63.fc40.x86_64 48/154 Installing : file-libs-5.45-5.fc41.x86_64 49/154 Installing : file-5.45-5.fc41.x86_64 50/154 Installing : alternatives-1.27-1.fc41.x86_64 51/154 Installing : libcap-ng-0.8.5-1.fc41.x86_64 52/154 Installing : audit-libs-4.0.1-2.fc41.x86_64 53/154 Installing : pam-libs-1.6.1-3.fc41.x86_64 54/154 Installing : libcap-2.70-1.fc41.x86_64 55/154 Installing : systemd-libs-256~rc4-2.fc41.x86_64 56/154 Installing : libcom_err-1.47.0-5.fc40.x86_64 57/154 Installing : libsepol-3.6-3.fc40.x86_64 58/154 Installing : libsmartcols-2.40.1-1.fc41.x86_64 59/154 Installing : libtasn1-4.19.0-6.fc40.x86_64 60/154 Installing : libunistring-1.1-7.fc41.x86_64 61/154 Installing : libidn2-2.3.7-1.fc40.x86_64 62/154 Installing : lua-libs-5.4.6-5.fc40.x86_64 63/154 Installing : lz4-libs-1.9.4-6.fc40.x86_64 64/154 Installing : pcre2-10.43-2.fc41.1.x86_64 65/154 Installing : libselinux-3.6-4.fc40.x86_64 66/154 Installing : sed-4.9-1.fc40.x86_64 67/154 Installing : grep-3.11-8.fc41.x86_64 68/154 Installing : findutils-1:4.10.0-2.fc41.x86_64 69/154 Installing : xz-1:5.4.6-3.fc41.x86_64 70/154 Installing : libmount-2.40.1-1.fc41.x86_64 71/154 Installing : util-linux-core-2.40.1-1.fc41.x86_64 72/154 Installing : libsemanage-3.6-3.fc40.x86_64 73/154 Installing : shadow-utils-2:4.15.1-5.fc41.x86_64 74/154 Running scriptlet: libutempter-1.2.1-13.fc40.x86_64 75/154 Installing : libutempter-1.2.1-13.fc40.x86_64 75/154 Installing : tar-2:1.35-3.fc40.x86_64 76/154 Installing : zstd-1.5.6-1.fc41.x86_64 77/154 Installing : libpsl-0.21.5-3.fc40.x86_64 78/154 Installing : zip-3.0-40.fc40.x86_64 79/154 Installing : cyrus-sasl-lib-2.1.28-22.fc41.x86_64 80/154 Installing : gdbm-1:1.23-6.fc40.x86_64 81/154 Installing : libfdisk-2.40.1-1.fc41.x86_64 82/154 Installing : add-determinism-nopython-0.2.0-9.fc41.x86_64 83/154 Installing : build-reproducibility-srpm-macros-0.2.0-9.fc41.n 84/154 Installing : libxml2-2.12.7-1.fc41.x86_64 85/154 Installing : bzip2-1.0.8-18.fc40.x86_64 86/154 Installing : sqlite-libs-3.46.0-1.fc41.x86_64 87/154 Installing : ed-1.20.2-1.fc41.x86_64 88/154 Installing : patch-2.7.6-24.fc40.x86_64 89/154 Installing : elfutils-default-yama-scope-0.191-7.fc41.noarch 90/154 Running scriptlet: elfutils-default-yama-scope-0.191-7.fc41.noarch 90/154 Installing : cpio-2.15-1.fc40.x86_64 91/154 Installing : diffutils-3.10-5.fc40.x86_64 92/154 Installing : jansson-2.13.1-9.fc40.x86_64 93/154 Installing : keyutils-libs-1.6.3-3.fc40.x86_64 94/154 Installing : libbrotli-1.1.0-3.fc40.x86_64 95/154 Installing : libffi-3.4.6-1.fc41.x86_64 96/154 Installing : p11-kit-0.25.3-4.fc40.x86_64 97/154 Installing : p11-kit-trust-0.25.3-4.fc40.x86_64 98/154 Running scriptlet: p11-kit-trust-0.25.3-4.fc40.x86_64 98/154 Installing : libgomp-14.1.1-5.fc41.x86_64 99/154 Installing : libnghttp2-1.62.0-1.fc41.x86_64 100/154 Installing : libpkgconf-2.1.1-1.fc41.x86_64 101/154 Installing : pkgconf-2.1.1-1.fc41.x86_64 102/154 Installing : pkgconf-pkg-config-2.1.1-1.fc41.x86_64 103/154 Installing : libtool-ltdl-2.4.7-11.fc41.x86_64 104/154 Installing : libverto-0.3.2-8.fc40.x86_64 105/154 Installing : xxhash-libs-0.8.2-2.fc40.x86_64 106/154 Installing : libssh-config-0.10.6-6.fc41.noarch 107/154 Installing : kernel-srpm-macros-1.0-23.fc41.noarch 108/154 Installing : gnat-srpm-macros-6-5.fc40.noarch 109/154 Installing : ghc-srpm-macros-1.9.1-1.fc41.noarch 110/154 Installing : fpc-srpm-macros-1.3-12.fc40.noarch 111/154 Installing : coreutils-common-9.5-2.fc41.x86_64 112/154 Installing : openssl-libs-1:3.2.2-1.fc41.x86_64 113/154 Installing : coreutils-9.5-2.fc41.x86_64 114/154 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 115/154 Installing : ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 115/154 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 115/154 Installing : krb5-libs-1.21.2-5.fc40.x86_64 116/154 Installing : libarchive-3.7.4-1.fc41.x86_64 117/154 Installing : libtirpc-1.3.4-1.rc3.fc41.x86_64 118/154 Installing : authselect-libs-1.5.0-5.fc41.x86_64 119/154 Installing : gzip-1.13-1.fc40.x86_64 120/154 Installing : cracklib-2.9.11-5.fc40.x86_64 121/154 Installing : libpwquality-1.4.5-9.fc40.x86_64 122/154 Installing : authselect-1.5.0-5.fc41.x86_64 123/154 Installing : libnsl2-2.0.1-1.fc40.x86_64 124/154 Installing : pam-1.6.1-3.fc41.x86_64 125/154 Installing : libssh-0.10.6-6.fc41.x86_64 126/154 Installing : libevent-2.1.12-13.fc41.x86_64 127/154 Installing : openldap-2.6.8-1.fc41.x86_64 128/154 Installing : libcurl-8.8.0-1.fc41.x86_64 129/154 Installing : elfutils-libs-0.191-7.fc41.x86_64 130/154 Installing : elfutils-debuginfod-client-0.191-7.fc41.x86_64 131/154 Installing : gdb-minimal-14.2-11.fc41.x86_64 132/154 Installing : binutils-2.42.50-14.fc41.x86_64 133/154 Running scriptlet: binutils-2.42.50-14.fc41.x86_64 133/154 Installing : elfutils-0.191-7.fc41.x86_64 134/154 Installing : debugedit-5.0-16.fc41.x86_64 135/154 Installing : curl-8.8.0-1.fc41.x86_64 136/154 Installing : rpm-sequoia-1.6.0-3.fc41.x86_64 137/154 Installing : rpm-libs-4.19.91-8.fc41.x86_64 138/154 Running scriptlet: rpm-4.19.91-8.fc41.x86_64 139/154 Installing : rpm-4.19.91-8.fc41.x86_64 139/154 Installing : efi-srpm-macros-5-11.fc40.noarch 140/154 Installing : lua-srpm-macros-1-13.fc40.noarch 141/154 Installing : zig-srpm-macros-1-2.fc40.noarch 142/154 Installing : rpm-build-libs-4.19.91-8.fc41.x86_64 143/154 Installing : ansible-srpm-macros-1-15.fc41.noarch 144/154 Installing : fonts-srpm-macros-1:2.0.5-15.fc41.noarch 145/154 Installing : forge-srpm-macros-0.3.1-1.fc41.noarch 146/154 Installing : go-srpm-macros-3.6.0-1.fc41.noarch 147/154 Installing : python-srpm-macros-3.12-9.fc41.noarch 148/154 Installing : redhat-rpm-config-292-1.fc41.noarch 149/154 Installing : rpm-build-4.19.91-8.fc41.x86_64 150/154 Installing : pyproject-srpm-macros-1.12.1-1.fc41.noarch 151/154 Installing : util-linux-2.40.1-1.fc41.x86_64 152/154 Running scriptlet: util-linux-2.40.1-1.fc41.x86_64 152/154 Installing : which-2.21-41.fc40.x86_64 153/154 Installing : info-7.1-2.fc40.x86_64 154/154 Running scriptlet: filesystem-3.18-9.fc41.x86_64 154/154 Running scriptlet: ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch 154/154 Running scriptlet: authselect-libs-1.5.0-5.fc41.x86_64 154/154 Running scriptlet: rpm-4.19.91-8.fc41.x86_64 154/154 Running scriptlet: info-7.1-2.fc40.x86_64 154/154 Installed: add-determinism-nopython-0.2.0-9.fc41.x86_64 alternatives-1.27-1.fc41.x86_64 ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.x86_64 authselect-1.5.0-5.fc41.x86_64 authselect-libs-1.5.0-5.fc41.x86_64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.x86_64 binutils-2.42.50-14.fc41.x86_64 build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.x86_64 bzip2-libs-1.0.8-18.fc40.x86_64 ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.x86_64 coreutils-common-9.5-2.fc41.x86_64 cpio-2.15-1.fc40.x86_64 cracklib-2.9.11-5.fc40.x86_64 crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.x86_64 cyrus-sasl-lib-2.1.28-22.fc41.x86_64 debugedit-5.0-16.fc41.x86_64 diffutils-3.10-5.fc40.x86_64 dwz-0.15-6.fc40.x86_64 ed-1.20.2-1.fc41.x86_64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.x86_64 elfutils-debuginfod-client-0.191-7.fc41.x86_64 elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.x86_64 elfutils-libs-0.191-7.fc41.x86_64 fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.x86_64 file-libs-5.45-5.fc41.x86_64 filesystem-3.18-9.fc41.x86_64 findutils-1:4.10.0-2.fc41.x86_64 fonts-srpm-macros-1:2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.x86_64 gdb-minimal-14.2-11.fc41.x86_64 gdbm-1:1.23-6.fc40.x86_64 gdbm-libs-1:1.23-6.fc40.x86_64 ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.x86_64 glibc-common-2.39.9000-18.fc41.x86_64 glibc-gconv-extra-2.39.9000-18.fc41.x86_64 glibc-minimal-langpack-2.39.9000-18.fc41.x86_64 gmp-1:6.3.0-1.fc41.x86_64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.x86_64 gzip-1.13-1.fc40.x86_64 info-7.1-2.fc40.x86_64 jansson-2.13.1-9.fc40.x86_64 kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.x86_64 krb5-libs-1.21.2-5.fc40.x86_64 libacl-2.3.2-1.fc40.x86_64 libarchive-3.7.4-1.fc41.x86_64 libattr-2.5.2-3.fc40.x86_64 libblkid-2.40.1-1.fc41.x86_64 libbrotli-1.1.0-3.fc40.x86_64 libcap-2.70-1.fc41.x86_64 libcap-ng-0.8.5-1.fc41.x86_64 libcom_err-1.47.0-5.fc40.x86_64 libcurl-8.8.0-1.fc41.x86_64 libeconf-0.6.2-2.fc41.x86_64 libevent-2.1.12-13.fc41.x86_64 libfdisk-2.40.1-1.fc41.x86_64 libffi-3.4.6-1.fc41.x86_64 libgcc-14.1.1-5.fc41.x86_64 libgomp-14.1.1-5.fc41.x86_64 libidn2-2.3.7-1.fc40.x86_64 libmount-2.40.1-1.fc41.x86_64 libnghttp2-1.62.0-1.fc41.x86_64 libnsl2-2.0.1-1.fc40.x86_64 libpkgconf-2.1.1-1.fc41.x86_64 libpsl-0.21.5-3.fc40.x86_64 libpwquality-1.4.5-9.fc40.x86_64 libselinux-3.6-4.fc40.x86_64 libsemanage-3.6-3.fc40.x86_64 libsepol-3.6-3.fc40.x86_64 libsmartcols-2.40.1-1.fc41.x86_64 libssh-0.10.6-6.fc41.x86_64 libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.x86_64 libtasn1-4.19.0-6.fc40.x86_64 libtirpc-1.3.4-1.rc3.fc41.x86_64 libtool-ltdl-2.4.7-11.fc41.x86_64 libunistring-1.1-7.fc41.x86_64 libutempter-1.2.1-13.fc40.x86_64 libuuid-2.40.1-1.fc41.x86_64 libverto-0.3.2-8.fc40.x86_64 libxcrypt-4.4.36-5.fc40.x86_64 libxml2-2.12.7-1.fc41.x86_64 libzstd-1.5.6-1.fc41.x86_64 lua-libs-5.4.6-5.fc40.x86_64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.x86_64 mpfr-4.2.1-4.fc41.x86_64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.x86_64 ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.x86_64 openssl-libs-1:3.2.2-1.fc41.x86_64 p11-kit-0.25.3-4.fc40.x86_64 p11-kit-trust-0.25.3-4.fc40.x86_64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.x86_64 pam-libs-1.6.1-3.fc41.x86_64 patch-2.7.6-24.fc40.x86_64 pcre2-10.43-2.fc41.1.x86_64 pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.x86_64 pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.x86_64 popt-1.19-6.fc40.x86_64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.x86_64 redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.x86_64 rpm-build-4.19.91-8.fc41.x86_64 rpm-build-libs-4.19.91-8.fc41.x86_64 rpm-libs-4.19.91-8.fc41.x86_64 rpm-sequoia-1.6.0-3.fc41.x86_64 rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.x86_64 setup-2.15.0-4.fc41.noarch shadow-utils-2:4.15.1-5.fc41.x86_64 sqlite-libs-3.46.0-1.fc41.x86_64 systemd-libs-256~rc4-2.fc41.x86_64 tar-2:1.35-3.fc40.x86_64 unzip-6.0-63.fc40.x86_64 util-linux-2.40.1-1.fc41.x86_64 util-linux-core-2.40.1-1.fc41.x86_64 which-2.21-41.fc40.x86_64 xxhash-libs-0.8.2-2.fc40.x86_64 xz-1:5.4.6-3.fc41.x86_64 xz-libs-1:5.4.6-3.fc41.x86_64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.x86_64 zlib-ng-compat-2.1.6-6.fc41.x86_64 zstd-1.5.6-1.fc41.x86_64 Complete! Finish: installing minimal buildroot with dnf Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.x86_64 alternatives-1.27-1.fc41.x86_64 ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.x86_64 authselect-1.5.0-5.fc41.x86_64 authselect-libs-1.5.0-5.fc41.x86_64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.x86_64 binutils-2.42.50-14.fc41.x86_64 build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.x86_64 bzip2-libs-1.0.8-18.fc40.x86_64 ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.x86_64 coreutils-common-9.5-2.fc41.x86_64 cpio-2.15-1.fc40.x86_64 cracklib-2.9.11-5.fc40.x86_64 crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.x86_64 cyrus-sasl-lib-2.1.28-22.fc41.x86_64 debugedit-5.0-16.fc41.x86_64 diffutils-3.10-5.fc40.x86_64 dwz-0.15-6.fc40.x86_64 ed-1.20.2-1.fc41.x86_64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.x86_64 elfutils-debuginfod-client-0.191-7.fc41.x86_64 elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.x86_64 elfutils-libs-0.191-7.fc41.x86_64 fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.x86_64 file-libs-5.45-5.fc41.x86_64 filesystem-3.18-9.fc41.x86_64 findutils-4.10.0-2.fc41.x86_64 fonts-srpm-macros-2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.x86_64 gdb-minimal-14.2-11.fc41.x86_64 gdbm-1.23-6.fc40.x86_64 gdbm-libs-1.23-6.fc40.x86_64 ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.x86_64 glibc-common-2.39.9000-18.fc41.x86_64 glibc-gconv-extra-2.39.9000-18.fc41.x86_64 glibc-minimal-langpack-2.39.9000-18.fc41.x86_64 gmp-6.3.0-1.fc41.x86_64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.x86_64 gzip-1.13-1.fc40.x86_64 info-7.1-2.fc40.x86_64 jansson-2.13.1-9.fc40.x86_64 kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.x86_64 krb5-libs-1.21.2-5.fc40.x86_64 libacl-2.3.2-1.fc40.x86_64 libarchive-3.7.4-1.fc41.x86_64 libattr-2.5.2-3.fc40.x86_64 libblkid-2.40.1-1.fc41.x86_64 libbrotli-1.1.0-3.fc40.x86_64 libcap-2.70-1.fc41.x86_64 libcap-ng-0.8.5-1.fc41.x86_64 libcom_err-1.47.0-5.fc40.x86_64 libcurl-8.8.0-1.fc41.x86_64 libeconf-0.6.2-2.fc41.x86_64 libevent-2.1.12-13.fc41.x86_64 libfdisk-2.40.1-1.fc41.x86_64 libffi-3.4.6-1.fc41.x86_64 libgcc-14.1.1-5.fc41.x86_64 libgomp-14.1.1-5.fc41.x86_64 libidn2-2.3.7-1.fc40.x86_64 libmount-2.40.1-1.fc41.x86_64 libnghttp2-1.62.0-1.fc41.x86_64 libnsl2-2.0.1-1.fc40.x86_64 libpkgconf-2.1.1-1.fc41.x86_64 libpsl-0.21.5-3.fc40.x86_64 libpwquality-1.4.5-9.fc40.x86_64 libselinux-3.6-4.fc40.x86_64 libsemanage-3.6-3.fc40.x86_64 libsepol-3.6-3.fc40.x86_64 libsmartcols-2.40.1-1.fc41.x86_64 libssh-0.10.6-6.fc41.x86_64 libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.x86_64 libtasn1-4.19.0-6.fc40.x86_64 libtirpc-1.3.4-1.rc3.fc41.x86_64 libtool-ltdl-2.4.7-11.fc41.x86_64 libunistring-1.1-7.fc41.x86_64 libutempter-1.2.1-13.fc40.x86_64 libuuid-2.40.1-1.fc41.x86_64 libverto-0.3.2-8.fc40.x86_64 libxcrypt-4.4.36-5.fc40.x86_64 libxml2-2.12.7-1.fc41.x86_64 libzstd-1.5.6-1.fc41.x86_64 lua-libs-5.4.6-5.fc40.x86_64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.x86_64 mpfr-4.2.1-4.fc41.x86_64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.x86_64 ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.x86_64 openssl-libs-3.2.2-1.fc41.x86_64 p11-kit-0.25.3-4.fc40.x86_64 p11-kit-trust-0.25.3-4.fc40.x86_64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.x86_64 pam-libs-1.6.1-3.fc41.x86_64 patch-2.7.6-24.fc40.x86_64 pcre2-10.43-2.fc41.1.x86_64 pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.x86_64 pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.x86_64 popt-1.19-6.fc40.x86_64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.x86_64 redhat-rpm-config-292-1.fc41.noarch rpm-4.19.91-8.fc41.x86_64 rpm-build-4.19.91-8.fc41.x86_64 rpm-build-libs-4.19.91-8.fc41.x86_64 rpm-libs-4.19.91-8.fc41.x86_64 rpm-sequoia-1.6.0-3.fc41.x86_64 rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.x86_64 setup-2.15.0-4.fc41.noarch shadow-utils-4.15.1-5.fc41.x86_64 sqlite-libs-3.46.0-1.fc41.x86_64 systemd-libs-256~rc4-2.fc41.x86_64 tar-1.35-3.fc40.x86_64 unzip-6.0-63.fc40.x86_64 util-linux-2.40.1-1.fc41.x86_64 util-linux-core-2.40.1-1.fc41.x86_64 which-2.21-41.fc40.x86_64 xxhash-libs-0.8.2-2.fc40.x86_64 xz-5.4.6-3.fc41.x86_64 xz-libs-5.4.6-3.fc41.x86_64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.x86_64 zlib-ng-compat-2.1.6-6.fc41.x86_64 zstd-1.5.6-1.fc41.x86_64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log’: No such file or directory INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log/dnf.log /var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log/dnf.rpm.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-x9d7hc66/nextpnr/nextpnr.spec) Config(child) 1 minutes 29 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(fedora-39-x86_64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-bootstrap-1717895680.766162/root. INFO: reusing tmpfs at /var/lib/mock/fedora-39-x86_64-bootstrap-1717895680.766162/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-39-x86_64-1717895680.766162/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc39.x86_64 rpm-sequoia-1.6.0-3.fc39.x86_64 python3-dnf-4.20.0-1.fc41.noarch python3-dnf-plugins-core-4.7.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.x86_64 Finish: chroot init Start: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1717804800 Wrote: /builddir/build/SRPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 28 kB/s | 1.5 kB 00:00 Additional repo http_kojipkgs_fedoraproject_org 51 kB/s | 3.8 kB 00:00 fedora 877 kB/s | 32 kB 00:00 updates 1.1 MB/s | 31 kB 00:00 Dependencies resolved. =========================================================================================================================================== Package Arch Version Repository Size =========================================================================================================================================== Installing: boost-devel x86_64 1.83.0-6.fc41 copr_base 12 M boost-filesystem x86_64 1.83.0-6.fc41 copr_base 69 k boost-iostreams x86_64 1.83.0-6.fc41 copr_base 41 k boost-program-options x86_64 1.83.0-6.fc41 copr_base 109 k boost-thread x86_64 1.83.0-6.fc41 copr_base 62 k cmake x86_64 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.6 M eigen3-devel noarch 3.4.0-15.fc40 copr_base 1.1 M gcc-c++ x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 M icestorm x86_64 0-0.33.20240524gitc23e99c.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 M libglvnd-devel x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 157 k make x86_64 1:4.4.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580 k python3-devel x86_64 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 275 k python3-setuptools noarch 69.2.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 M qt5-qtconfiguration-devel x86_64 0.3.1-22.fc40 copr_base 15 k trellis-devel x86_64 1.2.1-27.20240524git2dab009.fc41 copr_base 1.0 M Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k add-determinism x86_64 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 907 k annobin-docs noarch 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 90 k annobin-plugin-gcc x86_64 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 965 k avahi-libs x86_64 0.8-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67 k boost x86_64 1.83.0-6.fc41 copr_base 14 k boost-atomic x86_64 1.83.0-6.fc41 copr_base 20 k boost-chrono x86_64 1.83.0-6.fc41 copr_base 26 k boost-container x86_64 1.83.0-6.fc41 copr_base 40 k boost-context x86_64 1.83.0-6.fc41 copr_base 17 k boost-contract x86_64 1.83.0-6.fc41 copr_base 46 k boost-coroutine x86_64 1.83.0-6.fc41 copr_base 20 k boost-date-time x86_64 1.83.0-6.fc41 copr_base 15 k boost-fiber x86_64 1.83.0-6.fc41 copr_base 42 k boost-graph x86_64 1.83.0-6.fc41 copr_base 152 k boost-json x86_64 1.83.0-6.fc41 copr_base 144 k boost-locale x86_64 1.83.0-6.fc41 copr_base 213 k boost-log x86_64 1.83.0-6.fc41 copr_base 490 k boost-math x86_64 1.83.0-6.fc41 copr_base 215 k boost-nowide x86_64 1.83.0-6.fc41 copr_base 21 k boost-numpy3 x86_64 1.83.0-6.fc41 copr_base 29 k boost-python3 x86_64 1.83.0-6.fc41 copr_base 96 k boost-random x86_64 1.83.0-6.fc41 copr_base 24 k boost-regex x86_64 1.83.0-6.fc41 copr_base 111 k boost-serialization x86_64 1.83.0-6.fc41 copr_base 134 k boost-stacktrace x86_64 1.83.0-6.fc41 copr_base 30 k boost-system x86_64 1.83.0-6.fc41 copr_base 15 k boost-test x86_64 1.83.0-6.fc41 copr_base 238 k boost-timer x86_64 1.83.0-6.fc41 copr_base 22 k boost-type_erasure x86_64 1.83.0-6.fc41 copr_base 34 k boost-wave x86_64 1.83.0-6.fc41 copr_base 208 k cairo x86_64 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 709 k cmake-data noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 M cmake-filesystem x86_64 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k cmake-rpm-macros noarch 3.28.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k cpp x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 M cups-libs x86_64 1:2.4.8-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 259 k dbus x86_64 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.0 k dbus-broker x86_64 36-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 171 k dbus-common noarch 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k dbus-libs x86_64 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 156 k dconf x86_64 0.40.0-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 109 k default-fonts-core-sans noarch 4.0-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k double-conversion x86_64 3.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50 k duktape x86_64 2.7.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 170 k emacs-filesystem noarch 1:30.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.1 k expat x86_64 2.6.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 113 k flexiblas x86_64 3.4.4-1.fc41 copr_base 26 k flexiblas-netlib x86_64 3.4.4-1.fc41 copr_base 3.2 M flexiblas-openblas-openmp x86_64 3.4.4-1.fc41 copr_base 18 k fontconfig x86_64 2.15.0-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 257 k fonts-filesystem noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 k freetype x86_64 2.13.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 410 k gc x86_64 8.2.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 110 k gcc x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37 M gcc-plugin-annobin x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 52 k glib2 x86_64 2.80.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 M glibc-devel x86_64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k glibc-headers-x86 noarch 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 542 k glx-utils x86_64 9.0.0-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 72 k gnutls x86_64 3.8.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M google-noto-fonts-common noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k google-noto-sans-vf-fonts noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 594 k graphite2 x86_64 1.3.14-15.fc40 copr_base 95 k groff-base x86_64 1.23.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M guile30 x86_64 3.0.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.2 M harfbuzz x86_64 8.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M hwdata noarch 0.383-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 M jsoncpp x86_64 1.9.5-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99 k kernel-headers x86_64 6.10.0-0.rc2.24.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 M libICE x86_64 1.1.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 74 k libSM x86_64 1.2.4-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 43 k libX11 x86_64 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 648 k libX11-common noarch 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 145 k libX11-devel x86_64 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 912 k libX11-xcb x86_64 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k libXau x86_64 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k libXau-devel x86_64 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k libXext x86_64 1.3.6-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k libXfixes x86_64 6.0.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k libXrender x86_64 0.9.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k libXxf86vm x86_64 1.1.5-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k libb2 x86_64 0.98.1-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k libdrm x86_64 2.4.121-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 158 k libevdev x86_64 1.13.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k libftdi x86_64 1.5-13.fc41 copr_base 44 k libgfortran x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 936 k libglvnd x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 115 k libglvnd-core-devel x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k libglvnd-egl x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35 k libglvnd-gles x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libglvnd-glx x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 132 k libglvnd-opengl x86_64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38 k libgudev x86_64 238-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35 k libicu x86_64 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 M libicu-devel x86_64 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 855 k libinput x86_64 1.26.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209 k libjpeg-turbo x86_64 3.0.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 226 k libmpc x86_64 1.3.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 71 k libpciaccess x86_64 0.16-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k libpng x86_64 2:1.6.40-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k libproxy x86_64 0.5.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48 k libquadmath x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 199 k libquadmath-devel x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k libseccomp x86_64 2.5.3-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 70 k libstdc++-devel x86_64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 M libusb1 x86_64 1.0.27-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 75 k libuv x86_64 1:1.48.0-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 252 k libwacom x86_64 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45 k libwacom-data noarch 2.12.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 178 k libwayland-client x86_64 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k libwayland-server x86_64 1.22.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k libxcb x86_64 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 234 k libxcb-devel x86_64 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 M libxcrypt-devel x86_64 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k libxkbcommon x86_64 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 142 k libxkbcommon-x11 x86_64 1.7.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k libxshmfence x86_64 1.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k mesa-libEGL x86_64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 140 k mesa-libEGL-devel x86_64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24 k mesa-libGL x86_64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 176 k mesa-libgbm x86_64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 47 k mesa-libglapi x86_64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 51 k mpdecimal x86_64 2.5.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 89 k mtdev x86_64 1.1.6-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k ncurses x86_64 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 416 k nettle x86_64 3.9.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 425 k openblas x86_64 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k openblas-openmp x86_64 0.3.26-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.1 M pcre2-utf16 x86_64 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 222 k perl-AutoLoader noarch 5.74-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21 k perl-B x86_64 1.88-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 176 k perl-Carp noarch 1.54-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k perl-Class-Struct noarch 0.68-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Data-Dumper x86_64 2.189-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56 k perl-Digest noarch 1.20-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k perl-Digest-MD5 x86_64 2.59-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36 k perl-DynaLoader x86_64 1.54-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26 k perl-Encode x86_64 4:3.21-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 M perl-English noarch 1.11-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Errno x86_64 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k perl-Exporter noarch 5.78-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k perl-Fcntl x86_64 1.15-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k perl-File-Basename noarch 2.86-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k perl-File-Find noarch 1.43-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25 k perl-File-Path noarch 2.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35 k perl-File-Temp noarch 1:0.231.100-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 59 k perl-File-stat noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k perl-FileHandle noarch 2.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-Getopt-Long noarch 1:2.57-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63 k perl-Getopt-Std noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-HTTP-Tiny noarch 0.088-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56 k perl-IO x86_64 1.52-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78 k perl-IO-Socket-IP noarch 0.42-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42 k perl-IO-Socket-SSL noarch 2.085-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 225 k perl-IPC-Open3 noarch 1.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-MIME-Base64 x86_64 3.16-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k perl-Mozilla-CA noarch 20240313-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Net-SSLeay x86_64 1.94-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 366 k perl-POSIX x86_64 2.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96 k perl-PathTools x86_64 3.91-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 83 k perl-Pod-Escapes noarch 1:1.07-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20 k perl-Pod-Perldoc noarch 3.28.01-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79 k perl-Pod-Simple noarch 1:3.45-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209 k perl-Pod-Usage noarch 4:2.03-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40 k perl-Scalar-List-Utils x86_64 5:1.63-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73 k perl-SelectSaver noarch 1.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k perl-Socket x86_64 4:2.038-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55 k perl-Storable x86_64 1:3.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98 k perl-Symbol noarch 1.09-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-Term-ANSIColor noarch 5.01-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48 k perl-Term-Cap noarch 1.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Text-ParseWords noarch 3.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22 k perl-Time-Local noarch 2:1.350-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34 k perl-URI noarch 5.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120 k perl-base noarch 2.27-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16 k perl-constant noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23 k perl-if noarch 0.61.000-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-interpreter x86_64 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 72 k perl-libnet noarch 3.15-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 122 k perl-libs x86_64 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 M perl-locale noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k perl-mro x86_64 1.28-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29 k perl-overload noarch 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 46 k perl-overloading noarch 0.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k perl-parent noarch 1:0.241-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15 k perl-podlators noarch 1:5.01-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 121 k perl-vars noarch 1.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k pixman x86_64 0.43.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 293 k pyproject-rpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41 k python-pip-wheel noarch 24.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 M python-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k python3 x86_64 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27 k python3-libs x86_64 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.8 M python3-numpy x86_64 1:1.26.4-7.fc41 copr_base 7.2 M python3-packaging noarch 24.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116 k python3-rpm-generators noarch 14-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30 k python3-rpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13 k qt-settings noarch 40.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10 k qt5-qtbase x86_64 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.5 M qt5-qtbase-common noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k qt5-qtbase-devel x86_64 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 M qt5-qtbase-gui x86_64 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.4 M qt5-qtconfiguration x86_64 0.3.1-22.fc40 copr_base 68 k qt5-qtdeclarative x86_64 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.2 M qt5-rpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11 k rhash x86_64 1.4.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196 k systemd x86_64 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.2 M systemd-pam x86_64 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 397 k systemd-rpm-macros noarch 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32 k trellis x86_64 1.2.1-27.20240524git2dab009.fc41 copr_base 776 k trellis-data noarch 1.2.1-27.20240524git2dab009.fc41 copr_base 1.1 M tzdata noarch 2024a-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 431 k vim-filesystem noarch 2:9.1.452-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k vulkan-headers noarch 1.3.283.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 M vulkan-loader x86_64 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 150 k vulkan-loader-devel x86_64 1.3.283.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12 k xcb-util x86_64 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18 k xcb-util-image x86_64 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19 k xcb-util-keysyms x86_64 0.4.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14 k xcb-util-renderutil x86_64 0.3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17 k xcb-util-wm x86_64 0.4.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k xkeyboard-config noarch 2.41-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 921 k xml-common noarch 0.6.3-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31 k xorg-x11-proto-devel noarch 2024.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 266 k Transaction Summary =========================================================================================================================================== Install 241 Packages Total size: 210 M Total download size: 48 M Installed size: 1.0 G Downloading Packages: [SKIPPED] boost-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-atomic-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-chrono-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-container-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-context-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-contract-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-coroutine-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-date-time-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-devel-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-fiber-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-filesystem-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-graph-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-iostreams-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-json-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-locale-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-log-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-math-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-nowide-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-numpy3-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-program-options-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-python3-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-random-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-regex-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-serialization-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-stacktrace-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-system-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-test-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-thread-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-timer-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-type_erasure-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] boost-wave-1.83.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-3.4.4-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-netlib-3.4.4-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] flexiblas-openblas-openmp-3.4.4-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] graphite2-1.3.14-15.fc40.x86_64.rpm: Already downloaded [SKIPPED] python3-numpy-1.26.4-7.fc41.x86_64.rpm: Already downloaded [SKIPPED] abattis-cantarell-vf-fonts-0.301-12.fc40.noarch.rpm: Already downloaded [SKIPPED] add-determinism-0.2.0-9.fc41.x86_64.rpm: Already downloaded [SKIPPED] annobin-docs-12.54-2.fc41.noarch.rpm: Already downloaded [SKIPPED] annobin-plugin-gcc-12.54-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] avahi-libs-0.8-26.fc40.x86_64.rpm: Already downloaded [SKIPPED] cairo-1.18.0-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] cmake-3.28.3-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] cmake-data-3.28.3-5.fc41.noarch.rpm: Already downloaded [SKIPPED] cmake-filesystem-3.28.3-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] cmake-rpm-macros-3.28.3-5.fc41.noarch.rpm: Already downloaded [SKIPPED] cpp-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] cups-libs-2.4.8-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] dbus-libs-1.14.10-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] default-fonts-core-sans-4.0-13.fc41.noarch.rpm: Already downloaded [SKIPPED] emacs-filesystem-30.0-2.fc41.noarch.rpm: Already downloaded [SKIPPED] expat-2.6.2-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] fontconfig-2.15.0-6.fc41.x86_64.rpm: Already downloaded [SKIPPED] fonts-filesystem-2.0.5-15.fc41.noarch.rpm: Already downloaded [SKIPPED] freetype-2.13.2-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] gc-8.2.2-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] gcc-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] gcc-c++-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] gcc-plugin-annobin-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] glib2-2.80.2-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] glibc-devel-2.39.9000-18.fc41.x86_64.rpm: Already downloaded [SKIPPED] glibc-headers-x86-2.39.9000-18.fc41.noarch.rpm: Already downloaded [SKIPPED] gnutls-3.8.5-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] google-noto-fonts-common-20240401-1.fc41.noarch.rpm: Already downloaded [SKIPPED] google-noto-sans-vf-fonts-20240401-1.fc41.noarch.rpm: Already downloaded [SKIPPED] groff-base-1.23.0-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] guile30-3.0.9-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] harfbuzz-8.4.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] jsoncpp-1.9.5-7.fc40.x86_64.rpm: Already downloaded [SKIPPED] kernel-headers-6.10.0-0.rc2.24.fc41.x86_64.rpm: Already downloaded [SKIPPED] libICE-1.1.1-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libSM-1.2.4-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libX11-1.8.9-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libX11-common-1.8.9-1.fc41.noarch.rpm: Already downloaded [SKIPPED] libXau-1.0.11-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXext-1.3.6-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libXrender-0.9.11-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] libb2-0.98.1-11.fc40.x86_64.rpm: Already downloaded [SKIPPED] libgfortran-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libicu-74.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libicu-devel-74.2-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libjpeg-turbo-3.0.2-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] libmpc-1.3.1-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] libpng-1.6.40-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] libquadmath-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libquadmath-devel-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libstdc++-devel-14.1.1-5.fc41.x86_64.rpm: Already downloaded [SKIPPED] libuv-1.48.0-1.fc40.x86_64.rpm: Already downloaded [SKIPPED] libxcb-1.17.0-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] libxcrypt-devel-4.4.36-5.fc40.x86_64.rpm: Already downloaded [SKIPPED] make-4.4.1-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] mpdecimal-2.5.1-9.fc40.x86_64.rpm: Already downloaded [SKIPPED] ncurses-6.4-12.20240127.fc40.x86_64.rpm: Already downloaded [SKIPPED] nettle-3.9.1-6.fc40.x86_64.rpm: Already downloaded [SKIPPED] openblas-0.3.26-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] openblas-openmp-0.3.26-4.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-AutoLoader-5.74-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-B-1.88-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Carp-1.54-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Class-Struct-0.68-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Data-Dumper-2.189-504.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Digest-1.20-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Digest-MD5-2.59-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-DynaLoader-1.54-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Encode-3.21-505.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Errno-1.37-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Exporter-5.78-3.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Fcntl-1.15-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-File-Basename-2.86-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-File-Path-2.18-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-Temp-0.231.100-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-File-stat-1.13-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-FileHandle-2.05-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Long-2.57-4.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Getopt-Std-1.13-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-HTTP-Tiny-0.088-5.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IO-1.52-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-IO-Socket-IP-0.42-2.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IO-Socket-SSL-2.085-1.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-IPC-Open3-1.22-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-MIME-Base64-3.16-503.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Mozilla-CA-20240313-1.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Net-SSLeay-1.94-3.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-POSIX-2.13-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-PathTools-3.91-503.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Pod-Escapes-1.07-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Perldoc-3.28.01-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Simple-3.45-6.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Pod-Usage-2.03-504.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Scalar-List-Utils-1.63-503.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-SelectSaver-1.02-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Socket-2.038-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-Storable-3.32-502.fc40.x86_64.rpm: Already downloaded [SKIPPED] perl-Symbol-1.09-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Term-ANSIColor-5.01-504.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Term-Cap-1.18-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Text-ParseWords-3.31-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-Time-Local-1.350-5.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-URI-5.28-1.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-base-2.27-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-constant-1.33-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-if-0.61.000-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-interpreter-5.38.2-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-libnet-3.15-503.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-libs-5.38.2-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-locale-1.10-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-mro-1.28-507.fc41.x86_64.rpm: Already downloaded [SKIPPED] perl-overload-1.37-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-overloading-0.02-507.fc41.noarch.rpm: Already downloaded [SKIPPED] perl-parent-0.241-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-podlators-5.01-502.fc40.noarch.rpm: Already downloaded [SKIPPED] perl-vars-1.05-507.fc41.noarch.rpm: Already downloaded [SKIPPED] pixman-0.43.4-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] pyproject-rpm-macros-1.12.1-1.fc41.noarch.rpm: Already downloaded [SKIPPED] python-pip-wheel-24.0-2.fc41.noarch.rpm: Already downloaded [SKIPPED] python-rpm-macros-3.12-9.fc41.noarch.rpm: Already downloaded [SKIPPED] python3-3.12.3-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] python3-devel-3.12.3-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] python3-libs-3.12.3-2.fc41.x86_64.rpm: Already downloaded [SKIPPED] python3-packaging-24.0-1.fc41.noarch.rpm: Already downloaded [SKIPPED] python3-rpm-generators-14-10.fc40.noarch.rpm: Already downloaded [SKIPPED] python3-rpm-macros-3.12-9.fc41.noarch.rpm: Already downloaded [SKIPPED] rhash-1.4.4-1.fc41.x86_64.rpm: Already downloaded [SKIPPED] tzdata-2024a-8.fc41.noarch.rpm: Already downloaded [SKIPPED] vim-filesystem-9.1.452-1.fc41.noarch.rpm: Already downloaded [SKIPPED] xml-common-0.6.3-63.fc40.noarch.rpm: Already downloaded (168/241): eigen3-devel-3.4.0-15.fc40.noarch.rp 10 MB/s | 1.1 MB 00:00 (169/241): qt5-qtconfiguration-devel-0.3.1-22.f 118 kB/s | 15 kB 00:00 (170/241): qt5-qtconfiguration-0.3.1-22.fc40.x8 264 kB/s | 68 kB 00:00 (171/241): libftdi-1.5-13.fc41.x86_64.rpm 131 kB/s | 44 kB 00:00 (172/241): trellis-1.2.1-27.20240524git2dab009. 5.4 MB/s | 776 kB 00:00 (173/241): dbus-1.14.10-3.fc40.x86_64.rpm 97 kB/s | 8.0 kB 00:00 (174/241): trellis-devel-1.2.1-27.20240524git2d 7.4 MB/s | 1.0 MB 00:00 (175/241): trellis-data-1.2.1-27.20240524git2da 4.8 MB/s | 1.1 MB 00:00 (176/241): dbus-broker-36-2.fc41.x86_64.rpm 3.4 MB/s | 171 kB 00:00 (177/241): double-conversion-3.3.0-3.fc40.x86_6 2.0 MB/s | 50 kB 00:00 (178/241): dbus-common-1.14.10-3.fc40.noarch.rp 209 kB/s | 15 kB 00:00 (179/241): dconf-0.40.0-12.fc40.x86_64.rpm 1.4 MB/s | 109 kB 00:00 (180/241): duktape-2.7.0-7.fc40.x86_64.rpm 5.2 MB/s | 170 kB 00:00 (181/241): glx-utils-9.0.0-7.fc41.x86_64.rpm 1.9 MB/s | 72 kB 00:00 (182/241): hwdata-0.383-1.fc41.noarch.rpm 35 MB/s | 1.6 MB 00:00 (183/241): libX11-xcb-1.8.9-1.fc41.x86_64.rpm 335 kB/s | 12 kB 00:00 (184/241): libX11-devel-1.8.9-1.fc41.x86_64.rpm 13 MB/s | 912 kB 00:00 (185/241): libXfixes-6.0.1-3.fc40.x86_64.rpm 570 kB/s | 19 kB 00:00 (186/241): libXau-devel-1.0.11-6.fc40.x86_64.rp 328 kB/s | 14 kB 00:00 (187/241): libXxf86vm-1.1.5-6.fc40.x86_64.rpm 454 kB/s | 18 kB 00:00 (188/241): icestorm-0-0.33.20240524gitc23e99c.f 58 MB/s | 10 MB 00:00 (189/241): libdrm-2.4.121-1.fc41.x86_64.rpm 2.9 MB/s | 158 kB 00:00 (190/241): libevdev-1.13.2-2.fc41.x86_64.rpm 984 kB/s | 38 kB 00:00 (191/241): libglvnd-core-devel-1.7.0-4.fc40.x86 709 kB/s | 17 kB 00:00 (192/241): libglvnd-1.7.0-4.fc40.x86_64.rpm 4.1 MB/s | 115 kB 00:00 (193/241): libglvnd-devel-1.7.0-4.fc40.x86_64.r 6.8 MB/s | 157 kB 00:00 (194/241): libglvnd-egl-1.7.0-4.fc40.x86_64.rpm 1.6 MB/s | 35 kB 00:00 (195/241): libglvnd-gles-1.7.0-4.fc40.x86_64.rp 831 kB/s | 29 kB 00:00 (196/241): libglvnd-glx-1.7.0-4.fc40.x86_64.rpm 6.2 MB/s | 132 kB 00:00 (197/241): libglvnd-opengl-1.7.0-4.fc40.x86_64. 1.7 MB/s | 38 kB 00:00 (198/241): libgudev-238-5.fc40.x86_64.rpm 1.2 MB/s | 35 kB 00:00 (199/241): libinput-1.26.0-1.fc41.x86_64.rpm 6.8 MB/s | 209 kB 00:00 (200/241): libpciaccess-0.16-12.fc40.x86_64.rpm 912 kB/s | 26 kB 00:00 (201/241): libproxy-0.5.5-1.fc41.x86_64.rpm 1.8 MB/s | 48 kB 00:00 (202/241): libseccomp-2.5.3-8.fc40.x86_64.rpm 2.6 MB/s | 70 kB 00:00 (203/241): libusb1-1.0.27-2.fc41.x86_64.rpm 2.7 MB/s | 75 kB 00:00 (204/241): libwacom-2.12.0-1.fc41.x86_64.rpm 1.8 MB/s | 45 kB 00:00 (205/241): libwacom-data-2.12.0-1.fc41.noarch.r 6.6 MB/s | 178 kB 00:00 (206/241): libwayland-client-1.22.0-3.fc40.x86_ 1.2 MB/s | 32 kB 00:00 (207/241): libwayland-server-1.22.0-3.fc40.x86_ 1.7 MB/s | 40 kB 00:00 (208/241): libxkbcommon-1.7.0-1.fc41.x86_64.rpm 5.8 MB/s | 142 kB 00:00 (209/241): libxcb-devel-1.17.0-1.fc41.x86_64.rp 31 MB/s | 1.1 MB 00:00 (210/241): libxkbcommon-x11-1.7.0-1.fc41.x86_64 834 kB/s | 22 kB 00:00 (211/241): libxshmfence-1.3.2-3.fc40.x86_64.rpm 470 kB/s | 12 kB 00:00 (212/241): mesa-libEGL-24.1.1-2.fc41.x86_64.rpm 5.3 MB/s | 140 kB 00:00 (213/241): mesa-libEGL-devel-24.1.1-2.fc41.x86_ 857 kB/s | 24 kB 00:00 (214/241): mesa-libGL-24.1.1-2.fc41.x86_64.rpm 8.1 MB/s | 176 kB 00:00 (215/241): mesa-libglapi-24.1.1-2.fc41.x86_64.r 2.1 MB/s | 51 kB 00:00 (216/241): mesa-libgbm-24.1.1-2.fc41.x86_64.rpm 1.4 MB/s | 47 kB 00:00 (217/241): mtdev-1.1.6-8.fc40.x86_64.rpm 858 kB/s | 21 kB 00:00 (218/241): pcre2-utf16-10.43-2.fc41.1.x86_64.rp 8.7 MB/s | 222 kB 00:00 (219/241): perl-English-1.11-507.fc41.noarch.rp 550 kB/s | 14 kB 00:00 (220/241): perl-File-Find-1.43-507.fc41.noarch. 1.1 MB/s | 25 kB 00:00 (221/241): qt-settings-40.0-1.fc41.noarch.rpm 383 kB/s | 10 kB 00:00 (222/241): python3-setuptools-69.2.0-3.fc41.noa 33 MB/s | 1.4 MB 00:00 (223/241): qt5-qtbase-common-5.15.14-1.fc41.noa 360 kB/s | 12 kB 00:00 (224/241): qt5-qtbase-devel-5.15.14-1.fc41.x86_ 49 MB/s | 3.1 MB 00:00 (225/241): qt5-qtbase-5.15.14-1.fc41.x86_64.rpm 28 MB/s | 3.5 MB 00:00 (226/241): qt5-rpm-macros-5.15.14-1.fc41.noarch 214 kB/s | 11 kB 00:00 (227/241): qt5-qtdeclarative-5.15.14-1.fc41.x86 45 MB/s | 4.2 MB 00:00 (228/241): qt5-qtbase-gui-5.15.14-1.fc41.x86_64 39 MB/s | 6.4 MB 00:00 (229/241): systemd-pam-256~rc4-2.fc41.x86_64.rp 9.0 MB/s | 397 kB 00:00 (230/241): systemd-rpm-macros-256~rc4-2.fc41.no 649 kB/s | 32 kB 00:00 (231/241): systemd-256~rc4-2.fc41.x86_64.rpm 49 MB/s | 5.2 MB 00:00 (232/241): vulkan-headers-1.3.283.0-1.fc41.noar 23 MB/s | 1.2 MB 00:00 (233/241): vulkan-loader-1.3.283.0-2.fc41.x86_6 5.6 MB/s | 150 kB 00:00 (234/241): vulkan-loader-devel-1.3.283.0-2.fc41 523 kB/s | 12 kB 00:00 (235/241): xcb-util-image-0.4.1-5.fc40.x86_64.r 820 kB/s | 19 kB 00:00 (236/241): xcb-util-0.4.1-5.fc40.x86_64.rpm 694 kB/s | 18 kB 00:00 (237/241): xcb-util-keysyms-0.4.1-5.fc40.x86_64 606 kB/s | 14 kB 00:00 (238/241): xcb-util-renderutil-0.3.10-5.fc40.x8 622 kB/s | 17 kB 00:00 (239/241): xcb-util-wm-0.4.2-5.fc40.x86_64.rpm 1.2 MB/s | 31 kB 00:00 (240/241): xkeyboard-config-2.41-1.fc40.noarch. 26 MB/s | 921 kB 00:00 (241/241): xorg-x11-proto-devel-2024.1-2.fc41.n 9.0 MB/s | 266 kB 00:00 -------------------------------------------------------------------------------- Total 34 MB/s | 48 MB 00:01 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : boost-system-1.83.0-6.fc41.x86_64 1/241 Installing : boost-thread-1.83.0-6.fc41.x86_64 2/241 Installing : cmake-filesystem-3.28.3-5.fc41.x86_64 3/241 Installing : boost-chrono-1.83.0-6.fc41.x86_64 4/241 Installing : libicu-74.2-1.fc40.x86_64 5/241 Installing : expat-2.6.2-1.fc41.x86_64 6/241 Installing : libglvnd-1:1.7.0-4.fc40.x86_64 7/241 Installing : libX11-xcb-1.8.9-1.fc41.x86_64 8/241 Installing : boost-regex-1.83.0-6.fc41.x86_64 9/241 Installing : python-rpm-macros-3.12-9.fc41.noarch 10/241 Installing : libpng-2:1.6.40-3.fc40.x86_64 11/241 Installing : libmpc-1.3.1-5.fc40.x86_64 12/241 Installing : fonts-filesystem-1:2.0.5-15.fc41.noarch 13/241 Installing : boost-context-1.83.0-6.fc41.x86_64 14/241 Installing : boost-atomic-1.83.0-6.fc41.x86_64 15/241 Installing : boost-filesystem-1.83.0-6.fc41.x86_64 16/241 Installing : python3-rpm-macros-3.12-9.fc41.noarch 17/241 Installing : xorg-x11-proto-devel-2024.1-2.fc41.noarch 18/241 Installing : mesa-libglapi-24.1.1-2.fc41.x86_64 19/241 Installing : libxshmfence-1.3.2-3.fc40.x86_64 20/241 Installing : libwayland-server-1.22.0-3.fc40.x86_64 21/241 Installing : libquadmath-14.1.1-5.fc41.x86_64 22/241 Installing : libgfortran-14.1.1-5.fc41.x86_64 23/241 Installing : libevdev-1.13.2-2.fc41.x86_64 24/241 Installing : libXau-1.0.11-6.fc40.x86_64 25/241 Installing : libxcb-1.17.0-1.fc41.x86_64 26/241 Installing : libICE-1.1.1-3.fc40.x86_64 27/241 Installing : dbus-libs-1:1.14.10-3.fc40.x86_64 28/241 Installing : trellis-data-1.2.1-27.20240524git2dab009.fc41.no 29/241 Installing : boost-program-options-1.83.0-6.fc41.x86_64 30/241 Installing : boost-date-time-1.83.0-6.fc41.x86_64 31/241 Installing : boost-container-1.83.0-6.fc41.x86_64 32/241 Installing : boost-json-1.83.0-6.fc41.x86_64 33/241 Installing : boost-wave-1.83.0-6.fc41.x86_64 34/241 Installing : trellis-1.2.1-27.20240524git2dab009.fc41.x86_64 35/241 Installing : avahi-libs-0.8-26.fc40.x86_64 36/241 Installing : libSM-1.2.4-3.fc40.x86_64 37/241 Installing : xcb-util-0.4.1-5.fc40.x86_64 38/241 Installing : xcb-util-image-0.4.1-5.fc40.x86_64 39/241 Installing : xcb-util-keysyms-0.4.1-5.fc40.x86_64 40/241 Installing : xcb-util-renderutil-0.3.10-5.fc40.x86_64 41/241 Installing : xcb-util-wm-0.4.2-5.fc40.x86_64 42/241 Installing : libXau-devel-1.0.11-6.fc40.x86_64 43/241 Installing : libxcb-devel-1.17.0-1.fc41.x86_64 44/241 Installing : pyproject-rpm-macros-1.12.1-1.fc41.noarch 45/241 Installing : boost-fiber-1.83.0-6.fc41.x86_64 46/241 Installing : boost-log-1.83.0-6.fc41.x86_64 47/241 Installing : boost-coroutine-1.83.0-6.fc41.x86_64 48/241 Installing : abattis-cantarell-vf-fonts-0.301-12.fc40.noarch 49/241 Installing : cpp-14.1.1-5.fc41.x86_64 50/241 Installing : boost-graph-1.83.0-6.fc41.x86_64 51/241 Installing : libglvnd-opengl-1:1.7.0-4.fc40.x86_64 52/241 Installing : boost-locale-1.83.0-6.fc41.x86_64 53/241 Installing : libicu-devel-74.2-1.fc40.x86_64 54/241 Installing : boost-timer-1.83.0-6.fc41.x86_64 55/241 Installing : boost-type_erasure-1.83.0-6.fc41.x86_64 56/241 Running scriptlet: xml-common-0.6.3-63.fc40.noarch 57/241 Installing : xml-common-0.6.3-63.fc40.noarch 57/241 Installing : xkeyboard-config-2.41-1.fc40.noarch 58/241 Installing : libxkbcommon-1.7.0-1.fc41.x86_64 59/241 Installing : libxkbcommon-x11-1.7.0-1.fc41.x86_64 60/241 Installing : vulkan-loader-1.3.283.0-2.fc41.x86_64 61/241 Installing : vim-filesystem-2:9.1.452-1.fc41.noarch 62/241 Installing : tzdata-2024a-8.fc41.noarch 63/241 Installing : rhash-1.4.4-1.fc41.x86_64 64/241 Installing : qt-settings-40.0-1.fc41.noarch 65/241 Installing : python-pip-wheel-24.0-2.fc41.noarch 66/241 Installing : pixman-0.43.4-1.fc41.x86_64 67/241 Installing : pcre2-utf16-10.43-2.fc41.1.x86_64 68/241 Installing : openblas-0.3.26-4.fc40.x86_64 69/241 Installing : openblas-openmp-0.3.26-4.fc40.x86_64 70/241 Installing : flexiblas-netlib-3.4.4-1.fc41.x86_64 71/241 Installing : flexiblas-openblas-openmp-3.4.4-1.fc41.x86_64 72/241 Installing : flexiblas-3.4.4-1.fc41.x86_64 73/241 Installing : nettle-3.9.1-6.fc40.x86_64 74/241 Installing : gnutls-3.8.5-2.fc41.x86_64 75/241 Installing : glib2-2.80.2-1.fc41.x86_64 76/241 Installing : libgudev-238-5.fc40.x86_64 77/241 Installing : cups-libs-1:2.4.8-5.fc41.x86_64 78/241 Installing : ncurses-6.4-12.20240127.fc40.x86_64 79/241 Installing : mtdev-1.1.6-8.fc40.x86_64 80/241 Installing : mpdecimal-2.5.1-9.fc40.x86_64 81/241 Installing : libwayland-client-1.22.0-3.fc40.x86_64 82/241 Installing : libwacom-data-2.12.0-1.fc41.noarch 83/241 Installing : libuv-1:1.48.0-1.fc40.x86_64 84/241 Installing : libusb1-1.0.27-2.fc41.x86_64 85/241 Installing : libstdc++-devel-14.1.1-5.fc41.x86_64 86/241 Installing : libseccomp-2.5.3-8.fc40.x86_64 87/241 Installing : libjpeg-turbo-3.0.2-2.fc41.x86_64 88/241 Installing : libglvnd-core-devel-1:1.7.0-4.fc40.x86_64 89/241 Installing : libb2-0.98.1-11.fc40.x86_64 90/241 Installing : python3-3.12.3-2.fc41.x86_64 91/241 Installing : python3-libs-3.12.3-2.fc41.x86_64 92/241 Installing : boost-python3-1.83.0-6.fc41.x86_64 93/241 Installing : cmake-rpm-macros-3.28.3-5.fc41.noarch 94/241 Installing : python3-numpy-1:1.26.4-7.fc41.x86_64 95/241 Installing : boost-numpy3-1.83.0-6.fc41.x86_64 96/241 Installing : libwacom-2.12.0-1.fc41.x86_64 97/241 Installing : libinput-1.26.0-1.fc41.x86_64 98/241 Running scriptlet: libinput-1.26.0-1.fc41.x86_64 98/241 Installing : python3-packaging-24.0-1.fc41.noarch 99/241 Installing : python3-rpm-generators-14-10.fc40.noarch 100/241 Installing : vulkan-headers-1.3.283.0-1.fc41.noarch 101/241 Installing : vulkan-loader-devel-1.3.283.0-2.fc41.x86_64 102/241 Installing : libX11-common-1.8.9-1.fc41.noarch 103/241 Installing : libX11-1.8.9-1.fc41.x86_64 104/241 Installing : libXext-1.3.6-1.fc40.x86_64 105/241 Installing : libXxf86vm-1.1.5-6.fc40.x86_64 106/241 Installing : libX11-devel-1.8.9-1.fc41.x86_64 107/241 Installing : libXfixes-6.0.1-3.fc40.x86_64 108/241 Installing : libXrender-0.9.11-6.fc40.x86_64 109/241 Installing : kernel-headers-6.10.0-0.rc2.24.fc41.x86_64 110/241 Installing : jsoncpp-1.9.5-7.fc40.x86_64 111/241 Installing : hwdata-0.383-1.fc41.noarch 112/241 Installing : libpciaccess-0.16-12.fc40.x86_64 113/241 Installing : libdrm-2.4.121-1.fc41.x86_64 114/241 Installing : mesa-libgbm-24.1.1-2.fc41.x86_64 115/241 Installing : libglvnd-egl-1:1.7.0-4.fc40.x86_64 116/241 Installing : mesa-libEGL-24.1.1-2.fc41.x86_64 117/241 Installing : libglvnd-gles-1:1.7.0-4.fc40.x86_64 118/241 Installing : libglvnd-glx-1:1.7.0-4.fc40.x86_64 119/241 Installing : mesa-libGL-24.1.1-2.fc41.x86_64 120/241 Installing : libglvnd-devel-1:1.7.0-4.fc40.x86_64 121/241 Installing : mesa-libEGL-devel-24.1.1-2.fc41.x86_64 122/241 Installing : glx-utils-9.0.0-7.fc41.x86_64 123/241 Running scriptlet: groff-base-1.23.0-6.fc40.x86_64 124/241 Installing : groff-base-1.23.0-6.fc40.x86_64 124/241 Running scriptlet: groff-base-1.23.0-6.fc40.x86_64 124/241 Installing : perl-Digest-1.20-502.fc40.noarch 125/241 Installing : perl-Digest-MD5-2.59-3.fc40.x86_64 126/241 Installing : perl-B-1.88-507.fc41.x86_64 127/241 Installing : perl-FileHandle-2.05-507.fc41.noarch 128/241 Installing : perl-Data-Dumper-2.189-504.fc41.x86_64 129/241 Installing : perl-libnet-3.15-503.fc40.noarch 130/241 Installing : perl-AutoLoader-5.74-507.fc41.noarch 131/241 Installing : perl-URI-5.28-1.fc41.noarch 132/241 Installing : perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch 133/241 Installing : perl-Mozilla-CA-20240313-1.fc41.noarch 134/241 Installing : perl-if-0.61.000-507.fc41.noarch 135/241 Installing : perl-locale-1.10-507.fc41.noarch 136/241 Installing : perl-IO-Socket-IP-0.42-2.fc40.noarch 137/241 Installing : perl-Time-Local-2:1.350-5.fc40.noarch 138/241 Installing : perl-File-Path-2.18-503.fc40.noarch 139/241 Installing : perl-IO-Socket-SSL-2.085-1.fc40.noarch 140/241 Installing : perl-Net-SSLeay-1.94-3.fc40.x86_64 141/241 Installing : perl-Pod-Escapes-1:1.07-503.fc40.noarch 142/241 Installing : perl-Class-Struct-0.68-507.fc41.noarch 143/241 Installing : perl-Term-ANSIColor-5.01-504.fc40.noarch 144/241 Installing : perl-POSIX-2.13-507.fc41.x86_64 145/241 Installing : perl-IPC-Open3-1.22-507.fc41.noarch 146/241 Installing : perl-File-Temp-1:0.231.100-503.fc40.noarch 147/241 Installing : perl-HTTP-Tiny-0.088-5.fc40.noarch 148/241 Installing : perl-Term-Cap-1.18-503.fc40.noarch 149/241 Installing : perl-Pod-Simple-1:3.45-6.fc40.noarch 150/241 Installing : perl-Socket-4:2.038-1.fc41.x86_64 151/241 Installing : perl-SelectSaver-1.02-507.fc41.noarch 152/241 Installing : perl-Symbol-1.09-507.fc41.noarch 153/241 Installing : perl-File-stat-1.13-507.fc41.noarch 154/241 Installing : perl-podlators-1:5.01-502.fc40.noarch 155/241 Installing : perl-Pod-Perldoc-3.28.01-503.fc40.noarch 156/241 Installing : perl-Fcntl-1.15-507.fc41.x86_64 157/241 Installing : perl-Text-ParseWords-3.31-502.fc40.noarch 158/241 Installing : perl-base-2.27-507.fc41.noarch 159/241 Installing : perl-mro-1.28-507.fc41.x86_64 160/241 Installing : perl-IO-1.52-507.fc41.x86_64 161/241 Installing : perl-overloading-0.02-507.fc41.noarch 162/241 Installing : perl-Pod-Usage-4:2.03-504.fc41.noarch 163/241 Installing : perl-Errno-1.37-507.fc41.x86_64 164/241 Installing : perl-File-Basename-2.86-507.fc41.noarch 165/241 Installing : perl-Getopt-Std-1.13-507.fc41.noarch 166/241 Installing : perl-MIME-Base64-3.16-503.fc40.x86_64 167/241 Installing : perl-Scalar-List-Utils-5:1.63-503.fc40.x86_64 168/241 Installing : perl-constant-1.33-503.fc40.noarch 169/241 Installing : perl-Storable-1:3.32-502.fc40.x86_64 170/241 Installing : perl-overload-1.37-507.fc41.noarch 171/241 Installing : perl-parent-1:0.241-502.fc40.noarch 172/241 Installing : perl-vars-1.05-507.fc41.noarch 173/241 Installing : perl-Getopt-Long-1:2.57-4.fc41.noarch 174/241 Installing : perl-Carp-1.54-502.fc40.noarch 175/241 Installing : perl-Exporter-5.78-3.fc40.noarch 176/241 Installing : perl-PathTools-3.91-503.fc41.x86_64 177/241 Installing : perl-DynaLoader-1.54-507.fc41.x86_64 178/241 Installing : perl-Encode-4:3.21-505.fc41.x86_64 179/241 Installing : perl-libs-4:5.38.2-507.fc41.x86_64 180/241 Installing : perl-interpreter-4:5.38.2-507.fc41.x86_64 181/241 Installing : perl-English-1.11-507.fc41.noarch 182/241 Installing : perl-File-Find-1.43-507.fc41.noarch 183/241 Installing : google-noto-fonts-common-20240401-1.fc41.noarch 184/241 Installing : google-noto-sans-vf-fonts-20240401-1.fc41.noarch 185/241 Installing : default-fonts-core-sans-4.0-13.fc41.noarch 186/241 Installing : glibc-headers-x86-2.39.9000-18.fc41.noarch 187/241 Installing : libxcrypt-devel-4.4.36-5.fc40.x86_64 188/241 Installing : glibc-devel-2.39.9000-18.fc41.x86_64 189/241 Installing : gc-8.2.2-6.fc40.x86_64 190/241 Installing : guile30-3.0.9-1.fc41.x86_64 191/241 Installing : make-1:4.4.1-6.fc40.x86_64 192/241 Installing : gcc-14.1.1-5.fc41.x86_64 193/241 Running scriptlet: gcc-14.1.1-5.fc41.x86_64 193/241 Installing : gcc-c++-14.1.1-5.fc41.x86_64 194/241 Installing : libquadmath-devel-14.1.1-5.fc41.x86_64 195/241 Installing : emacs-filesystem-1:30.0-2.fc41.noarch 196/241 Installing : cmake-data-3.28.3-5.fc41.noarch 197/241 Installing : cmake-3.28.3-5.fc41.x86_64 198/241 Installing : qt5-rpm-macros-5.15.14-1.fc41.noarch 199/241 Installing : duktape-2.7.0-7.fc40.x86_64 200/241 Installing : libproxy-0.5.5-1.fc41.x86_64 201/241 Installing : double-conversion-3.3.0-3.fc40.x86_64 202/241 Installing : qt5-qtbase-common-5.15.14-1.fc41.noarch 203/241 Running scriptlet: qt5-qtbase-5.15.14-1.fc41.x86_64 204/241 Installing : qt5-qtbase-5.15.14-1.fc41.x86_64 204/241 Running scriptlet: qt5-qtbase-5.15.14-1.fc41.x86_64 204/241 Installing : dbus-common-1:1.14.10-3.fc40.noarch 205/241 Running scriptlet: dbus-common-1:1.14.10-3.fc40.noarch 205/241 Running scriptlet: dbus-broker-36-2.fc41.x86_64 206/241 Installing : dbus-broker-36-2.fc41.x86_64 206/241 Running scriptlet: dbus-broker-36-2.fc41.x86_64 206/241 Installing : dbus-1:1.14.10-3.fc40.x86_64 207/241 Installing : systemd-pam-256~rc4-2.fc41.x86_64 208/241 Installing : systemd-256~rc4-2.fc41.x86_64 209/241 Running scriptlet: systemd-256~rc4-2.fc41.x86_64 209/241 Creating group 'systemd-journal' with GID 190. Creating group 'systemd-oom' with GID 999. Creating user 'systemd-oom' (systemd Userspace OOM Killer) with UID 999 and GID 999. Installing : libftdi-1.5-13.fc41.x86_64 210/241 Installing : dconf-0.40.0-12.fc40.x86_64 211/241 Running scriptlet: dconf-0.40.0-12.fc40.x86_64 211/241 Installing : annobin-docs-12.54-2.fc41.noarch 212/241 Installing : graphite2-1.3.14-15.fc40.x86_64 213/241 Installing : cairo-1.18.0-3.fc40.x86_64 214/241 Installing : harfbuzz-8.4.0-1.fc41.x86_64 215/241 Installing : freetype-2.13.2-5.fc40.x86_64 216/241 Installing : fontconfig-2.15.0-6.fc41.x86_64 217/241 Running scriptlet: fontconfig-2.15.0-6.fc41.x86_64 217/241 Installing : qt5-qtbase-gui-5.15.14-1.fc41.x86_64 218/241 Installing : qt5-qtbase-devel-5.15.14-1.fc41.x86_64 219/241 Installing : qt5-qtdeclarative-5.15.14-1.fc41.x86_64 220/241 Installing : qt5-qtconfiguration-0.3.1-22.fc40.x86_64 221/241 Installing : boost-test-1.83.0-6.fc41.x86_64 222/241 Installing : boost-stacktrace-1.83.0-6.fc41.x86_64 223/241 Installing : boost-serialization-1.83.0-6.fc41.x86_64 224/241 Installing : boost-random-1.83.0-6.fc41.x86_64 225/241 Installing : boost-nowide-1.83.0-6.fc41.x86_64 226/241 Installing : boost-math-1.83.0-6.fc41.x86_64 227/241 Installing : boost-iostreams-1.83.0-6.fc41.x86_64 228/241 Installing : boost-contract-1.83.0-6.fc41.x86_64 229/241 Installing : boost-1.83.0-6.fc41.x86_64 230/241 Installing : boost-devel-1.83.0-6.fc41.x86_64 231/241 Installing : qt5-qtconfiguration-devel-0.3.1-22.fc40.x86_64 232/241 Installing : annobin-plugin-gcc-12.54-2.fc41.x86_64 233/241 Running scriptlet: annobin-plugin-gcc-12.54-2.fc41.x86_64 233/241 Installing : icestorm-0-0.33.20240524gitc23e99c.fc41.x86_64 234/241 Installing : gcc-plugin-annobin-14.1.1-5.fc41.x86_64 235/241 Running scriptlet: gcc-plugin-annobin-14.1.1-5.fc41.x86_64 235/241 Installing : python3-devel-3.12.3-2.fc41.x86_64 236/241 Installing : trellis-devel-1.2.1-27.20240524git2dab009.fc41.x 237/241 Installing : add-determinism-0.2.0-9.fc41.x86_64 238/241 Installing : python3-setuptools-69.2.0-3.fc41.noarch 239/241 Installing : eigen3-devel-3.4.0-15.fc40.noarch 240/241 Installing : systemd-rpm-macros-256~rc4-2.fc41.noarch 241/241 Running scriptlet: dconf-0.40.0-12.fc40.x86_64 241/241 Running scriptlet: fontconfig-2.15.0-6.fc41.x86_64 241/241 Running scriptlet: systemd-rpm-macros-256~rc4-2.fc41.noarch 241/241 Installed: abattis-cantarell-vf-fonts-0.301-12.fc40.noarch add-determinism-0.2.0-9.fc41.x86_64 annobin-docs-12.54-2.fc41.noarch annobin-plugin-gcc-12.54-2.fc41.x86_64 avahi-libs-0.8-26.fc40.x86_64 boost-1.83.0-6.fc41.x86_64 boost-atomic-1.83.0-6.fc41.x86_64 boost-chrono-1.83.0-6.fc41.x86_64 boost-container-1.83.0-6.fc41.x86_64 boost-context-1.83.0-6.fc41.x86_64 boost-contract-1.83.0-6.fc41.x86_64 boost-coroutine-1.83.0-6.fc41.x86_64 boost-date-time-1.83.0-6.fc41.x86_64 boost-devel-1.83.0-6.fc41.x86_64 boost-fiber-1.83.0-6.fc41.x86_64 boost-filesystem-1.83.0-6.fc41.x86_64 boost-graph-1.83.0-6.fc41.x86_64 boost-iostreams-1.83.0-6.fc41.x86_64 boost-json-1.83.0-6.fc41.x86_64 boost-locale-1.83.0-6.fc41.x86_64 boost-log-1.83.0-6.fc41.x86_64 boost-math-1.83.0-6.fc41.x86_64 boost-nowide-1.83.0-6.fc41.x86_64 boost-numpy3-1.83.0-6.fc41.x86_64 boost-program-options-1.83.0-6.fc41.x86_64 boost-python3-1.83.0-6.fc41.x86_64 boost-random-1.83.0-6.fc41.x86_64 boost-regex-1.83.0-6.fc41.x86_64 boost-serialization-1.83.0-6.fc41.x86_64 boost-stacktrace-1.83.0-6.fc41.x86_64 boost-system-1.83.0-6.fc41.x86_64 boost-test-1.83.0-6.fc41.x86_64 boost-thread-1.83.0-6.fc41.x86_64 boost-timer-1.83.0-6.fc41.x86_64 boost-type_erasure-1.83.0-6.fc41.x86_64 boost-wave-1.83.0-6.fc41.x86_64 cairo-1.18.0-3.fc40.x86_64 cmake-3.28.3-5.fc41.x86_64 cmake-data-3.28.3-5.fc41.noarch cmake-filesystem-3.28.3-5.fc41.x86_64 cmake-rpm-macros-3.28.3-5.fc41.noarch cpp-14.1.1-5.fc41.x86_64 cups-libs-1:2.4.8-5.fc41.x86_64 dbus-1:1.14.10-3.fc40.x86_64 dbus-broker-36-2.fc41.x86_64 dbus-common-1:1.14.10-3.fc40.noarch dbus-libs-1:1.14.10-3.fc40.x86_64 dconf-0.40.0-12.fc40.x86_64 default-fonts-core-sans-4.0-13.fc41.noarch double-conversion-3.3.0-3.fc40.x86_64 duktape-2.7.0-7.fc40.x86_64 eigen3-devel-3.4.0-15.fc40.noarch emacs-filesystem-1:30.0-2.fc41.noarch expat-2.6.2-1.fc41.x86_64 flexiblas-3.4.4-1.fc41.x86_64 flexiblas-netlib-3.4.4-1.fc41.x86_64 flexiblas-openblas-openmp-3.4.4-1.fc41.x86_64 fontconfig-2.15.0-6.fc41.x86_64 fonts-filesystem-1:2.0.5-15.fc41.noarch freetype-2.13.2-5.fc40.x86_64 gc-8.2.2-6.fc40.x86_64 gcc-14.1.1-5.fc41.x86_64 gcc-c++-14.1.1-5.fc41.x86_64 gcc-plugin-annobin-14.1.1-5.fc41.x86_64 glib2-2.80.2-1.fc41.x86_64 glibc-devel-2.39.9000-18.fc41.x86_64 glibc-headers-x86-2.39.9000-18.fc41.noarch glx-utils-9.0.0-7.fc41.x86_64 gnutls-3.8.5-2.fc41.x86_64 google-noto-fonts-common-20240401-1.fc41.noarch google-noto-sans-vf-fonts-20240401-1.fc41.noarch graphite2-1.3.14-15.fc40.x86_64 groff-base-1.23.0-6.fc40.x86_64 guile30-3.0.9-1.fc41.x86_64 harfbuzz-8.4.0-1.fc41.x86_64 hwdata-0.383-1.fc41.noarch icestorm-0-0.33.20240524gitc23e99c.fc41.x86_64 jsoncpp-1.9.5-7.fc40.x86_64 kernel-headers-6.10.0-0.rc2.24.fc41.x86_64 libICE-1.1.1-3.fc40.x86_64 libSM-1.2.4-3.fc40.x86_64 libX11-1.8.9-1.fc41.x86_64 libX11-common-1.8.9-1.fc41.noarch libX11-devel-1.8.9-1.fc41.x86_64 libX11-xcb-1.8.9-1.fc41.x86_64 libXau-1.0.11-6.fc40.x86_64 libXau-devel-1.0.11-6.fc40.x86_64 libXext-1.3.6-1.fc40.x86_64 libXfixes-6.0.1-3.fc40.x86_64 libXrender-0.9.11-6.fc40.x86_64 libXxf86vm-1.1.5-6.fc40.x86_64 libb2-0.98.1-11.fc40.x86_64 libdrm-2.4.121-1.fc41.x86_64 libevdev-1.13.2-2.fc41.x86_64 libftdi-1.5-13.fc41.x86_64 libgfortran-14.1.1-5.fc41.x86_64 libglvnd-1:1.7.0-4.fc40.x86_64 libglvnd-core-devel-1:1.7.0-4.fc40.x86_64 libglvnd-devel-1:1.7.0-4.fc40.x86_64 libglvnd-egl-1:1.7.0-4.fc40.x86_64 libglvnd-gles-1:1.7.0-4.fc40.x86_64 libglvnd-glx-1:1.7.0-4.fc40.x86_64 libglvnd-opengl-1:1.7.0-4.fc40.x86_64 libgudev-238-5.fc40.x86_64 libicu-74.2-1.fc40.x86_64 libicu-devel-74.2-1.fc40.x86_64 libinput-1.26.0-1.fc41.x86_64 libjpeg-turbo-3.0.2-2.fc41.x86_64 libmpc-1.3.1-5.fc40.x86_64 libpciaccess-0.16-12.fc40.x86_64 libpng-2:1.6.40-3.fc40.x86_64 libproxy-0.5.5-1.fc41.x86_64 libquadmath-14.1.1-5.fc41.x86_64 libquadmath-devel-14.1.1-5.fc41.x86_64 libseccomp-2.5.3-8.fc40.x86_64 libstdc++-devel-14.1.1-5.fc41.x86_64 libusb1-1.0.27-2.fc41.x86_64 libuv-1:1.48.0-1.fc40.x86_64 libwacom-2.12.0-1.fc41.x86_64 libwacom-data-2.12.0-1.fc41.noarch libwayland-client-1.22.0-3.fc40.x86_64 libwayland-server-1.22.0-3.fc40.x86_64 libxcb-1.17.0-1.fc41.x86_64 libxcb-devel-1.17.0-1.fc41.x86_64 libxcrypt-devel-4.4.36-5.fc40.x86_64 libxkbcommon-1.7.0-1.fc41.x86_64 libxkbcommon-x11-1.7.0-1.fc41.x86_64 libxshmfence-1.3.2-3.fc40.x86_64 make-1:4.4.1-6.fc40.x86_64 mesa-libEGL-24.1.1-2.fc41.x86_64 mesa-libEGL-devel-24.1.1-2.fc41.x86_64 mesa-libGL-24.1.1-2.fc41.x86_64 mesa-libgbm-24.1.1-2.fc41.x86_64 mesa-libglapi-24.1.1-2.fc41.x86_64 mpdecimal-2.5.1-9.fc40.x86_64 mtdev-1.1.6-8.fc40.x86_64 ncurses-6.4-12.20240127.fc40.x86_64 nettle-3.9.1-6.fc40.x86_64 openblas-0.3.26-4.fc40.x86_64 openblas-openmp-0.3.26-4.fc40.x86_64 pcre2-utf16-10.43-2.fc41.1.x86_64 perl-AutoLoader-5.74-507.fc41.noarch perl-B-1.88-507.fc41.x86_64 perl-Carp-1.54-502.fc40.noarch perl-Class-Struct-0.68-507.fc41.noarch perl-Data-Dumper-2.189-504.fc41.x86_64 perl-Digest-1.20-502.fc40.noarch perl-Digest-MD5-2.59-3.fc40.x86_64 perl-DynaLoader-1.54-507.fc41.x86_64 perl-Encode-4:3.21-505.fc41.x86_64 perl-English-1.11-507.fc41.noarch perl-Errno-1.37-507.fc41.x86_64 perl-Exporter-5.78-3.fc40.noarch perl-Fcntl-1.15-507.fc41.x86_64 perl-File-Basename-2.86-507.fc41.noarch perl-File-Find-1.43-507.fc41.noarch perl-File-Path-2.18-503.fc40.noarch perl-File-Temp-1:0.231.100-503.fc40.noarch perl-File-stat-1.13-507.fc41.noarch perl-FileHandle-2.05-507.fc41.noarch perl-Getopt-Long-1:2.57-4.fc41.noarch perl-Getopt-Std-1.13-507.fc41.noarch perl-HTTP-Tiny-0.088-5.fc40.noarch perl-IO-1.52-507.fc41.x86_64 perl-IO-Socket-IP-0.42-2.fc40.noarch perl-IO-Socket-SSL-2.085-1.fc40.noarch perl-IPC-Open3-1.22-507.fc41.noarch perl-MIME-Base64-3.16-503.fc40.x86_64 perl-Mozilla-CA-20240313-1.fc41.noarch perl-Net-SSLeay-1.94-3.fc40.x86_64 perl-POSIX-2.13-507.fc41.x86_64 perl-PathTools-3.91-503.fc41.x86_64 perl-Pod-Escapes-1:1.07-503.fc40.noarch perl-Pod-Perldoc-3.28.01-503.fc40.noarch perl-Pod-Simple-1:3.45-6.fc40.noarch perl-Pod-Usage-4:2.03-504.fc41.noarch perl-Scalar-List-Utils-5:1.63-503.fc40.x86_64 perl-SelectSaver-1.02-507.fc41.noarch perl-Socket-4:2.038-1.fc41.x86_64 perl-Storable-1:3.32-502.fc40.x86_64 perl-Symbol-1.09-507.fc41.noarch perl-Term-ANSIColor-5.01-504.fc40.noarch perl-Term-Cap-1.18-503.fc40.noarch perl-Text-ParseWords-3.31-502.fc40.noarch perl-Text-Tabs+Wrap-2024.001-1.fc41.noarch perl-Time-Local-2:1.350-5.fc40.noarch perl-URI-5.28-1.fc41.noarch perl-base-2.27-507.fc41.noarch perl-constant-1.33-503.fc40.noarch perl-if-0.61.000-507.fc41.noarch perl-interpreter-4:5.38.2-507.fc41.x86_64 perl-libnet-3.15-503.fc40.noarch perl-libs-4:5.38.2-507.fc41.x86_64 perl-locale-1.10-507.fc41.noarch perl-mro-1.28-507.fc41.x86_64 perl-overload-1.37-507.fc41.noarch perl-overloading-0.02-507.fc41.noarch perl-parent-1:0.241-502.fc40.noarch perl-podlators-1:5.01-502.fc40.noarch perl-vars-1.05-507.fc41.noarch pixman-0.43.4-1.fc41.x86_64 pyproject-rpm-macros-1.12.1-1.fc41.noarch python-pip-wheel-24.0-2.fc41.noarch python-rpm-macros-3.12-9.fc41.noarch python3-3.12.3-2.fc41.x86_64 python3-devel-3.12.3-2.fc41.x86_64 python3-libs-3.12.3-2.fc41.x86_64 python3-numpy-1:1.26.4-7.fc41.x86_64 python3-packaging-24.0-1.fc41.noarch python3-rpm-generators-14-10.fc40.noarch python3-rpm-macros-3.12-9.fc41.noarch python3-setuptools-69.2.0-3.fc41.noarch qt-settings-40.0-1.fc41.noarch qt5-qtbase-5.15.14-1.fc41.x86_64 qt5-qtbase-common-5.15.14-1.fc41.noarch qt5-qtbase-devel-5.15.14-1.fc41.x86_64 qt5-qtbase-gui-5.15.14-1.fc41.x86_64 qt5-qtconfiguration-0.3.1-22.fc40.x86_64 qt5-qtconfiguration-devel-0.3.1-22.fc40.x86_64 qt5-qtdeclarative-5.15.14-1.fc41.x86_64 qt5-rpm-macros-5.15.14-1.fc41.noarch rhash-1.4.4-1.fc41.x86_64 systemd-256~rc4-2.fc41.x86_64 systemd-pam-256~rc4-2.fc41.x86_64 systemd-rpm-macros-256~rc4-2.fc41.noarch trellis-1.2.1-27.20240524git2dab009.fc41.x86_64 trellis-data-1.2.1-27.20240524git2dab009.fc41.noarch trellis-devel-1.2.1-27.20240524git2dab009.fc41.x86_64 tzdata-2024a-8.fc41.noarch vim-filesystem-2:9.1.452-1.fc41.noarch vulkan-headers-1.3.283.0-1.fc41.noarch vulkan-loader-1.3.283.0-2.fc41.x86_64 vulkan-loader-devel-1.3.283.0-2.fc41.x86_64 xcb-util-0.4.1-5.fc40.x86_64 xcb-util-image-0.4.1-5.fc40.x86_64 xcb-util-keysyms-0.4.1-5.fc40.x86_64 xcb-util-renderutil-0.3.10-5.fc40.x86_64 xcb-util-wm-0.4.2-5.fc40.x86_64 xkeyboard-config-2.41-1.fc40.noarch xml-common-0.6.3-63.fc40.noarch xorg-x11-proto-devel-2024.1-2.fc41.noarch Complete! Finish: build setup for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Start: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Building target platforms: x86_64 Building for target x86_64 setting SOURCE_DATE_EPOCH=1717804800 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.Qzkvpq + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + /usr/bin/rm -rf /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.LUGZih + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd /builddir/build/BUILD/nextpnr-1-build + rm -rf nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/nextpnr-b7f91e5.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt + cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt + cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.vgUReh + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -S . -B redhat-linux-build -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON -DARCH=all -DICEBOX_DATADIR=/usr/share/icestorm -DTRELLIS_LIBDIR=/usr/lib64/trellis -DBUILD_GUI=ON -DUSE_OPENMP=ON -- The CXX compiler identification is GNU 14.1.1 -- The C compiler identification is GNU 14.1.1 -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Building with IPO -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Success -- Found Threads: TRUE -- Performing Test HAS_Wno-unused-parameter -- Performing Test HAS_Wno-unused-parameter - Success -- Performing Test HAS_Wno-missing-field-initializers -- Performing Test HAS_Wno-missing-field-initializers - Success -- Performing Test HAS_Wno-array-bounds -- Performing Test HAS_Wno-array-bounds - Success -- Performing Test HAS_Wno-format-truncation -- Performing Test HAS_Wno-format-truncation - Success -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- Found Python3: /usr/include/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Development Development.Module Development.Embed -- Found Boost: /usr/include (found version "1.83.0") found components: filesystem program_options iostreams system thread regex chrono atomic -- Found OpenGL: /usr/lib64/libOpenGL.so -- Found Boost: /usr/include (found version "1.83.0") found components: program_options filesystem system -- Configuring architecture: generic -- Configuring architecture: ice40 -- Enabled iCE40 devices: 384;1k;5k;u4k;8k -- Found Python3: /usr/bin/python3.12 (found suitable version "3.12.3", minimum required is "3.5") found components: Interpreter -- IceStorm install prefix: /usr -- icebox data directory: /usr/share/icestorm -- Using iCE40 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb -- Configuring architecture: ecp5 -- Enabled ECP5 devices: 25k;45k;85k -- Trellis install prefix: /usr -- Trellis library directory: /usr/lib64/trellis -- Trellis data directory: /usr/share/trellis -- Using ECP5 chipdb: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb -- Configuring done (2.9s) -- Generating done (0.1s) CMake Warning: Manually-specified variables were not used by the project: CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_R-- Build files have been written to: /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build ELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR + /usr/bin/cmake --build redhat-linux-build -j2 --verbose Change Dir: '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' Run Build Command(s): /usr/bin/cmake -E env VERBOSE=1 /usr/bin/gmake -f Makefile -j2 /usr/bin/cmake -S/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 -B/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/depend /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/generic/CMakeFiles/gui_generic_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target gui_generic_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" [ 0%] Built target QtPropertyBrowser_autogen_timestamp_deps /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/CMakeFiles/chipdb-ice40-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ice40/CMakeFiles/gui_ice40_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ice40/CMakeFiles/chipdb-ice40-bbas.dir/build.make ice40/CMakeFiles/chipdb-ice40-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 0%] Built target gui_ice40_autogen_timestamp_deps [ 0%] Generating chipdb/chipdb-384.bba /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/depend cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_lp384.txt /usr/share/icestorm/chipdb-384.txt > chipdb/chipdb-384.bba.new gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba/CMakeFiles/bbasm.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f bba/CMakeFiles/bbasm.dir/build.make bba/CMakeFiles/bbasm.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 1%] Building CXX object bba/CMakeFiles/bbasm.dir/main.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/g++ -Dbbasm_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -MD -MT bba/CMakeFiles/bbasm.dir/main.cc.o -MF CMakeFiles/bbasm.dir/main.cc.o.d -o CMakeFiles/bbasm.dir/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/bba/main.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-384.bba.new chipdb/chipdb-384.bba [ 2%] Generating chipdb/chipdb-1k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx1k.txt --slow /usr/share/icestorm/timings_lp1k.txt /usr/share/icestorm/chipdb-1k.txt > chipdb/chipdb-1k.bba.new [ 2%] Linking CXX executable bbasm cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/bba && /usr/bin/cmake -E cmake_link_script CMakeFiles/bbasm.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic CMakeFiles/bbasm.dir/main.cc.o -o bbasm /usr/lib64/libboost_program_options.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_system.so gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Built target bbasm /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' gmake[2]: Nothing to be done for 'generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen_timestamp_deps.dir/build'. gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 2%] Built target gui_ecp5_autogen_timestamp_deps /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build.make generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Automatic MOC for target gui_generic cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/generic/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/generic/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/generic/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/YGZ6VZXXHR/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/generic/gui_generic_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target gui_generic_autogen /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Automatic MOC for target QtPropertyBrowser cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenInfo.json "" AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" AutoGen: Parsing "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qteditorfactory.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtbuttonpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtgroupboxpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils_p.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/EWIEGA46WW/moc_qtpropertybrowserutils_p.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtpropertymanager.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qttreepropertybrowser.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/moc_qtvariantproperty.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qteditorfactory.moc.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc", because it doesn't exist, from "SRC:/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp" /usr/lib64/qt5/bin/moc -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include -I/usr/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qtpropertymanager.moc.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include/qttreepropertybrowser.moc.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 3%] Built target QtPropertyBrowser_autogen /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Automatic MOC for target gui_ice40 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/ice40/mainwindow.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ice40/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/7FXS3DRWOX/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-1k.bba.new chipdb/chipdb-1k.bba [ 4%] Generating chipdb/chipdb-5k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_up5k.txt /usr/share/icestorm/chipdb-5k.txt > chipdb/chipdb-5k.bba.new AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 4%] Built target gui_ice40_autogen /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Automatic MOC for target gui_ecp5 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_autogen /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenInfo.json Release AutoGen: Refreshing parse cache because it doesn't exist. AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h", because it doesn't exist. /usr/bin/g++ -std=gnu++17 -dM -E -c /usr/share/cmake/Modules/CMakeCXXCompilerABI.cpp -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.h" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.h" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.h" AutoGen: Parsing "SRC:/gui/application.h" AutoGen: Parsing "SRC:/gui/basewindow.h" AutoGen: Parsing "SRC:/gui/designwidget.h" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.h" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.h" AutoGen: Parsing "SRC:/gui/line_editor.h" AutoGen: Parsing "SRC:/gui/lineshader.h" AutoGen: Parsing "SRC:/gui/pyconsole.h" AutoGen: Parsing "SRC:/gui/pythontab.h" AutoGen: Parsing "SRC:/gui/treemodel.h" AutoGen: Parsing "SRC:/gui/worker.h" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_demo.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_draw.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ColumnFormatter.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BlockParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/imgui/imgui_widgets.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.BracketParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseHelper.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/ParseMessage.cpp" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyinterpreter.cc" AutoGen: Parsing "SRC:/3rdparty/python-console/modified/pyredirector.cc" AutoGen: Parsing "SRC:/3rdparty/qtimgui/ImGuiRenderer.cpp" AutoGen: Parsing "SRC:/3rdparty/qtimgui/QtImGui.cpp" AutoGen: Parsing "SRC:/gui/application.cc" AutoGen: Parsing "SRC:/gui/basewindow.cc" AutoGen: Parsing "SRC:/gui/designwidget.cc" AutoGen: Parsing "SRC:/gui/ecp5/mainwindow.cc" AutoGen: Parsing "SRC:/gui/fpgaviewwidget.cc" AutoGen: Parsing "SRC:/gui/line_editor.cc" AutoGen: Parsing "SRC:/gui/lineshader.cc" AutoGen: Parsing "SRC:/gui/pyconsole.cc" AutoGen: Parsing "SRC:/gui/pythontab.cc" AutoGen: Parsing "SRC:/gui/treemodel.cc" AutoGen: Parsing "SRC:/gui/worker.cc" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp", because it doesn't exist, from "SRC:/3rdparty/qtimgui/ImGuiRenderer.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.h AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp", because it doesn't exist, from "SRC:/gui/basewindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/4RVOBD3RJM/moc_ImGuiRenderer.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp", because it doesn't exist, from "SRC:/gui/designwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_basewindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp", because it doesn't exist, from "SRC:/gui/ecp5/mainwindow.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_designwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp", because it doesn't exist, from "SRC:/gui/fpgaviewwidget.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/OOLTENM7GT/moc_mainwindow.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp", because it doesn't exist, from "SRC:/gui/line_editor.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_fpgaviewwidget.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp", because it doesn't exist, from "SRC:/gui/pyconsole.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_line_editor.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp", because it doesn't exist, from "SRC:/gui/pythontab.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pyconsole.cpp.d" AutoMoc: Generating "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp", because it doesn't exist, from "SRC:/gui/worker.h" /usr/lib64/qt5/bin/moc -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified -I/usr/include/eigen3 -I/usr/include/qt5 -I/usr/include/qt5/QtWidgets -I/usr/include/qt5/QtGui -I/usr/include/qt5/QtCore -I/usr/lib64/qt5/mkspecs/linux-g++ -I/usr/include -I/usr/include/c++/14 -I/usr/include/c++/14/x86_64-redhat-linux -I/usr/include/c++/14/backward -I/usr/lib/gcc/x86_64-redhat-linux/14/include -I/usr/local/include --include /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/moc_predefs.h --output-dep-file -o /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.h AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_worker.cpp.d" AutoMoc: Reading dependencies from "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/EWIEGA46WW/moc_pythontab.cpp.d" AutoMoc: Generating MOC compilation "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp" AutoMoc: Merging MOC dependencies into "SRC:/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/deps" AutoGen: Writing the parse cache file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/ParseCache.txt" AutoGen: Writing the settings file "SRC:/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5_autogen.dir/AutogenUsed.txt" cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E touch /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/timestamp gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Built target gui_ecp5_autogen /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc RCC: Warning: No resources in '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/nextpnr.qrc'. [ 5%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/generic/gui_generic_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/CMakeFiles/gui_generic.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_generic gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/generic/CMakeFiles/gui_generic.dir/build.make generated/gui/generic/CMakeFiles/gui_generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/mocs_compilation.cpp [ 5%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/application.cc.o -MF CMakeFiles/gui_generic.dir/application.cc.o.d -o CMakeFiles/gui_generic.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/basewindow.cc.o -MF CMakeFiles/gui_generic.dir/basewindow.cc.o.d -o CMakeFiles/gui_generic.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/designwidget.cc.o -MF CMakeFiles/gui_generic.dir/designwidget.cc.o.d -o CMakeFiles/gui_generic.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 6%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/line_editor.cc.o -MF CMakeFiles/gui_generic.dir/line_editor.cc.o.d -o CMakeFiles/gui_generic.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/lineshader.cc.o -MF CMakeFiles/gui_generic.dir/lineshader.cc.o.d -o CMakeFiles/gui_generic.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-5k.bba.new chipdb/chipdb-5k.bba [ 7%] Generating chipdb/chipdb-u4k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --slow /usr/share/icestorm/timings_u4k.txt /usr/share/icestorm/chipdb-u4k.txt > chipdb/chipdb-u4k.bba.new [ 7%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pyconsole.cc.o -MF CMakeFiles/gui_generic.dir/pyconsole.cc.o.d -o CMakeFiles/gui_generic.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/pythontab.cc.o -MF CMakeFiles/gui_generic.dir/pythontab.cc.o.d -o CMakeFiles/gui_generic.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/treemodel.cc.o -MF CMakeFiles/gui_generic.dir/treemodel.cc.o.d -o CMakeFiles/gui_generic.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 8%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/worker.cc.o -MF CMakeFiles/gui_generic.dir/worker.cc.o.d -o CMakeFiles/gui_generic.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 9%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -MF CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o.d -o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic/mainwindow.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-u4k.bba.new chipdb/chipdb-u4k.bba [ 10%] Generating chipdb/chipdb-8k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chipdb.py -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.h --fast /usr/share/icestorm/timings_hx8k.txt --slow /usr/share/icestorm/timings_lp8k.txt /usr/share/icestorm/chipdb-8k.txt > chipdb/chipdb-8k.bba.new [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 10%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 11%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 12%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 13%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 14%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_base.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_base.cpp [ 15%] Building CXX object generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/gui_generic_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/generic/CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic/qrc_nextpnr.cpp [ 15%] Linking CXX static library libgui_generic.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -P CMakeFiles/gui_generic.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/generic && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_generic.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_generic.a CMakeFiles/gui_generic.dir/gui_generic_autogen/mocs_compilation.cpp.o CMakeFiles/gui_generic.dir/application.cc.o CMakeFiles/gui_generic.dir/basewindow.cc.o CMakeFiles/gui_generic.dir/designwidget.cc.o CMakeFiles/gui_generic.dir/fpgaviewwidget.cc.o CMakeFiles/gui_generic.dir/line_editor.cc.o CMakeFiles/gui_generic.dir/lineshader.cc.o CMakeFiles/gui_generic.dir/pyconsole.cc.o CMakeFiles/gui_generic.dir/pythontab.cc.o CMakeFiles/gui_generic.dir/treemodel.cc.o CMakeFiles/gui_generic.dir/worker.cc.o CMakeFiles/gui_generic.dir/generic/mainwindow.cc.o "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_generic.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_generic.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_generic.dir/qrc_base.cpp.o CMakeFiles/gui_generic.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_generic.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 16%] Built target gui_generic /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 16%] Generating qrc_qtpropertybrowser.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/lib64/qt5/bin/rcc --name qtpropertybrowser --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/DependInfo.cmake "--color=" Dependencies file "generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target QtPropertyBrowser gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build.make generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/mocs_compilation.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtbuttonpropertybrowser.cpp [ 17%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qteditorfactory.cpp [ 18%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtgroupboxpropertybrowser.cpp [ 18%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowser.cpp [ 18%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertybrowserutils.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtpropertymanager.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qttreepropertybrowser.cpp [ 19%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src/qtvariantproperty.cpp [ 20%] Building CXX object generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/g++ -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/QtPropertyBrowser_autogen/include -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/3rdparty/QtPropertyBrowser/src/CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -MF CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o.d -o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src/qrc_qtpropertybrowser.cpp [ 20%] Linking CXX static library libQtPropertyBrowser.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -P CMakeFiles/QtPropertyBrowser.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/3rdparty/QtPropertyBrowser/src && /usr/bin/cmake -E cmake_link_script CMakeFiles/QtPropertyBrowser.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libQtPropertyBrowser.a CMakeFiles/QtPropertyBrowser.dir/QtPropertyBrowser_autogen/mocs_compilation.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtbuttonpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qteditorfactory.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtgroupboxpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertybrowserutils.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtpropertymanager.cpp.o CMakeFiles/QtPropertyBrowser.dir/qttreepropertybrowser.cpp.o CMakeFiles/QtPropertyBrowser.dir/qtvariantproperty.cpp.o CMakeFiles/QtPropertyBrowser.dir/qrc_qtpropertybrowser.cpp.o "/usr/bin/gcc-ranlib" libQtPropertyBrowser.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Built target QtPropertyBrowser /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/nextpnr.qrc [ 20%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ice40/gui_ice40_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/CMakeFiles/gui_ice40.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ice40/CMakeFiles/gui_ice40.dir/build.make generated/gui/ice40/CMakeFiles/gui_ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/mocs_compilation.cpp [ 20%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/application.cc.o -MF CMakeFiles/gui_ice40.dir/application.cc.o.d -o CMakeFiles/gui_ice40.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/basewindow.cc.o -MF CMakeFiles/gui_ice40.dir/basewindow.cc.o.d -o CMakeFiles/gui_ice40.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/designwidget.cc.o -MF CMakeFiles/gui_ice40.dir/designwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 21%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/line_editor.cc.o -MF CMakeFiles/gui_ice40.dir/line_editor.cc.o.d -o CMakeFiles/gui_ice40.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40 && /usr/bin/cmake -E rename chipdb/chipdb-8k.bba.new chipdb/chipdb-8k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 22%] Built target chipdb-ice40-bbas [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/lineshader.cc.o -MF CMakeFiles/gui_ice40.dir/lineshader.cc.o.d -o CMakeFiles/gui_ice40.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc [ 22%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pyconsole.cc.o -MF CMakeFiles/gui_ice40.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ice40.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 22%] Generating qrc_nextpnr.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name nextpnr --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/nextpnr.qrc [ 22%] Generating qrc_base.cpp cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/lib64/qt5/bin/rcc --name base --output /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/base.qrc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/DependInfo.cmake "--color=" Dependencies file "generated/gui/ecp5/gui_ecp5_autogen/deps" is newer than depends file "/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/compiler_depend.internal". Consolidate compiler generated dependencies of target gui_ecp5 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build.make generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 22%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -MF CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o.d -o CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/mocs_compilation.cpp [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/pythontab.cc.o -MF CMakeFiles/gui_ice40.dir/pythontab.cc.o.d -o CMakeFiles/gui_ice40.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 23%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/application.cc.o -MF CMakeFiles/gui_ecp5.dir/application.cc.o.d -o CMakeFiles/gui_ecp5.dir/application.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/application.cc [ 23%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/treemodel.cc.o -MF CMakeFiles/gui_ice40.dir/treemodel.cc.o.d -o CMakeFiles/gui_ice40.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 24%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/basewindow.cc.o -MF CMakeFiles/gui_ecp5.dir/basewindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/basewindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/basewindow.cc [ 24%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/worker.cc.o -MF CMakeFiles/gui_ice40.dir/worker.cc.o.d -o CMakeFiles/gui_ice40.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -MF CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o.d -o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40/mainwindow.cc [ 25%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/designwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/designwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/designwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/designwidget.cc [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 25%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 26%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -MF CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o.d -o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/fpgaviewwidget.cc [ 26%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 27%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 28%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 29%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 30%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/line_editor.cc.o -MF CMakeFiles/gui_ecp5.dir/line_editor.cc.o.d -o CMakeFiles/gui_ecp5.dir/line_editor.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/line_editor.cc [ 30%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_base.cpp [ 31%] Building CXX object generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/gui_ice40_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ice40/CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40/qrc_nextpnr.cpp [ 31%] Linking CXX static library libgui_ice40.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -P CMakeFiles/gui_ice40.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ice40 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ice40.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ice40.a CMakeFiles/gui_ice40.dir/gui_ice40_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ice40.dir/application.cc.o CMakeFiles/gui_ice40.dir/basewindow.cc.o CMakeFiles/gui_ice40.dir/designwidget.cc.o CMakeFiles/gui_ice40.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ice40.dir/line_editor.cc.o CMakeFiles/gui_ice40.dir/lineshader.cc.o CMakeFiles/gui_ice40.dir/pyconsole.cc.o CMakeFiles/gui_ice40.dir/pythontab.cc.o CMakeFiles/gui_ice40.dir/treemodel.cc.o CMakeFiles/gui_ice40.dir/worker.cc.o CMakeFiles/gui_ice40.dir/ice40/mainwindow.cc.o "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ice40.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ice40.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ice40.dir/qrc_base.cpp.o CMakeFiles/gui_ice40.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ice40.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 32%] Built target gui_ice40 [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/lineshader.cc.o -MF CMakeFiles/gui_ecp5.dir/lineshader.cc.o.d -o CMakeFiles/gui_ecp5.dir/lineshader.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/lineshader.cc /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build.make ecp5/CMakeFiles/chipdb-ecp5-bbas.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 32%] Generating chipdb/chipdb-25k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 25k > chipdb/chipdb-25k.bba.new [ 32%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -MF CMakeFiles/gui_ecp5.dir/pyconsole.cc.o.d -o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pyconsole.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/pythontab.cc.o -MF CMakeFiles/gui_ecp5.dir/pythontab.cc.o.d -o CMakeFiles/gui_ecp5.dir/pythontab.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/pythontab.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/treemodel.cc.o -MF CMakeFiles/gui_ecp5.dir/treemodel.cc.o.d -o CMakeFiles/gui_ecp5.dir/treemodel.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/treemodel.cc [ 33%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/worker.cc.o -MF CMakeFiles/gui_ecp5.dir/worker.cc.o.d -o CMakeFiles/gui_ecp5.dir/worker.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/worker.cc [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -MF CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o.d -o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5/mainwindow.cc [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ColumnFormatter.cpp [ 34%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.cpp [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BlockParseState.cpp [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.BracketParseState.cpp [ 35%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/ParseMessage.cpp [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyredirector.cc [ 36%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/python-console/modified/pyinterpreter.cc [ 37%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_widgets.cpp [ 37%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_draw.cpp [ 37%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui.cpp [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/imgui/imgui_demo.cpp [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/ImGuiRenderer.cpp [ 38%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -MF CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o.d -o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/qtimgui/QtImGui.cpp [ 39%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_base.cpp [ 39%] Building CXX object generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_WIDGETS_LIB -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/gui_ecp5_autogen/include -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/QtPropertyBrowser/src -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/imgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/qtimgui -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/../3rdparty/python-console/modified -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT generated/gui/ecp5/CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -MF CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o.d -o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5/qrc_nextpnr.cpp [ 39%] Linking CXX static library libgui_ecp5.a cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -P CMakeFiles/gui_ecp5.dir/cmake_clean_target.cmake cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated/gui/ecp5 && /usr/bin/cmake -E cmake_link_script CMakeFiles/gui_ecp5.dir/link.txt --verbose=1 "/usr/bin/gcc-ar" cr libgui_ecp5.a CMakeFiles/gui_ecp5.dir/gui_ecp5_autogen/mocs_compilation.cpp.o CMakeFiles/gui_ecp5.dir/application.cc.o CMakeFiles/gui_ecp5.dir/basewindow.cc.o CMakeFiles/gui_ecp5.dir/designwidget.cc.o CMakeFiles/gui_ecp5.dir/fpgaviewwidget.cc.o CMakeFiles/gui_ecp5.dir/line_editor.cc.o CMakeFiles/gui_ecp5.dir/lineshader.cc.o CMakeFiles/gui_ecp5.dir/pyconsole.cc.o CMakeFiles/gui_ecp5.dir/pythontab.cc.o CMakeFiles/gui_ecp5.dir/treemodel.cc.o CMakeFiles/gui_ecp5.dir/worker.cc.o CMakeFiles/gui_ecp5.dir/ecp5/mainwindow.cc.o "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ColumnFormatter.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BlockParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.BracketParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseHelper.ContinuationParseState.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/ParseMessage.cpp.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyredirector.cc.o" "CMakeFiles/gui_ecp5.dir/__/3rdparty/python-console/modified/pyinterpreter.cc.o" CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_widgets.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_draw.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/imgui/imgui_demo.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/ImGuiRenderer.cpp.o CMakeFiles/gui_ecp5.dir/__/3rdparty/qtimgui/QtImGui.cpp.o CMakeFiles/gui_ecp5.dir/qrc_base.cpp.o CMakeFiles/gui_ecp5.dir/qrc_nextpnr.cpp.o "/usr/bin/gcc-ranlib" libgui_ecp5.a gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 40%] Built target gui_ecp5 /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-generic.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-generic.dir/build.make CMakeFiles/nextpnr-generic.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 40%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 41%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 42%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 43%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-25k.bba.new chipdb/chipdb-25k.bba [ 44%] Generating chipdb/chipdb-45k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 45k > chipdb/chipdb-45k.bba.new [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 44%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 45%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 46%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 47%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 48%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 49%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 50%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-45k.bba.new chipdb/chipdb-45k.bba [ 51%] Generating chipdb/chipdb-85k.bba cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/python3.12 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/trellis_import.py -L /usr/lib64/trellis -L /usr/share/trellis/util/common -L /usr/share/trellis/timing/util -p /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/constids.inc -g /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.h 85k > chipdb/chipdb-85k.bba.new [ 51%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 52%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 53%] Building CXX object CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/arch_pybindings.cc [ 54%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/cells.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/main.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/main.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/main.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/pack.cc [ 55%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_api.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct_helpers.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/example/example.cc [ 56%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/okami/okami.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fabulous.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/fasm.cc [ 57%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/pack.cc [ 58%] Building CXX object CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o /usr/bin/g++ -DARCHNAME=generic -DARCH_GENERIC -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_generic -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_generic_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/generic -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -MF CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o.d -o CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/generic/viaduct/fabulous/validity_check.cc [ 58%] Linking CXX executable nextpnr-generic /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-generic.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-generic.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-generic.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-generic.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-generic.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-generic.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-generic.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-generic.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/arch_pybindings.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/cells.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/main.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_api.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct_helpers.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/example/example.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/okami/okami.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fabulous.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/fasm.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/pack.cc.o" "CMakeFiles/nextpnr-generic.dir/generic/viaduct/fabulous/validity_check.cc.o" -o nextpnr-generic /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/generic/libgui_generic.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5 && /usr/bin/cmake -E rename chipdb/chipdb-85k.bba.new chipdb/chipdb-85k.bba gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 58%] Built target chipdb-ecp5-bbas /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40-bins.dir/build.make CMakeFiles/chipdb-ice40-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 59%] Generating ice40/chipdb/chipdb-384.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.bba ice40/chipdb/chipdb-384.cc [ 59%] Generating ice40/chipdb/chipdb-1k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.bba ice40/chipdb/chipdb-1k.cc [ 59%] Generating ice40/chipdb/chipdb-5k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.bba ice40/chipdb/chipdb-5k.cc [ 60%] Generating ice40/chipdb/chipdb-u4k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.bba ice40/chipdb/chipdb-u4k.cc [ 60%] Generating ice40/chipdb/chipdb-8k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.bba ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 60%] Built target chipdb-ice40-bins /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5-bins.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5-bins.dir/build.make CMakeFiles/chipdb-ecp5-bins.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 60%] Generating ecp5/chipdb/chipdb-25k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.bba ecp5/chipdb/chipdb-25k.cc [ 61%] Generating ecp5/chipdb/chipdb-45k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.bba ecp5/chipdb/chipdb-45k.cc [ 61%] Generating ecp5/chipdb/chipdb-85k.cc bba/bbasm --le --c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.bba ecp5/chipdb/chipdb-85k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 61%] Built target chipdb-ecp5-bins /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ice40.dir/build.make CMakeFiles/chipdb-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-384.cc [ 61%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-1k.cc [ 62%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-5k.cc [ 62%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-u4k.cc [ 62%] Building CXX object CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -MF CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o.d -o CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ice40/chipdb/chipdb-8k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 64%] Built target chipdb-ice40 /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/chipdb-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/chipdb-ecp5.dir/build.make CMakeFiles/chipdb-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 64%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-25k.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 64%] Built target nextpnr-generic /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ice40.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ice40.dir/build.make CMakeFiles/nextpnr-ice40.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 64%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 65%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 66%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-45k.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 66%] Building CXX object CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o /usr/bin/g++ -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -isystem /usr/include/eigen3 -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -g0 -O0 -w -MD -MT CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -MF CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o.d -o CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/ecp5/chipdb/chipdb-85k.cc [ 66%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 67%] Built target chipdb-ecp5 [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 68%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' cd /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles/nextpnr-ecp5.dir/DependInfo.cmake "--color=" gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/gmake -f CMakeFiles/nextpnr-ecp5.dir/build.make CMakeFiles/nextpnr-ecp5.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [ 68%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/archcheck.cc [ 69%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/basectx.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/bits.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 70%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/command.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 71%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 72%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 73%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/context.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/design_utils.cc [ 74%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/embed.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/handle_error.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstring.cc [ 75%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/idstringlist.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 76%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/log.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr.cc [ 77%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_assertions.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_namespaces.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/nextpnr_types.cc [ 78%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/property.cc [ 79%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/pybindings.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 80%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/report.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/sdf.cc [ 81%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/str_ring_buffer.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/svg.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 82%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing.cc [ 83%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel/timing_log.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/detail_place_core.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/parallel_refine.cc [ 84%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 85%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/place_common.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer1.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 86%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 86%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_heap.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 87%] Building CXX object CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 88%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/placer_static.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place/timing_opt.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_place.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/arch_pybindings.cc [ 89%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router1.cc [ 90%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route/router2.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/bitstream.cc [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11/json11.cpp [ 91%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft/fftsg2d.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json/jsonwrite.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/cells.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend/json_frontend.cc [ 92%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/chains.cc [ 93%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/delay.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/gfx.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_place.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/main.cc [ 94%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/arch_pybindings.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pack.cc [ 95%] Building CXX object CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o /usr/bin/g++ -DARCHNAME=ice40 -DARCH_ICE40 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ice40 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ice40_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ice40 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -MF CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o.d -o CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ice40/pcf.cc [ 96%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/baseconfigs.cc [ 97%] Linking CXX executable nextpnr-ice40 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ice40.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ice40.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ice40.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ice40.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ice40.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ice40.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_place.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/bitstream.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/cells.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/chains.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/delay.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/gfx.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/main.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pack.cc.o" "CMakeFiles/nextpnr-ice40.dir/ice40/pcf.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-384.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-1k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-5k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-u4k.cc.o" "CMakeFiles/chipdb-ice40.dir/ice40/chipdb/chipdb-8k.cc.o" -o nextpnr-ice40 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ice40/libgui_ice40.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/bitstream.cc [ 97%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/cells.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/config.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/gfx.cc [ 98%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/globals.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/lpf.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/main.cc [ 99%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pack.cc [100%] Building CXX object CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o /usr/bin/g++ -DARCHNAME=ecp5 -DARCH_ECP5 -DMAIN_EXECUTABLE -DNEXTPNR_NAMESPACE=nextpnr_ecp5 -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_NO_KEYWORDS -DQT_OPENGL_LIB -DQT_WIDGETS_LIB -Dnextpnr_ecp5_EXPORTS -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/kernel -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/place -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/common/route -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/json -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/frontend -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/json11 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/oourafft -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/3rdparty/pybind11/include -I/usr/include/python3.12 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/generated -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/rust -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui/ecp5 -I/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/gui -isystem /usr/include/eigen3 -isystem /usr/include/qt5 -isystem /usr/include/qt5/QtWidgets -isystem /usr/include/qt5/QtGui -isystem /usr/include/qt5/QtCore -isystem /usr/lib64/qt5/mkspecs/linux-g++ -isystem /usr/include/qt5/QtOpenGL -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -std=gnu++17 -flto=auto -fno-fat-lto-objects -fPIC -MD -MT CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -MF CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o.d -o CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o -c /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/ecp5/pio.cc [100%] Linking CXX executable nextpnr-ecp5 /usr/bin/cmake -E cmake_link_script CMakeFiles/nextpnr-ecp5.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -Wno-unused-parameter -Wno-missing-field-initializers -Wno-array-bounds -Wno-format-truncation -fPIC -O3 -g -pipe -fopenmp -flto=auto -fno-fat-lto-objects -Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes -Wl,--export-dynamic -rdynamic "CMakeFiles/nextpnr-ecp5.dir/common/kernel/archcheck.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/basectx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/bits.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/command.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/context.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/design_utils.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/embed.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/handle_error.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstring.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/idstringlist.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_assertions.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_namespaces.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/nextpnr_types.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/property.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/report.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/sdf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/str_ring_buffer.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/svg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/kernel/timing_log.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/detail_place_core.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/parallel_refine.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/place_common.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_heap.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/placer_static.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/place/timing_opt.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router1.cc.o" "CMakeFiles/nextpnr-ecp5.dir/common/route/router2.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/json11/json11.cpp.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg.cc.o" "CMakeFiles/nextpnr-ecp5.dir/3rdparty/oourafft/fftsg2d.cc.o" "CMakeFiles/nextpnr-ecp5.dir/json/jsonwrite.cc.o" "CMakeFiles/nextpnr-ecp5.dir/frontend/json_frontend.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_place.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/arch_pybindings.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/baseconfigs.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/bitstream.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/cells.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/config.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/gfx.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/globals.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/lpf.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/main.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pack.cc.o" "CMakeFiles/nextpnr-ecp5.dir/ecp5/pio.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-25k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-45k.cc.o" "CMakeFiles/chipdb-ecp5.dir/ecp5/chipdb/chipdb-85k.cc.o" -o nextpnr-ecp5 /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_iostreams.so /usr/lib64/libboost_system.so -lboost_thread /usr/lib64/libboost_regex.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_atomic.so -lpthread generated/gui/ecp5/libgui_ecp5.a /usr/lib64/libQt5OpenGL.so.5.15.14 /usr/lib64/libOpenGL.so /usr/lib64/libGLX.so generated/3rdparty/QtPropertyBrowser/src/libQtPropertyBrowser.a /usr/lib64/libpython3.12.so /usr/lib64/libQt5Widgets.so.5.15.14 /usr/lib64/libQt5Gui.so.5.15.14 /usr/lib64/libQt5Core.so.5.15.14 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ice40 gmake[2]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' [100%] Built target nextpnr-ecp5 gmake[1]: Leaving directory '/builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/redhat-linux-build/CMakeFiles 0 + mkdir -p examples/ice40 + cp -r ice40/examples/blinky ice40/examples/floorplan examples/ice40 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.dMmyty + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + '[' /builddir/build/BUILD/nextpnr-1-build/BUILDROOT '!=' / ']' + rm -rf /builddir/build/BUILD/nextpnr-1-build/BUILDROOT ++ dirname /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + mkdir -p /builddir/build/BUILD/nextpnr-1-build + mkdir /builddir/build/BUILD/nextpnr-1-build/BUILDROOT + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -march=x86-64 -mtune=generic -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -mtls-dialect=gnu2 -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DESTDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT + /usr/bin/cmake --install redhat-linux-build -- Install configuration: "Release" -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-generic -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ice40 -- Installing: /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/bin/nextpnr-ecp5 + /usr/bin/find-debuginfo -j2 --strict-build-id -m -i --build-id-seed 1-41.20240524gitb7f91e5.fc41 --unique-debug-suffix -1-41.20240524gitb7f91e5.fc41.x86_64 --unique-debug-src-base nextpnr-1-41.20240524gitb7f91e5.fc41.x86_64 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 110000000 -S debugsourcefiles.list /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 find-debuginfo: starting Extracting debug info from 3 files DWARF-compressing 3 files sepdebugcrcfix: Updated 3 CRC32s, 0 CRC32s did match. Creating .debug symlinks for symlinks to ELF files Copying sources found by 'debugedit -l' to /usr/src/debug/nextpnr-1-41.20240524gitb7f91e5.fc41.x86_64 1543816 blocks find-debuginfo: done + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/check-rpaths + /usr/lib/rpm/redhat/brp-mangle-shebangs + /usr/lib/rpm/brp-remove-la-files + env /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 -j2 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/bin/add-determinism --brp -j2 /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Cannot initialize handler pyc: ModuleNotFoundError: No module named 'marshalparser' [src/multiprocess.rs:66:9] &cmd = Command { program: "/usr/bin/add-determinism", args: [ "/usr/bin/add-determinism", "--socket", "3", "--brp", "--handler", "ar,jar,javadoc", ], env: CommandEnv { clear: false, vars: { "SOURCE_DATE_EPOCH": Some( "1717804800", ), }, }, create_pidfd: false, } Bye! Bye! Reading /builddir/build/BUILD/nextpnr-1-build/SPECPARTS/rpm-debuginfo.specpart Processing files: nextpnr-1-41.20240524gitb7f91e5.fc41.x86_64 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.1o6Nea + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + DOCDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/README.md /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/docs /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/examples /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/doc/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.NWuNyH + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + cd nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625 + LICENSEDIR=/builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + export LC_ALL=C.UTF-8 + LC_ALL=C.UTF-8 + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/COPYING /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-imgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-qtimgui.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + cp -pr /builddir/build/BUILD/nextpnr-1-build/nextpnr-b7f91e57a0caf40dd23e3bada52c8595d53eb625/LICENSE-python-console.txt /builddir/build/BUILD/nextpnr-1-build/BUILDROOT/usr/share/licenses/nextpnr + RPM_EC=0 ++ jobs -p + exit 0 Provides: bundled(QtPropertyBrowser) bundled(imgui) = 1.66-wip bundled(python-console) bundled(qtimgui) nextpnr = 1-41.20240524gitb7f91e5.fc41 nextpnr(x86-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libQt5Core.so.5()(64bit) libQt5Core.so.5(Qt_5)(64bit) libQt5Core.so.5(Qt_5.15)(64bit) libQt5Gui.so.5()(64bit) libQt5Gui.so.5(Qt_5)(64bit) libQt5Widgets.so.5()(64bit) libQt5Widgets.so.5(Qt_5)(64bit) libboost_filesystem.so.1.83.0()(64bit) libboost_program_options.so.1.83.0()(64bit) libboost_thread.so.1.83.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.14)(64bit) libc.so.6(GLIBC_2.2.5)(64bit) libc.so.6(GLIBC_2.3.2)(64bit) libc.so.6(GLIBC_2.3.4)(64bit) libc.so.6(GLIBC_2.32)(64bit) libc.so.6(GLIBC_2.34)(64bit) libc.so.6(GLIBC_2.38)(64bit) libc.so.6(GLIBC_2.4)(64bit) libc.so.6(GLIBC_2.8)(64bit) libc.so.6(GLIBC_ABI_DT_RELR)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libgcc_s.so.1(GCC_3.3.1)(64bit) libgomp.so.1()(64bit) libgomp.so.1(GOMP_1.0)(64bit) libgomp.so.1(GOMP_4.0)(64bit) libgomp.so.1(GOMP_4.5)(64bit) libgomp.so.1(OMP_1.0)(64bit) libm.so.6()(64bit) libm.so.6(GLIBC_2.2.5)(64bit) libm.so.6(GLIBC_2.27)(64bit) libm.so.6(GLIBC_2.29)(64bit) libm.so.6(GLIBC_2.38)(64bit) libpython3.12.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.11)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.15)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.8)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.19)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.22)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.30)(64bit) libstdc++.so.6(GLIBCXX_3.4.32)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.x86_64 Provides: nextpnr-debugsource = 1-41.20240524gitb7f91e5.fc41 nextpnr-debugsource(x86-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.x86_64 Provides: debuginfo(build-id) = a8e6e08ccd390e526f58dd6be7a3ba2b4c67c654 debuginfo(build-id) = b6acc2a954bcdfabf59503169a32d16aeae2a540 debuginfo(build-id) = c6bf8fb6c90fa5e9cb2a7d499ffe4fddb78bcf64 nextpnr-debuginfo = 1-41.20240524gitb7f91e5.fc41 nextpnr-debuginfo(x86-64) = 1-41.20240524gitb7f91e5.fc41 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: nextpnr-debugsource(x86-64) = 1-41.20240524gitb7f91e5.fc41 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILD/nextpnr-1-build/BUILDROOT Wrote: /builddir/build/RPMS/nextpnr-1-41.20240524gitb7f91e5.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/nextpnr-debuginfo-1-41.20240524gitb7f91e5.fc41.x86_64.rpm Wrote: /builddir/build/RPMS/nextpnr-debugsource-1-41.20240524gitb7f91e5.fc41.x86_64.rpm Executing(rmbuild): /bin/sh -e /var/tmp/rpm-tmp.WzZCm7 + umask 022 + cd /builddir/build/BUILD/nextpnr-1-build + test -d /builddir/build/BUILD/nextpnr-1-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/nextpnr-1-build + rm -rf /builddir/build/BUILD/nextpnr-1-build + RPM_EC=0 ++ jobs -p + exit 0 Finish: rpmbuild nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm Finish: build phase for nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm INFO: chroot_scan: 3 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log/dnf.log /var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log/dnf.librepo.log /var/lib/mock/fedora-39-x86_64-1717895680.766162/root/var/log/dnf.rpm.log INFO: Done(/var/lib/copr-rpmbuild/results/nextpnr-1-41.20240524gitb7f91e5.fc41.src.rpm) Config(child) 27 minutes 14 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot Finish: run Running RPMResults tool Package info: { "packages": [ { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "x86_64" }, { "name": "nextpnr", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "src" }, { "name": "nextpnr-debuginfo", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "x86_64" }, { "name": "nextpnr-debugsource", "epoch": null, "version": "1", "release": "41.20240524gitb7f91e5.fc41", "arch": "x86_64" } ] } RPMResults finished