Warning: Permanently added '18.212.216.249' (ED25519) to the list of known hosts. You can reproduce this build on your computer by running: sudo dnf install copr-rpmbuild /usr/bin/copr-rpmbuild --verbose --drop-resultdir --task-url https://copr.fedorainfracloud.org/backend/get-build-task/7592291-fedora-40-aarch64 --chroot fedora-40-aarch64 Version: 0.73 PID: 6607 Logging PID: 6608 Task: {'allow_user_ssh': False, 'appstream': False, 'background': True, 'build_id': 7592291, 'buildroot_pkgs': [], 'chroot': 'fedora-40-aarch64', 'enable_net': False, 'fedora_review': False, 'git_hash': '0634b620b82db31164590bfdf7385163371837eb', 'git_repo': 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/yosys', 'isolation': 'default', 'memory_reqs': 2048, 'package_name': 'yosys', 'package_version': '0.42-1.20240610git960d8e3', 'project_dirname': 'openblas-0.3.23', 'project_name': 'openblas-0.3.23', 'project_owner': 'psimovec', 'repo_priority': None, 'repos': [{'baseurl': 'https://download.copr.fedorainfracloud.org/results/psimovec/openblas-0.3.23/fedora-40-aarch64/', 'id': 'copr_base', 'name': 'Copr repository', 'priority': None}, {'baseurl': 'http://kojipkgs.fedoraproject.org/repos/rawhide/latest/$basearch/', 'id': 'http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch', 'name': 'Additional repo http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch'}], 'sandbox': 'psimovec/openblas-0.3.23--https://src.fedoraproject.org/user/somlo', 'source_json': {}, 'source_type': None, 'ssh_public_keys': None, 'submitter': 'https://src.fedoraproject.org/user/somlo', 'tags': [], 'task_id': '7592291-fedora-40-aarch64', 'timeout': 18000, 'uses_devel_repo': False, 'with_opts': [], 'without_opts': []} Running: git clone https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/yosys /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys --depth 500 --no-single-branch --recursive cmd: ['git', 'clone', 'https://copr-dist-git.fedorainfracloud.org/git/psimovec/openblas-0.3.23/yosys', '/var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys', '--depth', '500', '--no-single-branch', '--recursive'] cwd: . rc: 0 stdout: stderr: Cloning into '/var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys'... Running: git checkout 0634b620b82db31164590bfdf7385163371837eb -- cmd: ['git', 'checkout', '0634b620b82db31164590bfdf7385163371837eb', '--'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys rc: 0 stdout: stderr: Note: switching to '0634b620b82db31164590bfdf7385163371837eb'. You are in 'detached HEAD' state. You can look around, make experimental changes and commit them, and you can discard any commits you make in this state without impacting any branches by switching back to a branch. If you want to create a new branch to retain commits you create, you may do so (now or later) by using -c with the switch command. Example: git switch -c Or undo this operation with: git switch - Turn off this advice by setting config variable advice.detachedHead to false HEAD is now at 0634b62 automatic import of yosys Running: copr-distgit-client sources cmd: ['copr-distgit-client', 'sources'] cwd: /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys rc: 0 stdout: stderr: INFO: Reading stdout from command: git rev-parse --abbrev-ref HEAD INFO: Reading stdout from command: git rev-parse HEAD INFO: Reading sources specification file: sources INFO: Downloading yosys-960d8e3.tar.gz INFO: Reading stdout from command: curl --help all INFO: Calling: curl -H Pragma: -o yosys-960d8e3.tar.gz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/psimovec/openblas-0.3.23/yosys/yosys-960d8e3.tar.gz/md5/ac960b397a550b20584e41cdc2be3816/yosys-960d8e3.tar.gz % Total % Received % Xferd Average Speed Time Time Time Current /usr/bin/tail: /var/lib/copr-rpmbuild/main.log: file truncated Dload Upload Total Spent Left Speed 100 2743k 100 2743k 0 0 99.1M 0 --:--:-- --:--:-- --:--:-- 103M INFO: Reading stdout from command: md5sum yosys-960d8e3.tar.gz INFO: Downloading yosys_0.33-5.debian.tar.xz INFO: Calling: curl -H Pragma: -o yosys_0.33-5.debian.tar.xz --location --connect-timeout 60 --retry 3 --retry-delay 10 --remote-time --show-error --fail --retry-all-errors https://copr-dist-git.fedorainfracloud.org/repo/pkgs/psimovec/openblas-0.3.23/yosys/yosys_0.33-5.debian.tar.xz/md5/ea0e202dc8df4d0df04a98b15aac442d/yosys_0.33-5.debian.tar.xz % Total % Received % Xferd Average Speed Time Time Time Current Dload Upload Total Spent Left Speed 100 30100 100 30100 0 0 1530k 0 --:--:-- --:--:-- --:--:-- 1633k INFO: Reading stdout from command: md5sum yosys_0.33-5.debian.tar.xz Running (timeout=18000): unbuffer mock --spec /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1718053966.657039 -r /var/lib/copr-rpmbuild/results/configs/child.cfg INFO: mock.py version 5.6 starting (python version = 3.12.1, NVR = mock-5.6-1.fc39), args: /usr/libexec/mock/mock --spec /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys/yosys.spec --sources /var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys --resultdir /var/lib/copr-rpmbuild/results --uniqueext 1718053966.657039 -r /var/lib/copr-rpmbuild/results/configs/child.cfg Start(bootstrap): init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish(bootstrap): init plugins Start: init plugins INFO: tmpfs initialized INFO: selinux enabled INFO: chroot_scan: initialized INFO: compress_logs: initialized Finish: init plugins INFO: Signal handler active Start: run INFO: Start(/var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys/yosys.spec) Config(fedora-40-aarch64) Start: clean chroot Finish: clean chroot Mock Version: 5.6 INFO: Mock Version: 5.6 Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1718053966.657039/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata INFO: Guessed host environment type: unknown INFO: Using bootstrap image: registry.fedoraproject.org/fedora:40 INFO: Pulling image: registry.fedoraproject.org/fedora:40 INFO: Copy content of container registry.fedoraproject.org/fedora:40 to /var/lib/mock/fedora-40-aarch64-bootstrap-1718053966.657039/root INFO: Checking that registry.fedoraproject.org/fedora:40 image matches host's architecture INFO: mounting registry.fedoraproject.org/fedora:40 with podman image mount INFO: image registry.fedoraproject.org/fedora:40 as /var/lib/containers/storage/overlay/1548c95fd29b439cdb7363626d68847b237b0956842506fc93287f43d66574d0/merged INFO: umounting image registry.fedoraproject.org/fedora:40 (/var/lib/containers/storage/overlay/1548c95fd29b439cdb7363626d68847b237b0956842506fc93287f43d66574d0/merged) with podman image umount INFO: Using 'dnf' instead of 'dnf5' for bootstrap chroot INFO: Package manager dnf detected and used (fallback) INFO: Bootstrap image not marked ready Start(bootstrap): installing dnf5 tooling No matches found for the following disable plugin patterns: local, spacewalk, versionlock Copr repository 20 MB/s | 3.3 MB 00:00 Additional repo http_kojipkgs_fedoraproject_org 66 MB/s | 17 MB 00:00 fedora 30 MB/s | 19 MB 00:00 updates 21 MB/s | 6.9 MB 00:00 Dependencies resolved. ============================================================================================================ Package Arch Version Repository Size ============================================================================================================ Installing: dnf5 aarch64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 643 k replacing dnf.noarch 4.19.2-1.fc40 replacing yum.noarch 4.19.2-1.fc40 dnf5-plugins aarch64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 336 k Upgrading: dnf-data noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39 k python3-dnf noarch 4.20.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580 k Installing dependencies: fmt aarch64 10.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 121 k libdnf5 aarch64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 977 k libdnf5-cli aarch64 5.2.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 288 k sdbus-cpp aarch64 1.5.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 103 k Transaction Summary ============================================================================================================ Install 6 Packages Upgrade 2 Packages Total download size: 3.0 M Downloading Packages: (1/8): dnf5-plugins-5.2.3.0-1.fc41.aarch64.rpm 4.2 MB/s | 336 kB 00:00 (2/8): dnf5-5.2.3.0-1.fc41.aarch64.rpm 7.7 MB/s | 643 kB 00:00 (3/8): libdnf5-cli-5.2.3.0-1.fc41.aarch64.rpm 18 MB/s | 288 kB 00:00 (4/8): libdnf5-5.2.3.0-1.fc41.aarch64.rpm 38 MB/s | 977 kB 00:00 (5/8): fmt-10.2.1-4.fc41.aarch64.rpm 1.1 MB/s | 121 kB 00:00 (6/8): sdbus-cpp-1.5.0-2.fc41.aarch64.rpm 7.2 MB/s | 103 kB 00:00 (7/8): dnf-data-4.20.0-1.fc41.noarch.rpm 2.9 MB/s | 39 kB 00:00 (8/8): python3-dnf-4.20.0-1.fc41.noarch.rpm 8.8 MB/s | 580 kB 00:00 -------------------------------------------------------------------------------- Total 18 MB/s | 3.0 MB 00:00 Running transaction check Transaction check succeeded. Running transaction test Transaction test succeeded. Running transaction Preparing : 1/1 Installing : fmt-10.2.1-4.fc41.aarch64 1/12 Installing : libdnf5-5.2.3.0-1.fc41.aarch64 2/12 warning: /etc/dnf/dnf.conf created as /etc/dnf/dnf.conf.rpmnew Installing : libdnf5-cli-5.2.3.0-1.fc41.aarch64 3/12 Installing : sdbus-cpp-1.5.0-2.fc41.aarch64 4/12 Installing : dnf5-5.2.3.0-1.fc41.aarch64 5/12 Upgrading : dnf-data-4.20.0-1.fc41.noarch 6/12 Upgrading : python3-dnf-4.20.0-1.fc41.noarch 7/12 Installing : dnf5-plugins-5.2.3.0-1.fc41.aarch64 8/12 Obsoleting : yum-4.19.2-1.fc40.noarch 9/12 Running scriptlet: dnf-4.19.2-1.fc40.noarch 10/12 Obsoleting : dnf-4.19.2-1.fc40.noarch 10/12 warning: directory /var/cache/dnf: remove failed: Device or resource busy Running scriptlet: dnf-4.19.2-1.fc40.noarch 10/12 Cleanup : python3-dnf-4.19.2-1.fc40.noarch 11/12 Cleanup : dnf-data-4.19.2-1.fc40.noarch 12/12 Running scriptlet: dnf-data-4.19.2-1.fc40.noarch 12/12 Upgraded: dnf-data-4.20.0-1.fc41.noarch python3-dnf-4.20.0-1.fc41.noarch Installed: dnf5-5.2.3.0-1.fc41.aarch64 dnf5-plugins-5.2.3.0-1.fc41.aarch64 fmt-10.2.1-4.fc41.aarch64 libdnf5-5.2.3.0-1.fc41.aarch64 libdnf5-cli-5.2.3.0-1.fc41.aarch64 sdbus-cpp-1.5.0-2.fc41.aarch64 Complete! INFO: Switching package manager from dnf to the dnf5 (direct choice) Finish(bootstrap): installing dnf5 tooling Start(bootstrap): creating root cache Finish(bootstrap): creating root cache Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-1718053966.657039/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Package manager dnf5 detected and used (direct choice) INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.6.0-3.fc40.aarch64 python3-dnf-4.20.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.aarch64 dnf5-plugins-5.2.3.0-1.fc41.aarch64 Start: installing minimal buildroot with dnf5 Updating and loading repositories: updates 100% | 17.2 MiB/s | 7.4 MiB | 00m00s fedora 100% | 33.1 MiB/s | 19.9 MiB | 00m01s Copr repository 100% | 52.2 MiB/s | 3.3 MiB | 00m00s Additional repo http_kojipkgs_fedorapr 100% | 75.0 MiB/s | 17.3 MiB | 00m00s Repositories loaded. Package Arch Version Repository Size Installing group/module packages: bash aarch64 5.2.26-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 MiB bzip2 aarch64 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 427.5 KiB coreutils aarch64 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.8 MiB cpio aarch64 2.15-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB diffutils aarch64 3.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB fedora-release-common noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.2 KiB findutils aarch64 1:4.10.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB gawk aarch64 5.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.2 MiB glibc-minimal-langpack aarch64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B grep aarch64 3.11-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB gzip aarch64 1.13-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 488.8 KiB info aarch64 7.1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 613.5 KiB patch aarch64 2.7.6-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 390.5 KiB redhat-rpm-config noarch 293-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 183.5 KiB rpm-build aarch64 4.19.91-10.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB sed aarch64 4.9-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB shadow-utils aarch64 2:4.15.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.3 MiB tar aarch64 2:1.35-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB unzip aarch64 6.0-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 726.4 KiB util-linux aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.5 MiB which aarch64 2.21-41.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 248.1 KiB xz aarch64 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB Installing dependencies: add-determinism-nopython aarch64 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB alternatives aarch64 1.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 218.2 KiB ansible-srpm-macros noarch 1-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.7 KiB audit-libs aarch64 4.0.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 547.2 KiB authselect aarch64 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 309.4 KiB authselect-libs aarch64 1.5.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 931.8 KiB basesystem noarch 11-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B binutils aarch64 2.42.50-14.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33.1 MiB build-reproducibility-srpm-macros noarch 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 769.0 B bzip2-libs aarch64 1.0.8-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200.7 KiB ca-certificates noarch 2023.2.62_v7.0.401-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB coreutils-common aarch64 9.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.2 MiB cracklib aarch64 2.9.11-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 934.6 KiB crypto-policies noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120.0 KiB curl aarch64 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 871.7 KiB cyrus-sasl-lib aarch64 2.1.28-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB debugedit aarch64 5.0-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 499.0 KiB dwz aarch64 0.15-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 386.7 KiB ed aarch64 1.20.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 282.7 KiB efi-srpm-macros noarch 5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.1 KiB elfutils aarch64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.0 MiB elfutils-debuginfod-client aarch64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 396.7 KiB elfutils-default-yama-scope noarch 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 KiB elfutils-libelf aarch64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB elfutils-libs aarch64 0.191-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB fedora-gpg-keys noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124.7 KiB fedora-release noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B fedora-release-identity-basic noarch 41-0.13 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 694.0 B fedora-repos noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.9 KiB fedora-repos-rawhide noarch 41-0.2 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 KiB file aarch64 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 267.4 KiB file-libs aarch64 5.45-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.0 MiB filesystem aarch64 3.18-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 106.0 B fonts-srpm-macros noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.3 KiB forge-srpm-macros noarch 0.3.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.0 KiB fpc-srpm-macros noarch 1.3-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.0 B gdb-minimal aarch64 14.2-11.fc41 copr_base 12.7 MiB gdbm aarch64 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 928.2 KiB gdbm-libs aarch64 1:1.23-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 425.8 KiB ghc-srpm-macros noarch 1.9.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 747.0 B glibc aarch64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.8 MiB glibc-common aarch64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 MiB glibc-gconv-extra aarch64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 49.0 MiB gmp aarch64 1:6.3.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 721.9 KiB gnat-srpm-macros noarch 6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 KiB go-srpm-macros noarch 3.6.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 60.8 KiB jansson aarch64 2.13.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 220.4 KiB kernel-srpm-macros noarch 1.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 KiB keyutils-libs aarch64 1.6.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 226.3 KiB krb5-libs aarch64 1.21.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.4 MiB libacl aarch64 2.3.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.0 KiB libarchive aarch64 3.7.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB libattr aarch64 2.5.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.5 KiB libblkid aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 418.5 KiB libbrotli aarch64 1.1.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libcap aarch64 2.70-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB libcap-ng aarch64 0.8.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 417.0 KiB libcom_err aarch64 1.47.0-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 239.2 KiB libcurl aarch64 8.8.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 921.5 KiB libeconf aarch64 0.6.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 206.0 KiB libevent aarch64 2.1.12-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB libfdisk aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 482.8 KiB libffi aarch64 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 282.3 KiB libgcc aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 350.2 KiB libgomp aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 567.3 KiB libidn2 aarch64 2.3.7-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 457.1 KiB libmount aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 483.8 KiB libnghttp2 aarch64 1.62.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262.1 KiB libnsl2 aarch64 2.0.1-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 221.9 KiB libpkgconf aarch64 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.0 KiB libpsl aarch64 0.21.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.5 KiB libpwquality aarch64 1.4.5-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libselinux aarch64 3.6-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 265.1 KiB libsemanage aarch64 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 361.4 KiB libsepol aarch64 3.6-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 874.0 KiB libsmartcols aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 288.4 KiB libssh aarch64 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 581.2 KiB libssh-config noarch 0.10.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 277.0 B libstdc++ aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.8 MiB libtasn1 aarch64 4.19.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 283.7 KiB libtirpc aarch64 1.3.4-1.rc3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 274.6 KiB libtool-ltdl aarch64 2.4.7-11.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 222.1 KiB libunistring aarch64 1.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 MiB libutempter aarch64 1.2.1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 417.6 KiB libuuid aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.4 KiB libverto aarch64 0.3.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.4 KiB libxcrypt aarch64 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 398.9 KiB libxml2 aarch64 2.12.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB libzstd aarch64 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 795.9 KiB lua-libs aarch64 5.4.6-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 393.0 KiB lua-srpm-macros noarch 1-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 KiB lz4-libs aarch64 1.9.4-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 261.4 KiB mpfr aarch64 4.2.1-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 818.8 KiB ncurses-base noarch 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 326.2 KiB ncurses-libs aarch64 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB ocaml-srpm-macros noarch 10-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.9 KiB openblas-srpm-macros noarch 2-17.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112.0 B openldap aarch64 2.6.8-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB openssl-libs aarch64 1:3.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.8 MiB p11-kit aarch64 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.8 MiB p11-kit-trust aarch64 0.25.3-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 655.4 KiB package-notes-srpm-macros noarch 0.5-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 KiB pam aarch64 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.0 MiB pam-libs aarch64 1.6.1-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 607.0 KiB pcre2 aarch64 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 905.3 KiB pcre2-syntax noarch 10.43-2.fc41.1 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 249.0 KiB perl-srpm-macros noarch 1-53.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 861.0 B pkgconf aarch64 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 238.7 KiB pkgconf-m4 noarch 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.9 KiB pkgconf-pkg-config aarch64 2.1.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 990.0 B popt aarch64 1.19-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 272.8 KiB publicsuffix-list-dafsa noarch 20240107-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67.5 KiB pyproject-srpm-macros noarch 1.12.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 KiB python-srpm-macros noarch 3.12-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50.5 KiB qt5-srpm-macros noarch 5.15.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 500.0 B qt6-srpm-macros noarch 6.7.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 456.0 B readline aarch64 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 689.1 KiB rpm aarch64 4.19.91-10.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 MiB rpm-build-libs aarch64 4.19.91-10.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262.6 KiB rpm-libs aarch64 4.19.91-10.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 861.7 KiB rpm-sequoia aarch64 1.6.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB rust-srpm-macros noarch 26.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 KiB setup noarch 2.15.0-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 720.7 KiB sqlite-libs aarch64 3.46.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB systemd-libs aarch64 256~rc4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 MiB util-linux-core aarch64 2.40.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 MiB xxhash-libs aarch64 0.8.2-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 212.2 KiB xz-libs aarch64 1:5.4.6-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 265.6 KiB zig-srpm-macros noarch 1-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 KiB zip aarch64 3.0-40.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB zlib-ng-compat aarch64 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 261.7 KiB zstd aarch64 1.5.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB Installing groups: Buildsystem building group Transaction Summary: Installing: 154 packages Total size of inbound packages is 52 MiB. Need to download 52 MiB. After this operation 306 MiB will be used (install 306 MiB, remove 0 B). [ 1/154] bzip2-0:1.0.8-18.fc40.aarch64 100% | 628.9 KiB/s | 52.2 KiB | 00m00s [ 2/154] coreutils-0:9.5-2.fc41.aarch6 100% | 11.5 MiB/s | 1.1 MiB | 00m00s [ 3/154] diffutils-0:3.10-5.fc40.aarch 100% | 22.7 MiB/s | 395.3 KiB | 00m00s [ 4/154] fedora-release-common-0:41-0. 100% | 1.7 MiB/s | 22.1 KiB | 00m00s [ 5/154] cpio-0:2.15-1.fc40.aarch64 100% | 5.3 MiB/s | 284.8 KiB | 00m00s [ 6/154] bash-0:5.2.26-3.fc40.aarch64 100% | 11.7 MiB/s | 1.8 MiB | 00m00s [ 7/154] gawk-0:5.3.0-3.fc40.aarch64 100% | 43.7 MiB/s | 1.0 MiB | 00m00s [ 8/154] findutils-1:4.10.0-2.fc41.aar 100% | 15.2 MiB/s | 546.5 KiB | 00m00s [ 9/154] glibc-minimal-langpack-0:2.39 100% | 5.7 MiB/s | 111.6 KiB | 00m00s [ 10/154] gzip-0:1.13-1.fc40.aarch64 100% | 10.0 MiB/s | 163.1 KiB | 00m00s [ 11/154] grep-0:3.11-8.fc41.aarch64 100% | 12.2 MiB/s | 288.0 KiB | 00m00s [ 12/154] info-0:7.1-2.fc40.aarch64 100% | 8.5 MiB/s | 183.1 KiB | 00m00s [ 13/154] redhat-rpm-config-0:293-1.fc4 100% | 5.3 MiB/s | 75.5 KiB | 00m00s [ 14/154] patch-0:2.7.6-24.fc40.aarch64 100% | 6.7 MiB/s | 129.5 KiB | 00m00s [ 15/154] rpm-build-0:4.19.91-10.fc41.a 100% | 5.4 MiB/s | 77.2 KiB | 00m00s [ 16/154] sed-0:4.9-1.fc40.aarch64 100% | 14.3 MiB/s | 306.6 KiB | 00m00s [ 17/154] shadow-utils-2:4.15.1-5.fc41. 100% | 63.1 MiB/s | 1.3 MiB | 00m00s [ 18/154] tar-2:1.35-3.fc40.aarch64 100% | 34.5 MiB/s | 848.3 KiB | 00m00s [ 19/154] unzip-0:6.0-63.fc40.aarch64 100% | 9.0 MiB/s | 185.0 KiB | 00m00s [ 20/154] util-linux-0:2.40.1-1.fc41.aa 100% | 59.2 MiB/s | 1.2 MiB | 00m00s [ 21/154] which-0:2.21-41.fc40.aarch64 100% | 2.3 MiB/s | 41.6 KiB | 00m00s [ 22/154] xz-1:5.4.6-3.fc41.aarch64 100% | 21.7 MiB/s | 532.6 KiB | 00m00s [ 23/154] coreutils-common-0:9.5-2.fc41 100% | 87.3 MiB/s | 2.1 MiB | 00m00s [ 24/154] fedora-repos-0:41-0.2.noarch 100% | 518.3 KiB/s | 9.3 KiB | 00m00s [ 25/154] glibc-common-0:2.39.9000-18.f 100% | 23.7 MiB/s | 389.0 KiB | 00m00s [ 26/154] rpm-0:4.19.91-10.fc41.aarch64 100% | 23.1 MiB/s | 521.0 KiB | 00m00s [ 27/154] glibc-0:2.39.9000-18.fc41.aar 100% | 53.8 MiB/s | 1.9 MiB | 00m00s [ 28/154] libblkid-0:2.40.1-1.fc41.aarc 100% | 7.7 MiB/s | 125.7 KiB | 00m00s [ 29/154] libfdisk-0:2.40.1-1.fc41.aarc 100% | 7.7 MiB/s | 157.3 KiB | 00m00s [ 30/154] libsmartcols-0:2.40.1-1.fc41. 100% | 6.2 MiB/s | 82.6 KiB | 00m00s [ 31/154] libmount-0:2.40.1-1.fc41.aarc 100% | 7.5 MiB/s | 154.4 KiB | 00m00s [ 32/154] libuuid-0:2.40.1-1.fc41.aarch 100% | 2.0 MiB/s | 28.7 KiB | 00m00s [ 33/154] xz-libs-1:5.4.6-3.fc41.aarch6 100% | 7.6 MiB/s | 108.5 KiB | 00m00s [ 34/154] util-linux-core-0:2.40.1-1.fc 100% | 23.0 MiB/s | 519.2 KiB | 00m00s [ 35/154] fedora-gpg-keys-0:41-0.2.noar 100% | 6.3 MiB/s | 121.8 KiB | 00m00s [ 36/154] fedora-repos-rawhide-0:41-0.2 100% | 494.7 KiB/s | 8.9 KiB | 00m00s [ 37/154] glibc-gconv-extra-0:2.39.9000 100% | 90.4 MiB/s | 2.0 MiB | 00m00s [ 38/154] rpm-libs-0:4.19.91-10.fc41.aa 100% | 14.3 MiB/s | 306.5 KiB | 00m00s [ 39/154] rpm-build-libs-0:4.19.91-10.f 100% | 4.9 MiB/s | 96.0 KiB | 00m00s [ 40/154] systemd-libs-0:256~rc4-2.fc41 100% | 41.2 MiB/s | 717.7 KiB | 00m00s [ 41/154] libselinux-0:3.6-4.fc40.aarch 100% | 4.5 MiB/s | 87.9 KiB | 00m00s [ 42/154] libxcrypt-0:4.4.36-5.fc40.aar 100% | 8.6 MiB/s | 123.3 KiB | 00m00s [ 43/154] ncurses-libs-0:6.4-12.2024012 100% | 16.1 MiB/s | 329.1 KiB | 00m00s [ 44/154] pam-libs-0:1.6.1-3.fc41.aarch 100% | 4.3 MiB/s | 57.2 KiB | 00m00s [ 45/154] pam-0:1.6.1-3.fc41.aarch64 100% | 24.5 MiB/s | 527.3 KiB | 00m00s [ 46/154] audit-libs-0:4.0.1-2.fc41.aar 100% | 8.2 MiB/s | 126.2 KiB | 00m00s [ 47/154] authselect-libs-0:1.5.0-5.fc4 100% | 10.0 MiB/s | 205.1 KiB | 00m00s [ 48/154] libcap-ng-0:0.8.5-1.fc41.aarc 100% | 2.3 MiB/s | 32.5 KiB | 00m00s [ 49/154] authselect-0:1.5.0-5.fc41.aar 100% | 6.9 MiB/s | 141.0 KiB | 00m00s [ 50/154] libutempter-0:1.2.1-13.fc40.a 100% | 2.0 MiB/s | 26.8 KiB | 00m00s [ 51/154] zlib-ng-compat-0:2.1.6-6.fc41 100% | 4.1 MiB/s | 66.7 KiB | 00m00s [ 52/154] readline-0:8.2-8.fc40.aarch64 100% | 10.4 MiB/s | 213.5 KiB | 00m00s [ 53/154] bzip2-libs-0:1.0.8-18.fc40.aa 100% | 2.2 MiB/s | 42.7 KiB | 00m00s [ 54/154] libcap-0:2.70-1.fc41.aarch64 100% | 6.1 MiB/s | 87.4 KiB | 00m00s [ 55/154] libacl-0:2.3.2-1.fc40.aarch64 100% | 1.3 MiB/s | 24.7 KiB | 00m00s [ 56/154] libgcc-0:14.1.1-5.fc41.aarch6 100% | 7.9 MiB/s | 112.7 KiB | 00m00s [ 57/154] libattr-0:2.5.2-3.fc40.aarch6 100% | 1.4 MiB/s | 18.0 KiB | 00m00s [ 58/154] libeconf-0:0.6.2-2.fc41.aarch 100% | 1.7 MiB/s | 32.2 KiB | 00m00s [ 59/154] libsemanage-0:3.6-3.fc40.aarc 100% | 5.3 MiB/s | 114.9 KiB | 00m00s [ 60/154] libzstd-0:1.5.6-1.fc41.aarch6 100% | 18.7 MiB/s | 286.6 KiB | 00m00s [ 61/154] setup-0:2.15.0-4.fc41.noarch 100% | 7.2 MiB/s | 148.5 KiB | 00m00s [ 62/154] rpm-sequoia-0:1.6.0-3.fc41.aa 100% | 46.3 MiB/s | 805.6 KiB | 00m00s [ 63/154] lua-libs-0:5.4.6-5.fc40.aarch 100% | 6.8 MiB/s | 131.5 KiB | 00m00s [ 64/154] popt-0:1.19-6.fc40.aarch64 100% | 3.1 MiB/s | 59.6 KiB | 00m00s [ 65/154] libgomp-0:14.1.1-5.fc41.aarch 100% | 22.2 MiB/s | 340.7 KiB | 00m00s [ 66/154] sqlite-libs-0:3.46.0-1.fc41.a 100% | 31.5 MiB/s | 710.4 KiB | 00m00s [ 67/154] elfutils-libelf-0:0.191-7.fc4 100% | 10.7 MiB/s | 208.9 KiB | 00m00s [ 68/154] elfutils-0:0.191-7.fc41.aarch 100% | 30.5 MiB/s | 561.5 KiB | 00m00s [ 69/154] elfutils-libs-0:0.191-7.fc41. 100% | 12.9 MiB/s | 263.8 KiB | 00m00s [ 70/154] elfutils-debuginfod-client-0: 100% | 1.9 MiB/s | 37.8 KiB | 00m00s [ 71/154] file-0:5.45-5.fc41.aarch64 100% | 3.2 MiB/s | 49.6 KiB | 00m00s [ 72/154] file-libs-0:5.45-5.fc41.aarch 100% | 31.1 MiB/s | 763.1 KiB | 00m00s [ 73/154] libarchive-0:3.7.4-1.fc41.aar 100% | 17.2 MiB/s | 405.8 KiB | 00m00s [ 74/154] zstd-0:1.5.6-1.fc41.aarch64 100% | 19.4 MiB/s | 456.8 KiB | 00m00s [ 75/154] binutils-0:2.42.50-14.fc41.aa 100% | 141.6 MiB/s | 6.8 MiB | 00m00s [ 76/154] debugedit-0:5.0-16.fc41.aarch 100% | 3.9 MiB/s | 79.2 KiB | 00m00s [ 77/154] pkgconf-pkg-config-0:2.1.1-1. 100% | 656.8 KiB/s | 9.9 KiB | 00m00s [ 78/154] pkgconf-m4-0:2.1.1-1.fc41.noa 100% | 1.1 MiB/s | 14.1 KiB | 00m00s [ 79/154] pkgconf-0:2.1.1-1.fc41.aarch6 100% | 2.4 MiB/s | 43.7 KiB | 00m00s [ 80/154] libpkgconf-0:2.1.1-1.fc41.aar 100% | 2.1 MiB/s | 38.6 KiB | 00m00s [ 81/154] build-reproducibility-srpm-ma 100% | 699.6 KiB/s | 9.1 KiB | 00m00s [ 82/154] curl-0:8.8.0-1.fc41.aarch64 100% | 14.8 MiB/s | 302.2 KiB | 00m00s [ 83/154] add-determinism-nopython-0:0. 100% | 44.4 MiB/s | 817.5 KiB | 00m00s [ 84/154] qt5-srpm-macros-0:5.15.14-1.f 100% | 681.6 KiB/s | 8.9 KiB | 00m00s [ 85/154] forge-srpm-macros-0:0.3.1-1.f 100% | 970.2 KiB/s | 19.4 KiB | 00m00s [ 86/154] qt6-srpm-macros-0:6.7.1-1.fc4 100% | 467.2 KiB/s | 8.9 KiB | 00m00s [ 87/154] ansible-srpm-macros-0:1-15.fc 100% | 1.6 MiB/s | 20.9 KiB | 00m00s [ 88/154] rust-srpm-macros-0:26.3-1.fc4 100% | 694.7 KiB/s | 12.5 KiB | 00m00s [ 89/154] dwz-0:0.15-6.fc40.aarch64 100% | 9.5 MiB/s | 136.6 KiB | 00m00s [ 90/154] efi-srpm-macros-0:5-11.fc40.n 100% | 1.2 MiB/s | 22.3 KiB | 00m00s [ 91/154] fonts-srpm-macros-1:2.0.5-15. 100% | 1.4 MiB/s | 26.7 KiB | 00m00s [ 92/154] fpc-srpm-macros-0:1.3-12.fc40 100% | 602.5 KiB/s | 7.8 KiB | 00m00s [ 93/154] gnat-srpm-macros-0:6-5.fc40.n 100% | 678.7 KiB/s | 8.8 KiB | 00m00s [ 94/154] ghc-srpm-macros-0:1.9.1-1.fc4 100% | 498.3 KiB/s | 9.0 KiB | 00m00s [ 95/154] go-srpm-macros-0:3.6.0-1.fc41 100% | 1.5 MiB/s | 27.9 KiB | 00m00s [ 96/154] lua-srpm-macros-0:1-13.fc40.n 100% | 671.6 KiB/s | 8.7 KiB | 00m00s [ 97/154] kernel-srpm-macros-0:1.0-23.f 100% | 488.2 KiB/s | 9.8 KiB | 00m00s [ 98/154] ocaml-srpm-macros-0:10-2.fc41 100% | 506.1 KiB/s | 9.1 KiB | 00m00s [ 99/154] openblas-srpm-macros-0:2-17.f 100% | 547.2 KiB/s | 7.7 KiB | 00m00s [100/154] package-notes-srpm-macros-0:0 100% | 552.5 KiB/s | 9.9 KiB | 00m00s [101/154] perl-srpm-macros-0:1-53.fc40. 100% | 523.9 KiB/s | 8.4 KiB | 00m00s [102/154] pyproject-srpm-macros-0:1.12. 100% | 645.3 KiB/s | 12.9 KiB | 00m00s [103/154] zig-srpm-macros-0:1-2.fc40.no 100% | 618.4 KiB/s | 8.0 KiB | 00m00s [104/154] python-srpm-macros-0:3.12-9.f 100% | 1.3 MiB/s | 24.0 KiB | 00m00s [105/154] zip-0:3.0-40.fc40.aarch64 100% | 13.5 MiB/s | 263.3 KiB | 00m00s [106/154] gdbm-1:1.23-6.fc40.aarch64 100% | 10.4 MiB/s | 148.9 KiB | 00m00s [107/154] ed-0:1.20.2-1.fc41.aarch64 100% | 4.2 MiB/s | 81.3 KiB | 00m00s [108/154] gdbm-libs-1:1.23-6.fc40.aarch 100% | 4.2 MiB/s | 56.5 KiB | 00m00s [109/154] libnsl2-0:2.0.1-1.fc40.aarch6 100% | 1.5 MiB/s | 29.9 KiB | 00m00s [110/154] libpwquality-0:1.4.5-9.fc40.a 100% | 5.6 MiB/s | 108.9 KiB | 00m00s [111/154] libtirpc-0:1.3.4-1.rc3.fc41.a 100% | 6.6 MiB/s | 94.1 KiB | 00m00s [112/154] crypto-policies-0:20240521-1. 100% | 4.2 MiB/s | 64.7 KiB | 00m00s [113/154] ca-certificates-0:2023.2.62_v 100% | 35.1 MiB/s | 862.1 KiB | 00m00s [114/154] openssl-libs-1:3.2.2-1.fc41.a 100% | 70.3 MiB/s | 2.2 MiB | 00m00s [115/154] ncurses-base-0:6.4-12.2024012 100% | 4.2 MiB/s | 63.8 KiB | 00m00s [116/154] libcom_err-0:1.47.0-5.fc40.aa 100% | 1.9 MiB/s | 25.5 KiB | 00m00s [117/154] krb5-libs-0:1.21.2-5.fc40.aar 100% | 32.6 MiB/s | 767.9 KiB | 00m00s [118/154] libsepol-0:3.6-3.fc40.aarch64 100% | 15.2 MiB/s | 326.7 KiB | 00m00s [119/154] pcre2-syntax-0:10.43-2.fc41.1 100% | 11.2 MiB/s | 148.9 KiB | 00m00s [120/154] pcre2-0:10.43-2.fc41.1.aarch6 100% | 10.5 MiB/s | 226.4 KiB | 00m00s [121/154] cracklib-0:2.9.11-5.fc40.aarc 100% | 4.3 MiB/s | 83.0 KiB | 00m00s [122/154] lz4-libs-0:1.9.4-6.fc40.aarch 100% | 4.7 MiB/s | 67.6 KiB | 00m00s [123/154] libxml2-0:2.12.7-1.fc41.aarch 100% | 30.5 MiB/s | 686.3 KiB | 00m00s [124/154] keyutils-libs-0:1.6.3-3.fc40. 100% | 1.7 MiB/s | 31.6 KiB | 00m00s [125/154] libverto-0:0.3.2-8.fc40.aarch 100% | 1.4 MiB/s | 20.7 KiB | 00m00s [126/154] basesystem-0:11-20.fc40.noarc 100% | 399.4 KiB/s | 7.2 KiB | 00m00s [127/154] mpfr-0:4.2.1-4.fc41.aarch64 100% | 19.8 MiB/s | 324.7 KiB | 00m00s [128/154] filesystem-0:3.18-9.fc41.aarc 100% | 41.1 MiB/s | 1.1 MiB | 00m00s [129/154] elfutils-default-yama-scope-0 100% | 1.0 MiB/s | 13.3 KiB | 00m00s [130/154] gmp-1:6.3.0-1.fc41.aarch64 100% | 13.2 MiB/s | 270.0 KiB | 00m00s [131/154] libstdc++-0:14.1.1-5.fc41.aar 100% | 47.5 MiB/s | 827.6 KiB | 00m00s [132/154] p11-kit-0:0.25.3-4.fc40.aarch 100% | 22.6 MiB/s | 485.5 KiB | 00m00s [133/154] p11-kit-trust-0:0.25.3-4.fc40 100% | 7.3 MiB/s | 141.8 KiB | 00m00s [134/154] alternatives-0:1.27-1.fc41.aa 100% | 3.0 MiB/s | 39.8 KiB | 00m00s [135/154] libtasn1-0:4.19.0-6.fc40.aarc 100% | 5.5 MiB/s | 73.1 KiB | 00m00s [136/154] jansson-0:2.13.1-9.fc40.aarch 100% | 2.5 MiB/s | 45.8 KiB | 00m00s [137/154] libffi-0:3.4.6-1.fc41.aarch64 100% | 2.0 MiB/s | 38.2 KiB | 00m00s [138/154] fedora-release-0:41-0.13.noar 100% | 595.9 KiB/s | 11.3 KiB | 00m00s [139/154] fedora-release-identity-basic 100% | 807.4 KiB/s | 12.1 KiB | 00m00s [140/154] libcurl-0:8.8.0-1.fc41.aarch6 100% | 17.3 MiB/s | 353.6 KiB | 00m00s [141/154] libnghttp2-0:1.62.0-1.fc41.aa 100% | 5.4 MiB/s | 77.1 KiB | 00m00s [142/154] libbrotli-0:1.1.0-3.fc40.aarc 100% | 24.1 MiB/s | 345.7 KiB | 00m00s [143/154] xxhash-libs-0:0.8.2-2.fc40.aa 100% | 1.9 MiB/s | 34.3 KiB | 00m00s [144/154] libpsl-0:0.21.5-3.fc40.aarch6 100% | 4.8 MiB/s | 64.2 KiB | 00m00s [145/154] libidn2-0:2.3.7-1.fc40.aarch6 100% | 5.8 MiB/s | 112.8 KiB | 00m00s [146/154] libssh-config-0:0.10.6-6.fc41 100% | 652.6 KiB/s | 9.1 KiB | 00m00s [147/154] libssh-0:0.10.6-6.fc41.aarch6 100% | 10.4 MiB/s | 214.0 KiB | 00m00s [148/154] openldap-0:2.6.8-1.fc41.aarch 100% | 12.3 MiB/s | 252.7 KiB | 00m00s [149/154] cyrus-sasl-lib-0:2.1.28-22.fc 100% | 42.6 MiB/s | 785.8 KiB | 00m00s [150/154] libtool-ltdl-0:2.4.7-11.fc41. 100% | 2.7 MiB/s | 35.6 KiB | 00m00s [151/154] libevent-0:2.1.12-13.fc41.aar 100% | 11.9 MiB/s | 255.3 KiB | 00m00s [152/154] gdb-minimal-0:14.2-11.fc41.aa 100% | 28.0 MiB/s | 4.1 MiB | 00m00s [153/154] publicsuffix-list-dafsa-0:202 100% | 3.8 MiB/s | 58.1 KiB | 00m00s [154/154] libunistring-0:1.1-7.fc41.aar 100% | 23.1 MiB/s | 543.6 KiB | 00m00s -------------------------------------------------------------------------------- [154/154] Total 100% | 46.6 MiB/s | 52.4 MiB | 00m01s Running transaction [ 1/156] Verify package files 100% | 726.0 B/s | 154.0 B | 00m00s >>> Running pre-transaction scriptlet: filesystem-0:3.18-9.fc41.aarch64 >>> Stop pre-transaction scriptlet: filesystem-0:3.18-9.fc41.aarch64 [ 2/156] Prepare transaction 100% | 2.5 KiB/s | 154.0 B | 00m00s [ 3/156] Installing libgcc-0:14.1.1-5. 100% | 171.8 MiB/s | 351.9 KiB | 00m00s >>> Running post-install scriptlet: libgcc-0:14.1.1-5.fc41.aarch64 >>> Stop post-install scriptlet: libgcc-0:14.1.1-5.fc41.aarch64 [ 4/156] Installing crypto-policies-0: 100% | 20.5 MiB/s | 147.0 KiB | 00m00s >>> Running post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41 >>> Stop post-install scriptlet: crypto-policies-0:20240521-1.gitf71d135.fc41.no [ 5/156] Installing fedora-release-ide 100% | 0.0 B/s | 952.0 B | 00m00s [ 6/156] Installing fedora-repos-rawhi 100% | 0.0 B/s | 2.4 KiB | 00m00s [ 7/156] Installing fedora-gpg-keys-0: 100% | 27.6 MiB/s | 169.7 KiB | 00m00s [ 8/156] Installing fedora-repos-0:41- 100% | 0.0 B/s | 5.7 KiB | 00m00s [ 9/156] Installing fedora-release-com 100% | 22.9 MiB/s | 23.5 KiB | 00m00s [ 10/156] Installing fedora-release-0:4 100% | 0.0 B/s | 124.0 B | 00m00s [ 11/156] Installing setup-0:2.15.0-4.f 100% | 47.3 MiB/s | 726.1 KiB | 00m00s >>> Running post-install scriptlet: setup-0:2.15.0-4.fc41.noarch >>> Stop post-install scriptlet: setup-0:2.15.0-4.fc41.noarch [ 12/156] Installing filesystem-0:3.18- 100% | 2.2 MiB/s | 212.5 KiB | 00m00s [ 13/156] Installing basesystem-0:11-20 100% | 0.0 B/s | 124.0 B | 00m00s [ 14/156] Installing publicsuffix-list- 100% | 66.7 MiB/s | 68.3 KiB | 00m00s [ 15/156] Installing libssh-config-0:0. 100% | 0.0 B/s | 816.0 B | 00m00s [ 16/156] Installing pcre2-syntax-0:10. 100% | 122.8 MiB/s | 251.5 KiB | 00m00s [ 17/156] Installing ncurses-base-0:6.4 100% | 49.1 MiB/s | 351.6 KiB | 00m00s [ 18/156] Installing glibc-minimal-lang 100% | 0.0 B/s | 124.0 B | 00m00s [ 19/156] Installing ncurses-libs-0:6.4 100% | 280.9 MiB/s | 2.2 MiB | 00m00s >>> Running pre-install scriptlet: glibc-0:2.39.9000-18.fc41.aarch64 >>> Stop pre-install scriptlet: glibc-0:2.39.9000-18.fc41.aarch64 [ 20/156] Installing glibc-0:2.39.9000- 100% | 234.2 MiB/s | 9.8 MiB | 00m00s >>> Running post-install scriptlet: glibc-0:2.39.9000-18.fc41.aarch64 >>> Stop post-install scriptlet: glibc-0:2.39.9000-18.fc41.aarch64 [ 21/156] Installing bash-0:5.2.26-3.fc 100% | 277.1 MiB/s | 8.3 MiB | 00m00s >>> Running post-install scriptlet: bash-0:5.2.26-3.fc40.aarch64 >>> Stop post-install scriptlet: bash-0:5.2.26-3.fc40.aarch64 [ 22/156] Installing glibc-common-0:2.3 100% | 256.9 MiB/s | 2.6 MiB | 00m00s [ 23/156] Installing glibc-gconv-extra- 100% | 516.3 MiB/s | 49.0 MiB | 00m00s >>> Running post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.aarch6 >>> Stop post-install scriptlet: glibc-gconv-extra-0:2.39.9000-18.fc41.aarch64 [ 24/156] Installing zlib-ng-compat-0:2 100% | 128.2 MiB/s | 262.5 KiB | 00m00s [ 25/156] Installing bzip2-libs-0:1.0.8 100% | 197.0 MiB/s | 201.8 KiB | 00m00s [ 26/156] Installing xz-libs-1:5.4.6-3. 100% | 260.5 MiB/s | 266.8 KiB | 00m00s [ 27/156] Installing libuuid-0:2.40.1-1 100% | 193.7 MiB/s | 198.4 KiB | 00m00s [ 28/156] Installing readline-0:8.2-8.f 100% | 225.0 MiB/s | 691.2 KiB | 00m00s [ 29/156] Installing libzstd-0:1.5.6-1. 100% | 259.5 MiB/s | 797.2 KiB | 00m00s [ 30/156] Installing elfutils-libelf-0: 100% | 262.8 MiB/s | 1.3 MiB | 00m00s [ 31/156] Installing popt-0:1.19-6.fc40 100% | 68.2 MiB/s | 279.4 KiB | 00m00s [ 32/156] Installing libblkid-0:2.40.1- 100% | 204.9 MiB/s | 419.6 KiB | 00m00s [ 33/156] Installing libxcrypt-0:4.4.36 100% | 196.1 MiB/s | 401.6 KiB | 00m00s [ 34/156] Installing libattr-0:2.5.2-3. 100% | 192.8 MiB/s | 197.4 KiB | 00m00s [ 35/156] Installing libacl-0:2.3.2-1.f 100% | 192.2 MiB/s | 196.8 KiB | 00m00s [ 36/156] Installing gmp-1:6.3.0-1.fc41 100% | 235.7 MiB/s | 724.2 KiB | 00m00s [ 37/156] Installing libstdc++-0:14.1.1 100% | 277.0 MiB/s | 2.8 MiB | 00m00s [ 38/156] Installing libeconf-0:0.6.2-2 100% | 202.8 MiB/s | 207.6 KiB | 00m00s [ 39/156] Installing gdbm-libs-1:1.23-6 100% | 208.7 MiB/s | 427.5 KiB | 00m00s [ 40/156] Installing mpfr-0:4.2.1-4.fc4 100% | 200.3 MiB/s | 820.4 KiB | 00m00s [ 41/156] Installing gawk-0:5.3.0-3.fc4 100% | 355.2 MiB/s | 4.3 MiB | 00m00s [ 42/156] Installing dwz-0:0.15-6.fc40. 100% | 189.5 MiB/s | 388.1 KiB | 00m00s [ 43/156] Installing unzip-0:6.0-63.fc4 100% | 237.6 MiB/s | 729.8 KiB | 00m00s [ 44/156] Installing file-libs-0:5.45-5 100% | 527.6 MiB/s | 10.0 MiB | 00m00s [ 45/156] Installing file-0:5.45-5.fc41 100% | 262.6 MiB/s | 268.9 KiB | 00m00s [ 46/156] Installing libsmartcols-0:2.4 100% | 282.6 MiB/s | 289.4 KiB | 00m00s [ 47/156] Installing libcap-ng-0:0.8.5- 100% | 204.5 MiB/s | 418.9 KiB | 00m00s [ 48/156] Installing audit-libs-0:4.0.1 100% | 268.2 MiB/s | 549.4 KiB | 00m00s [ 49/156] Installing pam-libs-0:1.6.1-3 100% | 297.4 MiB/s | 609.1 KiB | 00m00s [ 50/156] Installing libcap-0:2.70-1.fc 100% | 343.9 MiB/s | 1.4 MiB | 00m00s [ 51/156] Installing systemd-libs-0:256 100% | 287.0 MiB/s | 2.6 MiB | 00m00s [ 52/156] Installing lua-libs-0:5.4.6-5 100% | 192.5 MiB/s | 394.2 KiB | 00m00s [ 53/156] Installing libcom_err-0:1.47. 100% | 234.7 MiB/s | 240.3 KiB | 00m00s [ 54/156] Installing libsepol-0:3.6-3.f 100% | 284.8 MiB/s | 874.9 KiB | 00m00s [ 55/156] Installing pcre2-0:10.43-2.fc 100% | 295.2 MiB/s | 906.7 KiB | 00m00s [ 56/156] Installing libselinux-0:3.6-4 100% | 130.0 MiB/s | 266.3 KiB | 00m00s [ 57/156] Installing sed-0:4.9-1.fc40.a 100% | 164.3 MiB/s | 1.0 MiB | 00m00s [ 58/156] Installing grep-0:3.11-8.fc41 100% | 137.1 MiB/s | 1.1 MiB | 00m00s [ 59/156] Installing findutils-1:4.10.0 100% | 210.8 MiB/s | 2.1 MiB | 00m00s [ 60/156] Installing xz-1:5.4.6-3.fc41. 100% | 190.3 MiB/s | 2.3 MiB | 00m00s [ 61/156] Installing libmount-0:2.40.1- 100% | 236.7 MiB/s | 484.8 KiB | 00m00s [ 62/156] Installing lz4-libs-0:1.9.4-6 100% | 256.3 MiB/s | 262.5 KiB | 00m00s [ 63/156] Installing alternatives-0:1.2 100% | 107.4 MiB/s | 219.9 KiB | 00m00s [ 64/156] Installing libtasn1-0:4.19.0- 100% | 139.4 MiB/s | 285.5 KiB | 00m00s [ 65/156] Installing libunistring-0:1.1 100% | 311.9 MiB/s | 1.9 MiB | 00m00s [ 66/156] Installing libidn2-0:2.3.7-1. 100% | 113.0 MiB/s | 463.0 KiB | 00m00s [ 67/156] Installing libpsl-0:0.21.5-3. 100% | 193.0 MiB/s | 197.6 KiB | 00m00s [ 68/156] Installing zstd-0:1.5.6-1.fc4 100% | 261.0 MiB/s | 1.6 MiB | 00m00s [ 69/156] Installing util-linux-core-0: 100% | 387.5 MiB/s | 6.2 MiB | 00m00s [ 70/156] Installing tar-2:1.35-3.fc40. 100% | 255.6 MiB/s | 3.1 MiB | 00m00s [ 71/156] Installing libsemanage-0:3.6- 100% | 118.2 MiB/s | 363.2 KiB | 00m00s [ 72/156] Installing shadow-utils-2:4.1 100% | 163.9 MiB/s | 7.4 MiB | 00m00s >>> Running pre-install scriptlet: libutempter-0:1.2.1-13.fc40.aarch64 >>> Stop pre-install scriptlet: libutempter-0:1.2.1-13.fc40.aarch64 [ 73/156] Installing libutempter-0:1.2. 100% | 204.9 MiB/s | 419.6 KiB | 00m00s [ 74/156] Installing zip-0:3.0-40.fc40. 100% | 224.8 MiB/s | 1.1 MiB | 00m00s [ 75/156] Installing gdbm-1:1.23-6.fc40 100% | 227.8 MiB/s | 933.2 KiB | 00m00s [ 76/156] Installing cyrus-sasl-lib-0:2 100% | 282.4 MiB/s | 3.1 MiB | 00m00s [ 77/156] Installing libfdisk-0:2.40.1- 100% | 236.2 MiB/s | 483.8 KiB | 00m00s [ 78/156] Installing add-determinism-no 100% | 285.0 MiB/s | 2.3 MiB | 00m00s [ 79/156] Installing build-reproducibil 100% | 0.0 B/s | 1.0 KiB | 00m00s [ 80/156] Installing libxml2-0:2.12.7-1 100% | 275.5 MiB/s | 2.2 MiB | 00m00s [ 81/156] Installing bzip2-0:1.0.8-18.f 100% | 210.9 MiB/s | 432.0 KiB | 00m00s [ 82/156] Installing sqlite-libs-0:3.46 100% | 270.2 MiB/s | 1.6 MiB | 00m00s [ 83/156] Installing ed-0:1.20.2-1.fc41 100% | 139.2 MiB/s | 285.0 KiB | 00m00s [ 84/156] Installing patch-0:2.7.6-24.f 100% | 191.4 MiB/s | 392.0 KiB | 00m00s [ 85/156] Installing elfutils-default-y 100% | 255.4 KiB/s | 2.0 KiB | 00m00s >>> Running post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.n >>> Stop post-install scriptlet: elfutils-default-yama-scope-0:0.191-7.fc41.noar [ 86/156] Installing cpio-0:2.15-1.fc40 100% | 152.6 MiB/s | 1.2 MiB | 00m00s [ 87/156] Installing diffutils-0:3.10-5 100% | 234.3 MiB/s | 2.1 MiB | 00m00s [ 88/156] Installing libgomp-0:14.1.1-5 100% | 277.7 MiB/s | 568.7 KiB | 00m00s [ 89/156] Installing libpkgconf-0:2.1.1 100% | 194.5 MiB/s | 199.2 KiB | 00m00s [ 90/156] Installing pkgconf-0:2.1.1-1. 100% | 117.8 MiB/s | 241.2 KiB | 00m00s [ 91/156] Installing keyutils-libs-0:1. 100% | 222.4 MiB/s | 227.8 KiB | 00m00s [ 92/156] Installing libverto-0:0.3.2-8 100% | 194.6 MiB/s | 199.2 KiB | 00m00s [ 93/156] Installing jansson-0:2.13.1-9 100% | 216.5 MiB/s | 221.7 KiB | 00m00s [ 94/156] Installing libffi-0:3.4.6-1.f 100% | 138.5 MiB/s | 283.7 KiB | 00m00s [ 95/156] Installing p11-kit-0:0.25.3-4 100% | 202.3 MiB/s | 2.8 MiB | 00m00s [ 96/156] Installing p11-kit-trust-0:0. 100% | 53.5 MiB/s | 657.2 KiB | 00m00s >>> Running post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.aarch64 >>> Stop post-install scriptlet: p11-kit-trust-0:0.25.3-4.fc40.aarch64 [ 97/156] Installing libnghttp2-0:1.62. 100% | 128.5 MiB/s | 263.2 KiB | 00m00s [ 98/156] Installing xxhash-libs-0:0.8. 100% | 208.6 MiB/s | 213.6 KiB | 00m00s [ 99/156] Installing libbrotli-0:1.1.0- 100% | 228.1 MiB/s | 1.1 MiB | 00m00s [100/156] Installing libtool-ltdl-0:2.4 100% | 218.0 MiB/s | 223.3 KiB | 00m00s [101/156] Installing perl-srpm-macros-0 100% | 0.0 B/s | 1.1 KiB | 00m00s [102/156] Installing package-notes-srpm 100% | 0.0 B/s | 2.0 KiB | 00m00s [103/156] Installing openblas-srpm-macr 100% | 0.0 B/s | 392.0 B | 00m00s [104/156] Installing ocaml-srpm-macros- 100% | 0.0 B/s | 2.2 KiB | 00m00s [105/156] Installing kernel-srpm-macros 100% | 0.0 B/s | 2.3 KiB | 00m00s [106/156] Installing gnat-srpm-macros-0 100% | 0.0 B/s | 1.3 KiB | 00m00s [107/156] Installing ghc-srpm-macros-0: 100% | 0.0 B/s | 1.0 KiB | 00m00s [108/156] Installing fpc-srpm-macros-0: 100% | 0.0 B/s | 420.0 B | 00m00s [109/156] Installing ansible-srpm-macro 100% | 0.0 B/s | 36.2 KiB | 00m00s [110/156] Installing rust-srpm-macros-0 100% | 0.0 B/s | 5.6 KiB | 00m00s [111/156] Installing qt6-srpm-macros-0: 100% | 0.0 B/s | 732.0 B | 00m00s [112/156] Installing qt5-srpm-macros-0: 100% | 0.0 B/s | 776.0 B | 00m00s [113/156] Installing pkgconf-m4-0:2.1.1 100% | 0.0 B/s | 14.3 KiB | 00m00s [114/156] Installing pkgconf-pkg-config 100% | 1.7 MiB/s | 1.8 KiB | 00m00s [115/156] Installing coreutils-common-0 100% | 266.4 MiB/s | 11.2 MiB | 00m00s [116/156] Installing openssl-libs-1:3.2 100% | 310.9 MiB/s | 7.8 MiB | 00m00s [117/156] Installing coreutils-0:9.5-2. 100% | 424.3 MiB/s | 20.8 MiB | 00m00s >>> Running pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.n >>> Stop pre-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noar [118/156] Installing ca-certificates-0: 100% | 2.3 MiB/s | 2.3 MiB | 00m01s >>> Running post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40. >>> Stop post-install scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40.noa [119/156] Installing krb5-libs-0:1.21.2 100% | 243.3 MiB/s | 3.4 MiB | 00m00s [120/156] Installing libarchive-0:3.7.4 100% | 254.0 MiB/s | 1.0 MiB | 00m00s [121/156] Installing libtirpc-0:1.3.4-1 100% | 135.0 MiB/s | 276.4 KiB | 00m00s [122/156] Installing gzip-0:1.13-1.fc40 100% | 160.9 MiB/s | 494.3 KiB | 00m00s [123/156] Installing authselect-libs-0: 100% | 115.6 MiB/s | 946.7 KiB | 00m00s [124/156] Installing authselect-0:1.5.0 100% | 102.1 MiB/s | 313.8 KiB | 00m00s [125/156] Installing cracklib-0:2.9.11- 100% | 132.0 MiB/s | 946.0 KiB | 00m00s [126/156] Installing libpwquality-0:1.4 100% | 138.4 MiB/s | 1.1 MiB | 00m00s [127/156] Installing libnsl2-0:2.0.1-1. 100% | 108.9 MiB/s | 223.0 KiB | 00m00s [128/156] Installing pam-0:1.6.1-3.fc41 100% | 344.8 MiB/s | 11.0 MiB | 00m00s [129/156] Installing libssh-0:0.10.6-6. 100% | 189.9 MiB/s | 583.3 KiB | 00m00s [130/156] Installing rpm-sequoia-0:1.6. 100% | 263.1 MiB/s | 2.1 MiB | 00m00s [131/156] Installing rpm-libs-0:4.19.91 100% | 210.8 MiB/s | 863.3 KiB | 00m00s [132/156] Installing libevent-0:2.1.12- 100% | 304.6 MiB/s | 1.5 MiB | 00m00s [133/156] Installing openldap-0:2.6.8-1 100% | 249.0 MiB/s | 1.0 MiB | 00m00s [134/156] Installing libcurl-0:8.8.0-1. 100% | 225.3 MiB/s | 922.6 KiB | 00m00s [135/156] Installing elfutils-libs-0:0. 100% | 245.1 MiB/s | 1.0 MiB | 00m00s [136/156] Installing elfutils-debuginfo 100% | 194.7 MiB/s | 398.7 KiB | 00m00s [137/156] Installing elfutils-0:0.191-7 100% | 385.8 MiB/s | 5.0 MiB | 00m00s [138/156] Installing binutils-0:2.42.50 100% | 315.8 MiB/s | 33.2 MiB | 00m00s >>> Running post-install scriptlet: binutils-0:2.42.50-14.fc41.aarch64 >>> Stop post-install scriptlet: binutils-0:2.42.50-14.fc41.aarch64 [139/156] Installing gdb-minimal-0:14.2 100% | 276.5 MiB/s | 12.7 MiB | 00m00s [140/156] Installing debugedit-0:5.0-16 100% | 245.0 MiB/s | 501.8 KiB | 00m00s [141/156] Installing rpm-build-libs-0:4 100% | 128.6 MiB/s | 263.5 KiB | 00m00s [142/156] Installing curl-0:8.8.0-1.fc4 100% | 56.9 MiB/s | 874.2 KiB | 00m00s >>> Running pre-install scriptlet: rpm-0:4.19.91-10.fc41.aarch64 >>> Stop pre-install scriptlet: rpm-0:4.19.91-10.fc41.aarch64 [143/156] Installing rpm-0:4.19.91-10.f 100% | 134.0 MiB/s | 3.3 MiB | 00m00s [144/156] Installing efi-srpm-macros-0: 100% | 40.2 MiB/s | 41.2 KiB | 00m00s [145/156] Installing lua-srpm-macros-0: 100% | 0.0 B/s | 1.9 KiB | 00m00s [146/156] Installing zig-srpm-macros-0: 100% | 0.0 B/s | 1.7 KiB | 00m00s [147/156] Installing forge-srpm-macros- 100% | 39.4 MiB/s | 40.3 KiB | 00m00s [148/156] Installing fonts-srpm-macros- 100% | 55.1 MiB/s | 56.5 KiB | 00m00s [149/156] Installing go-srpm-macros-0:3 100% | 60.5 MiB/s | 62.0 KiB | 00m00s [150/156] Installing python-srpm-macros 100% | 50.5 MiB/s | 51.7 KiB | 00m00s [151/156] Installing redhat-rpm-config- 100% | 61.9 MiB/s | 190.1 KiB | 00m00s [152/156] Installing rpm-build-0:4.19.9 100% | 279.3 MiB/s | 1.4 MiB | 00m00s [153/156] Installing pyproject-srpm-mac 100% | 2.0 MiB/s | 2.1 KiB | 00m00s [154/156] Installing util-linux-0:2.40. 100% | 337.1 MiB/s | 17.5 MiB | 00m00s >>> Running post-install scriptlet: util-linux-0:2.40.1-1.fc41.aarch64 >>> Stop post-install scriptlet: util-linux-0:2.40.1-1.fc41.aarch64 [155/156] Installing which-0:2.21-41.fc 100% | 122.2 MiB/s | 250.3 KiB | 00m00s [156/156] Installing info-0:7.1-2.fc40. 100% | 474.4 KiB/s | 613.9 KiB | 00m01s >>> Running post-transaction scriptlet: filesystem-0:3.18-9.fc41.aarch64 >>> Stop post-transaction scriptlet: filesystem-0:3.18-9.fc41.aarch64 >>> Running post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.f >>> Stop post-transaction scriptlet: ca-certificates-0:2023.2.62_v7.0.401-6.fc40 >>> Running post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.aarch64 >>> Stop post-transaction scriptlet: authselect-libs-0:1.5.0-5.fc41.aarch64 >>> Running post-transaction scriptlet: rpm-0:4.19.91-10.fc41.aarch64 >>> Stop post-transaction scriptlet: rpm-0:4.19.91-10.fc41.aarch64 >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.aarch64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.aarch64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 Warning: skipped PGP checks for 154 packages from repositories: copr_base, http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish: installing minimal buildroot with dnf5 Start: creating root cache Finish: creating root cache Finish: chroot init INFO: Installed packages: INFO: add-determinism-nopython-0.2.0-9.fc41.aarch64 alternatives-1.27-1.fc41.aarch64 ansible-srpm-macros-1-15.fc41.noarch audit-libs-4.0.1-2.fc41.aarch64 authselect-1.5.0-5.fc41.aarch64 authselect-libs-1.5.0-5.fc41.aarch64 basesystem-11-20.fc40.noarch bash-5.2.26-3.fc40.aarch64 binutils-2.42.50-14.fc41.aarch64 build-reproducibility-srpm-macros-0.2.0-9.fc41.noarch bzip2-1.0.8-18.fc40.aarch64 bzip2-libs-1.0.8-18.fc40.aarch64 ca-certificates-2023.2.62_v7.0.401-6.fc40.noarch coreutils-9.5-2.fc41.aarch64 coreutils-common-9.5-2.fc41.aarch64 cpio-2.15-1.fc40.aarch64 cracklib-2.9.11-5.fc40.aarch64 crypto-policies-20240521-1.gitf71d135.fc41.noarch curl-8.8.0-1.fc41.aarch64 cyrus-sasl-lib-2.1.28-22.fc41.aarch64 debugedit-5.0-16.fc41.aarch64 diffutils-3.10-5.fc40.aarch64 dwz-0.15-6.fc40.aarch64 ed-1.20.2-1.fc41.aarch64 efi-srpm-macros-5-11.fc40.noarch elfutils-0.191-7.fc41.aarch64 elfutils-debuginfod-client-0.191-7.fc41.aarch64 elfutils-default-yama-scope-0.191-7.fc41.noarch elfutils-libelf-0.191-7.fc41.aarch64 elfutils-libs-0.191-7.fc41.aarch64 fedora-gpg-keys-41-0.2.noarch fedora-release-41-0.13.noarch fedora-release-common-41-0.13.noarch fedora-release-identity-basic-41-0.13.noarch fedora-repos-41-0.2.noarch fedora-repos-rawhide-41-0.2.noarch file-5.45-5.fc41.aarch64 file-libs-5.45-5.fc41.aarch64 filesystem-3.18-9.fc41.aarch64 findutils-4.10.0-2.fc41.aarch64 fonts-srpm-macros-2.0.5-15.fc41.noarch forge-srpm-macros-0.3.1-1.fc41.noarch fpc-srpm-macros-1.3-12.fc40.noarch gawk-5.3.0-3.fc40.aarch64 gdb-minimal-14.2-11.fc41.aarch64 gdbm-1.23-6.fc40.aarch64 gdbm-libs-1.23-6.fc40.aarch64 ghc-srpm-macros-1.9.1-1.fc41.noarch glibc-2.39.9000-18.fc41.aarch64 glibc-common-2.39.9000-18.fc41.aarch64 glibc-gconv-extra-2.39.9000-18.fc41.aarch64 glibc-minimal-langpack-2.39.9000-18.fc41.aarch64 gmp-6.3.0-1.fc41.aarch64 gnat-srpm-macros-6-5.fc40.noarch go-srpm-macros-3.6.0-1.fc41.noarch grep-3.11-8.fc41.aarch64 gzip-1.13-1.fc40.aarch64 info-7.1-2.fc40.aarch64 jansson-2.13.1-9.fc40.aarch64 kernel-srpm-macros-1.0-23.fc41.noarch keyutils-libs-1.6.3-3.fc40.aarch64 krb5-libs-1.21.2-5.fc40.aarch64 libacl-2.3.2-1.fc40.aarch64 libarchive-3.7.4-1.fc41.aarch64 libattr-2.5.2-3.fc40.aarch64 libblkid-2.40.1-1.fc41.aarch64 libbrotli-1.1.0-3.fc40.aarch64 libcap-2.70-1.fc41.aarch64 libcap-ng-0.8.5-1.fc41.aarch64 libcom_err-1.47.0-5.fc40.aarch64 libcurl-8.8.0-1.fc41.aarch64 libeconf-0.6.2-2.fc41.aarch64 libevent-2.1.12-13.fc41.aarch64 libfdisk-2.40.1-1.fc41.aarch64 libffi-3.4.6-1.fc41.aarch64 libgcc-14.1.1-5.fc41.aarch64 libgomp-14.1.1-5.fc41.aarch64 libidn2-2.3.7-1.fc40.aarch64 libmount-2.40.1-1.fc41.aarch64 libnghttp2-1.62.0-1.fc41.aarch64 libnsl2-2.0.1-1.fc40.aarch64 libpkgconf-2.1.1-1.fc41.aarch64 libpsl-0.21.5-3.fc40.aarch64 libpwquality-1.4.5-9.fc40.aarch64 libselinux-3.6-4.fc40.aarch64 libsemanage-3.6-3.fc40.aarch64 libsepol-3.6-3.fc40.aarch64 libsmartcols-2.40.1-1.fc41.aarch64 libssh-0.10.6-6.fc41.aarch64 libssh-config-0.10.6-6.fc41.noarch libstdc++-14.1.1-5.fc41.aarch64 libtasn1-4.19.0-6.fc40.aarch64 libtirpc-1.3.4-1.rc3.fc41.aarch64 libtool-ltdl-2.4.7-11.fc41.aarch64 libunistring-1.1-7.fc41.aarch64 libutempter-1.2.1-13.fc40.aarch64 libuuid-2.40.1-1.fc41.aarch64 libverto-0.3.2-8.fc40.aarch64 libxcrypt-4.4.36-5.fc40.aarch64 libxml2-2.12.7-1.fc41.aarch64 libzstd-1.5.6-1.fc41.aarch64 lua-libs-5.4.6-5.fc40.aarch64 lua-srpm-macros-1-13.fc40.noarch lz4-libs-1.9.4-6.fc40.aarch64 mpfr-4.2.1-4.fc41.aarch64 ncurses-base-6.4-12.20240127.fc40.noarch ncurses-libs-6.4-12.20240127.fc40.aarch64 ocaml-srpm-macros-10-2.fc41.noarch openblas-srpm-macros-2-17.fc41.noarch openldap-2.6.8-1.fc41.aarch64 openssl-libs-3.2.2-1.fc41.aarch64 p11-kit-0.25.3-4.fc40.aarch64 p11-kit-trust-0.25.3-4.fc40.aarch64 package-notes-srpm-macros-0.5-11.fc40.noarch pam-1.6.1-3.fc41.aarch64 pam-libs-1.6.1-3.fc41.aarch64 patch-2.7.6-24.fc40.aarch64 pcre2-10.43-2.fc41.1.aarch64 pcre2-syntax-10.43-2.fc41.1.noarch perl-srpm-macros-1-53.fc40.noarch pkgconf-2.1.1-1.fc41.aarch64 pkgconf-m4-2.1.1-1.fc41.noarch pkgconf-pkg-config-2.1.1-1.fc41.aarch64 popt-1.19-6.fc40.aarch64 publicsuffix-list-dafsa-20240107-3.fc40.noarch pyproject-srpm-macros-1.12.1-1.fc41.noarch python-srpm-macros-3.12-9.fc41.noarch qt5-srpm-macros-5.15.14-1.fc41.noarch qt6-srpm-macros-6.7.1-1.fc41.noarch readline-8.2-8.fc40.aarch64 redhat-rpm-config-293-1.fc41.noarch rpm-4.19.91-10.fc41.aarch64 rpm-build-4.19.91-10.fc41.aarch64 rpm-build-libs-4.19.91-10.fc41.aarch64 rpm-libs-4.19.91-10.fc41.aarch64 rpm-sequoia-1.6.0-3.fc41.aarch64 rust-srpm-macros-26.3-1.fc41.noarch sed-4.9-1.fc40.aarch64 setup-2.15.0-4.fc41.noarch shadow-utils-4.15.1-5.fc41.aarch64 sqlite-libs-3.46.0-1.fc41.aarch64 systemd-libs-256~rc4-2.fc41.aarch64 tar-1.35-3.fc40.aarch64 unzip-6.0-63.fc40.aarch64 util-linux-2.40.1-1.fc41.aarch64 util-linux-core-2.40.1-1.fc41.aarch64 which-2.21-41.fc40.aarch64 xxhash-libs-0.8.2-2.fc40.aarch64 xz-5.4.6-3.fc41.aarch64 xz-libs-5.4.6-3.fc41.aarch64 zig-srpm-macros-1-2.fc40.noarch zip-3.0-40.fc40.aarch64 zlib-ng-compat-2.1.6-6.fc41.aarch64 zstd-1.5.6-1.fc41.aarch64 Start: buildsrpm Start: rpmbuild -bs Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1717977600 Wrote: /builddir/build/SRPMS/yosys-0.42-1.20240610git960d8e3.fc41.src.rpm Finish: rpmbuild -bs cp: preserving permissions for ‘/var/lib/copr-rpmbuild/results/chroot_scan/var/lib/mock/fedora-40-aarch64-1718053966.657039/root/var/log’: No such file or directory INFO: chroot_scan: 1 files copied to /var/lib/copr-rpmbuild/results/chroot_scan INFO: /var/lib/mock/fedora-40-aarch64-1718053966.657039/root/var/log/dnf5.log Finish: buildsrpm INFO: Done(/var/lib/copr-rpmbuild/workspace/workdir-alp2pkzo/yosys/yosys.spec) Config(child) 0 minutes 41 seconds INFO: Results and/or logs in: /var/lib/copr-rpmbuild/results INFO: Cleaning up build root ('cleanup_on_success=True') Start: clean chroot INFO: unmounting tmpfs. Finish: clean chroot INFO: Start(/var/lib/copr-rpmbuild/results/yosys-0.42-1.20240610git960d8e3.fc41.src.rpm) Config(fedora-40-aarch64) Start(bootstrap): chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1718053966.657039/root. INFO: reusing tmpfs at /var/lib/mock/fedora-40-aarch64-bootstrap-1718053966.657039/root. INFO: calling preinit hooks INFO: enabled root cache INFO: enabled package manager cache Start(bootstrap): cleaning package manager metadata Finish(bootstrap): cleaning package manager metadata Finish(bootstrap): chroot init Start: chroot init INFO: mounting tmpfs at /var/lib/mock/fedora-40-aarch64-1718053966.657039/root. INFO: calling preinit hooks INFO: enabled root cache Start: unpacking root cache Finish: unpacking root cache INFO: enabled package manager cache Start: cleaning package manager metadata Finish: cleaning package manager metadata INFO: enabled HW Info plugin INFO: Buildroot is handled by package management downloaded with a bootstrap image: rpm-4.19.1.1-1.fc40.aarch64 rpm-sequoia-1.6.0-3.fc40.aarch64 python3-dnf-4.20.0-1.fc41.noarch dnf5-5.2.3.0-1.fc41.aarch64 dnf5-plugins-5.2.3.0-1.fc41.aarch64 Finish: chroot init Start: build phase for yosys-0.42-1.20240610git960d8e3.fc41.src.rpm Start: build setup for yosys-0.42-1.20240610git960d8e3.fc41.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1717977600 Wrote: /builddir/build/SRPMS/yosys-0.42-1.20240610git960d8e3.fc41.src.rpm Updating and loading repositories: Additional repo http_kojipkgs_fedorapr 100% | 56.5 KiB/s | 4.1 KiB | 00m00s Copr repository 100% | 90.4 KiB/s | 1.5 KiB | 00m00s updates 100% | 246.6 KiB/s | 16.5 KiB | 00m00s fedora 100% | 69.2 KiB/s | 18.6 KiB | 00m00s Repositories loaded. Package "pkgconf-pkg-config-2.1.1-1.fc41.aarch64" is already installed. Package Arch Version Repository Size Installing: bison aarch64 3.8.2-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.6 MiB flex aarch64 2.6.4-16.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 945.3 KiB gcc-c++ aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.0 MiB graphviz aarch64 11.0.0-4.fc41 copr_base 25.5 MiB iverilog aarch64 12.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.4 MiB latexmk noarch 4.85-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 991.3 KiB libfaketime aarch64 0.9.10-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 912.3 KiB libffi-devel aarch64 3.4.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.9 KiB make aarch64 1:4.4.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB pdf2svg aarch64 0.2.3-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 213.4 KiB python3 aarch64 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 211.4 KiB python3-click noarch 8.1.7-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB python3-furo noarch 2024.04.27-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 347.9 KiB python3-sphinx-latex noarch 1:7.2.6-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B python3-sphinxcontrib-bibtex noarch 2.6.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 313.4 KiB readline-devel aarch64 8.2-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 553.0 KiB tcl-devel aarch64 1:8.6.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 792.3 KiB texlive-comment noarch 11:svn41927-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.3 KiB texlive-pgfplots noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.4 MiB texlive-standalone noarch 11:svn64677-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82.0 KiB txt2man noarch 1.7.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 47.1 KiB yosyshq-abc aarch64 0.41-1.20240524git237d813.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 207.3 KiB Installing dependencies: abattis-cantarell-vf-fonts noarch 0.301-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 192.7 KiB add-determinism aarch64 0.2.0-9.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB adobe-mappings-cmap noarch 20230622-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.4 MiB adobe-mappings-cmap-deprecated noarch 20230622-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 582.1 KiB adobe-mappings-pdf noarch 20190401-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.4 MiB annobin-docs noarch 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96.2 KiB annobin-plugin-gcc aarch64 12.54-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB avahi-libs aarch64 0.8-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 614.2 KiB biber noarch 2.19-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB cairo aarch64 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 MiB cairo-gobject aarch64 1.18.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.2 KiB cpp aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.8 MiB crypto-policies-scripts noarch 20240521-1.gitf71d135.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 325.4 KiB cups-libs aarch64 1:2.4.8-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 923.0 KiB dbus-libs aarch64 1:1.14.10-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 489.0 KiB default-fonts-core-sans noarch 4.0-13.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.9 KiB desktop-file-utils aarch64 0.26-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 637.7 KiB emacs-filesystem noarch 1:30.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B expat aarch64 2.6.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 536.7 KiB fontconfig aarch64 2.15.0-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB fonts-filesystem noarch 1:2.0.5-15.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B freeglut aarch64 3.4.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 586.5 KiB freetype aarch64 2.13.2-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 942.9 KiB fribidi aarch64 1.0.15-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 676.2 KiB gc aarch64 8.2.2-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 850.3 KiB gcc aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 93.6 MiB gcc-plugin-annobin aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.0 KiB gd aarch64 2.3.3-16.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 515.6 KiB gdk-pixbuf2 aarch64 2.42.12-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.9 MiB ghostscript aarch64 10.03.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 214.8 KiB ghostscript-tools-fonts noarch 10.03.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 KiB ghostscript-tools-printing noarch 10.03.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.5 KiB giflib aarch64 5.2.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 260.2 KiB glib2 aarch64 2.80.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16.5 MiB glibc-devel aarch64 2.39.9000-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB gnupg2 aarch64 2.4.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.3 MiB gnutls aarch64 3.8.5-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.4 MiB google-droid-sans-fonts noarch 20200215-19.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.3 MiB google-noto-fonts-common noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.5 KiB google-noto-sans-vf-fonts noarch 20240401-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB gpgme aarch64 1.23.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 810.8 KiB gpgmepp aarch64 1.23.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 521.8 KiB graphite2 aarch64 1.3.14-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 495.7 KiB graphviz-libs aarch64 11.0.0-4.fc41 copr_base 2.1 MiB groff-base aarch64 1.23.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.4 MiB gts aarch64 0.7.6-48.20121130.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB guile30 aarch64 3.0.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53.2 MiB gumbo-parser aarch64 1:0.12.1-0.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 530.0 KiB harfbuzz aarch64 8.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.9 MiB jbig2dec-libs aarch64 0.20-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 301.0 KiB jbigkit-libs aarch64 2.1-29.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 437.5 KiB json-c aarch64 0.17-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 202.3 KiB kernel-headers aarch64 6.10.0-0.rc2.24.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 MiB lasi aarch64 1.1.3-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 258.4 KiB lcms2 aarch64 2.16-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 484.8 KiB leptonica aarch64 1.84.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.3 MiB libICE aarch64 1.1.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 273.0 KiB libSM aarch64 1.2.4-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 253.3 KiB libX11 aarch64 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB libX11-common noarch 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libX11-xcb aarch64 1.8.9-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.0 KiB libXau aarch64 1.0.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 242.8 KiB libXaw aarch64 1.0.16-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 678.0 KiB libXext aarch64 1.3.6-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209.9 KiB libXfixes aarch64 6.0.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.3 KiB libXft aarch64 2.3.8-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 256.4 KiB libXi aarch64 1.8.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200.6 KiB libXmu aarch64 1.2.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 455.4 KiB libXpm aarch64 3.5.17-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 264.4 KiB libXrender aarch64 0.9.11-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.1 KiB libXt aarch64 1.3.0-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 605.5 KiB libXxf86vm aarch64 1.1.5-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 197.3 KiB libaom aarch64 3.9.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.7 MiB libasan aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB libassuan aarch64 2.5.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 279.8 KiB libatomic aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 196.9 KiB libavif aarch64 1.0.4-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 279.8 KiB libb2 aarch64 0.98.1-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 202.1 KiB libdatrie aarch64 0.2.13-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 221.9 KiB libdav1d aarch64 1.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 920.1 KiB libdrm aarch64 2.4.121-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB libgcrypt aarch64 1.10.3-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libglvnd aarch64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB libglvnd-glx aarch64 1:1.7.0-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB libgpg-error aarch64 1.49-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB libgs aarch64 10.03.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23.6 MiB libicu aarch64 74.2-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.9 MiB libijs aarch64 0.35-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 229.6 KiB libimagequant aarch64 4.0.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 730.5 KiB libjpeg-turbo aarch64 3.0.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 792.4 KiB libksba aarch64 1.6.6-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 524.8 KiB liblerc aarch64 4.0.0-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 610.4 KiB libmpc aarch64 1.3.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 280.7 KiB libpaper aarch64 1:2.1.1-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 224.9 KiB libpng aarch64 2:1.6.40-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 333.6 KiB librsvg2 aarch64 2.57.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.4 MiB libstdc++-devel aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.1 MiB libthai aarch64 0.1.29-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 935.4 KiB libtiff aarch64 4.6.0-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB libubsan aarch64 14.1.1-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 539.3 KiB libwebp aarch64 1.4.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB libxcb aarch64 1.17.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.0 MiB libxcrypt-devel aarch64 4.4.36-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30.3 KiB libxshmfence aarch64 1.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.3 KiB libxslt aarch64 1.1.39-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 865.6 KiB libyaml aarch64 0.2.5-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262.5 KiB m4 aarch64 1.4.19-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 668.5 KiB mailcap noarch 2.1.54-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 86.0 KiB mesa-libGL aarch64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 725.6 KiB mesa-libglapi aarch64 24.1.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 460.9 KiB mpdecimal aarch64 2.5.1-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 328.7 KiB mupdf aarch64 1.24.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.7 MiB mupdf-libs aarch64 1.24.2-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 47.0 MiB ncurses aarch64 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB ncurses-c++-libs aarch64 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 393.5 KiB ncurses-devel aarch64 6.4-12.20240127.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 859.8 KiB netpbm aarch64 11.02.00-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 629.1 KiB nettle aarch64 3.9.1-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 953.6 KiB npth aarch64 1.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 221.4 KiB nspr aarch64 4.35.0-23.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 740.4 KiB nss aarch64 3.100.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB nss-softokn aarch64 3.100.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.6 MiB nss-softokn-freebl aarch64 3.100.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 995.8 KiB nss-sysinit aarch64 3.100.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 198.3 KiB nss-util aarch64 3.100.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 346.1 KiB openjpeg2 aarch64 2.5.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 537.6 KiB pango aarch64 1.52.2-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 MiB perl-Authen-SASL noarch 2.1700-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 116.3 KiB perl-AutoLoader noarch 5.74-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.5 KiB perl-B aarch64 1.88-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 604.3 KiB perl-B-Hooks-EndOfScope noarch 0.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 69.2 KiB perl-Business-ISBN noarch 3.009-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 53.6 KiB perl-Business-ISBN-Data noarch 20240601.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 280.0 KiB perl-Business-ISMN noarch 1.204-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.8 KiB perl-Business-ISSN noarch 1.005-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.7 KiB perl-Carp noarch 1.54-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 46.5 KiB perl-Class-Accessor noarch 0.51-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.7 KiB perl-Class-Data-Inheritable noarch 0.09-8.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.3 KiB perl-Class-Inspector noarch 1.36-16.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 57.4 KiB perl-Class-Method-Modifiers noarch 2.15-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 102.4 KiB perl-Class-Singleton noarch 1.6-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.7 KiB perl-Class-Struct noarch 0.68-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.4 KiB perl-Clone aarch64 0.46-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 208.4 KiB perl-Compress-Raw-Bzip2 aarch64 2.212-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 225.5 KiB perl-Compress-Raw-Zlib aarch64 2.212-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 286.4 KiB perl-Convert-ASN1 noarch 0.34-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 169.9 KiB perl-Data-Compare noarch 1.29-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.3 KiB perl-Data-Dump noarch 1.25-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50.1 KiB perl-Data-Dumper aarch64 2.189-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 263.6 KiB perl-Data-OptList noarch 0.114-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50.0 KiB perl-Data-Uniqid noarch 0.12-33.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.2 KiB perl-Date-ISO8601 noarch 0.005-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.4 KiB perl-Date-Manip noarch 6.95-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.9 MiB perl-DateTime aarch64 2:1.65-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 554.7 KiB perl-DateTime-Calendar-Julian noarch 0.107-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.7 KiB perl-DateTime-Format-Builder noarch 0.8300-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 202.0 KiB perl-DateTime-Format-Strptime noarch 1:1.79-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 96.2 KiB perl-DateTime-Locale noarch 1.42-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.2 MiB perl-DateTime-TimeZone noarch 2.62-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.3 MiB perl-DateTime-TimeZone-SystemV noarch 0.010-21.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.3 KiB perl-DateTime-TimeZone-Tzfile noarch 0.011-21.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.6 KiB perl-Devel-CallChecker aarch64 0.009-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 217.2 KiB perl-Devel-Caller aarch64 2.07-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 207.3 KiB perl-Devel-GlobalDestruction noarch 0.14-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16.6 KiB perl-Devel-LexAlias aarch64 0.05-36.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 199.1 KiB perl-Devel-StackTrace noarch 1:2.05-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 48.8 KiB perl-Digest noarch 1.20-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.2 KiB perl-Digest-HMAC noarch 1.04-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.0 KiB perl-Digest-MD5 aarch64 2.59-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 231.7 KiB perl-Digest-SHA aarch64 1:6.04-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 264.5 KiB perl-Dist-CheckConflicts noarch 0.11-30.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.7 KiB perl-DynaLoader aarch64 1.54-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.1 KiB perl-DynaLoader-Functions noarch 0.004-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.6 KiB perl-Email-Date-Format noarch 1.008-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.8 KiB perl-Encode aarch64 4:3.21-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.9 MiB perl-Encode-Locale noarch 1.05-29.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.0 KiB perl-English noarch 1.11-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 KiB perl-Errno aarch64 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 KiB perl-Eval-Closure noarch 0.14-23.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33.2 KiB perl-Exception-Class noarch 1.45-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 92.3 KiB perl-Exporter noarch 5.78-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 54.2 KiB perl-ExtUtils-MM-Utils noarch 2:7.70-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.9 KiB perl-Fcntl aarch64 1.15-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 200.6 KiB perl-File-Basename noarch 2.86-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.0 KiB perl-File-Compare noarch 1.100.700-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.5 KiB perl-File-Copy noarch 2.41-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.6 KiB perl-File-Find noarch 1.43-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.9 KiB perl-File-Find-Rule noarch 0.34-28.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42.1 KiB perl-File-Listing noarch 6.16-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.1 KiB perl-File-Path noarch 2.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63.5 KiB perl-File-ShareDir noarch 1.118-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56.2 KiB perl-File-Slurper noarch 0.014-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.7 KiB perl-File-Temp noarch 1:0.231.100-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 162.3 KiB perl-File-stat noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.7 KiB perl-FileHandle noarch 2.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.3 KiB perl-Filter aarch64 2:1.64-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 876.6 KiB perl-GSSAPI aarch64 0.28-45.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 240.7 KiB perl-Getopt-Long noarch 1:2.57-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 144.1 KiB perl-Getopt-Std noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.1 KiB perl-HTML-Parser aarch64 3.82-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 420.7 KiB perl-HTML-Tagset noarch 3.24-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18.6 KiB perl-HTTP-Cookies noarch 6.11-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73.3 KiB perl-HTTP-Date noarch 6.06-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.1 KiB perl-HTTP-Message noarch 6.46-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 214.9 KiB perl-HTTP-Negotiate noarch 6.01-38.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.6 KiB perl-HTTP-Tiny noarch 0.088-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 152.1 KiB perl-Hash-Util-FieldHash aarch64 1.26-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 234.6 KiB perl-I18N-LangTags noarch 0.45-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 82.3 KiB perl-I18N-Langinfo aarch64 0.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 205.6 KiB perl-IO aarch64 1.52-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 319.0 KiB perl-IO-Compress noarch 2.212-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB perl-IO-HTML noarch 1.004-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 45.1 KiB perl-IO-Socket-IP noarch 0.42-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98.6 KiB perl-IO-Socket-SSL noarch 2.085-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 685.0 KiB perl-IO-String noarch 1.08-50.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16.7 KiB perl-IPC-Cmd noarch 2:1.04-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84.8 KiB perl-IPC-Open3 noarch 1.22-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB perl-IPC-Run3 noarch 0.049-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 60.0 KiB perl-IPC-SysV aarch64 2.09-505.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 241.7 KiB perl-IPC-System-Simple noarch 1.30-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 71.6 KiB perl-JSON noarch 4.10-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 270.3 KiB perl-LDAP noarch 1:0.68-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 935.9 KiB perl-LWP-MediaTypes noarch 6.04-18.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.9 KiB perl-LWP-Protocol-https noarch 6.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.7 KiB perl-Lingua-Translit noarch 0.29-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 177.4 KiB perl-List-AllUtils noarch 0.19-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 134.2 KiB perl-List-SomeUtils noarch 0.59-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 107.1 KiB perl-List-UtilsBy noarch 0.12-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.8 KiB perl-Locale-Maketext noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 171.1 KiB perl-Locale-Maketext-Simple noarch 1:0.21-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.8 KiB perl-Log-Dispatch noarch 2.71-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 142.4 KiB perl-Log-Dispatch-FileRotate noarch 1.38-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 52.5 KiB perl-Log-Log4perl noarch 1.57-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 877.2 KiB perl-MIME-Base64 aarch64 3.16-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 222.0 KiB perl-MIME-Charset noarch 1.013.1-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 104.4 KiB perl-MIME-Lite noarch 3.033-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 245.8 KiB perl-MIME-Types noarch 2.26-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 182.3 KiB perl-MRO-Compat noarch 0.15-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42.9 KiB perl-Mail-Sender noarch 1:0.903-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 160.5 KiB perl-Mail-Sendmail noarch 0.80-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65.0 KiB perl-MailTools noarch 2.21-16.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 203.1 KiB perl-Math-BigInt noarch 1:2.0030.03-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 957.5 KiB perl-Math-Complex noarch 1.62-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 85.0 KiB perl-Module-CoreList noarch 1:5.20240609-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB perl-Module-Implementation noarch 0.09-39.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.7 KiB perl-Module-Load noarch 1:0.36-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.8 KiB perl-Module-Load-Conditional noarch 0.74-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.7 KiB perl-Module-Metadata noarch 1.000038-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 67.4 KiB perl-Module-Runtime noarch 0.016-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30.4 KiB perl-Mozilla-CA noarch 20240313-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.5 KiB perl-NTLM noarch 1.09-38.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.2 KiB perl-Net-HTTP noarch 6.23-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 74.5 KiB perl-Net-SMTP-SSL noarch 1.04-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.1 KiB perl-Net-SSLeay aarch64 1.94-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB perl-Number-Compare noarch 0.03-38.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.5 KiB perl-Opcode aarch64 1.64-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 220.5 KiB perl-POSIX aarch64 2.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 325.0 KiB perl-Package-Generator noarch 1.106-31.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.8 KiB perl-Package-Stash noarch 0.40-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.8 KiB perl-Package-Stash-XS aarch64 0.30-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 224.2 KiB perl-PadWalker aarch64 2.5-13.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 213.0 KiB perl-Params-Check noarch 1:0.38-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.5 KiB perl-Params-Classify aarch64 0.015-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 223.5 KiB perl-Params-Util aarch64 1.102-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 230.5 KiB perl-Params-Validate aarch64 1.31-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 288.9 KiB perl-Params-ValidationCompiler noarch 0.31-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 91.0 KiB perl-Parse-RecDescent noarch 1.967015-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 521.9 KiB perl-PathTools aarch64 3.91-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 351.6 KiB perl-Pod-Escapes noarch 1:1.07-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.9 KiB perl-Pod-Html noarch 1.34-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.6 KiB perl-Pod-Perldoc noarch 3.28.01-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 163.1 KiB perl-Pod-Simple noarch 1:3.45-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 559.8 KiB perl-Pod-Usage noarch 4:2.03-504.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84.7 KiB perl-Ref-Util noarch 0.204-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.4 KiB perl-Ref-Util-XS aarch64 0.117-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 206.2 KiB perl-Regexp-Common noarch 2017060201-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 459.7 KiB perl-Role-Tiny noarch 2.002004-11.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 61.7 KiB perl-Safe noarch 2.44-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 30.6 KiB perl-Scalar-List-Utils aarch64 5:1.63-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 277.4 KiB perl-SelectSaver noarch 1.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 KiB perl-Socket aarch64 4:2.038-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 272.0 KiB perl-Sort-Key aarch64 1.33-30.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 241.6 KiB perl-Specio noarch 0.48-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 328.3 KiB perl-Storable aarch64 1:3.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 372.3 KiB perl-Sub-Exporter noarch 0.991-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 194.7 KiB perl-Sub-Exporter-Progressive noarch 0.001013-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.3 KiB perl-Sub-Identify aarch64 0.14-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 211.8 KiB perl-Sub-Install noarch 0.929-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 35.8 KiB perl-Symbol noarch 1.09-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.8 KiB perl-Sys-Hostname aarch64 1.25-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 199.9 KiB perl-Sys-Syslog aarch64 0.36-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 270.7 KiB perl-Term-ANSIColor noarch 5.01-504.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 97.5 KiB perl-Term-Cap noarch 1.18-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 29.3 KiB perl-Text-Balanced noarch 2.06-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 111.3 KiB perl-Text-BibTeX aarch64 0.89-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.3 MiB perl-Text-CSV noarch 2.04-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 348.0 KiB perl-Text-Glob noarch 0.11-23.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.4 KiB perl-Text-ParseWords noarch 3.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.5 KiB perl-Text-Roman noarch 3.5-27.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33.0 KiB perl-Text-Soundex aarch64 3.05-30.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 230.8 KiB perl-Text-Tabs+Wrap noarch 2024.001-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB perl-Text-Unidecode noarch 1.30-24.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 448.7 KiB perl-Tie noarch 4.6-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.0 KiB perl-Tie-Cycle noarch 1.228-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23.1 KiB perl-Tie-RefHash noarch 1.40-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34.3 KiB perl-Time-HiRes aarch64 4:1.9777-503.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 279.8 KiB perl-Time-Local noarch 2:1.350-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 68.9 KiB perl-TimeDate noarch 1:2.33-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 95.0 KiB perl-Try-Tiny noarch 0.31-9.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66.8 KiB perl-URI noarch 5.28-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 240.2 KiB perl-Unicode-Collate aarch64 1.31-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.2 MiB perl-Unicode-LineBreak aarch64 2019.001-20.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 380.3 KiB perl-Unicode-Normalize aarch64 1.32-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 759.5 KiB perl-Unicode-UCD noarch 0.78-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 204.4 KiB perl-Variable-Magic aarch64 0.64-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 282.4 KiB perl-WWW-RobotRules noarch 6.02-39.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.3 KiB perl-XML-LibXML aarch64 1:2.0210-1.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 987.4 KiB perl-XML-LibXML-Simple noarch 1.01-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 50.7 KiB perl-XML-LibXSLT aarch64 2.002.001-5.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 276.8 KiB perl-XML-NamespaceSupport noarch 1.12-23.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44.7 KiB perl-XML-Parser aarch64 2.47-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 769.0 KiB perl-XML-SAX noarch 1.02-16.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 120.0 KiB perl-XML-SAX-Base noarch 1.09-23.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 172.6 KiB perl-XML-Writer noarch 0.900-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65.8 KiB perl-XML-XPath noarch 1.48-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 201.6 KiB perl-XString aarch64 0.005-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 216.9 KiB perl-autodie noarch 2.37-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 214.5 KiB perl-autovivification aarch64 0.18-22.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 222.0 KiB perl-base noarch 2.27-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.5 KiB perl-constant noarch 1.33-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.2 KiB perl-deprecate noarch 0.04-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.5 KiB perl-encoding aarch64 4:3.00-505.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 149.4 KiB perl-if noarch 0.61.000-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.8 KiB perl-interpreter aarch64 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 299.7 KiB perl-libnet noarch 3.15-503.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 289.0 KiB perl-libs aarch64 4:5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.3 MiB perl-libwww-perl noarch 6.77-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 520.4 KiB perl-locale noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.2 KiB perl-meta-notation noarch 5.38.2-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.0 KiB perl-mro aarch64 1.28-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 209.6 KiB perl-namespace-autoclean noarch 0.29-18.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.0 KiB perl-namespace-clean noarch 0.27-26.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38.7 KiB perl-open noarch 1.13-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.3 KiB perl-overload noarch 1.37-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 71.5 KiB perl-overloading noarch 0.02-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 KiB perl-parent noarch 1:0.241-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.7 KiB perl-podlators noarch 1:5.01-502.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 308.1 KiB perl-sigtrap noarch 1.10-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.0 KiB perl-subs noarch 1.04-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 KiB perl-vars noarch 1.05-507.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 KiB perl-version aarch64 8:0.99.32-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 288.7 KiB perltidy noarch 20240511-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.7 MiB pixman aarch64 0.43.4-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 718.3 KiB poppler aarch64 24.02.0-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 MiB poppler-data noarch 0.4.11-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.3 MiB poppler-glib aarch64 24.02.0-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 665.8 KiB potrace aarch64 1.16-12.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 666.6 KiB python-pip-wheel noarch 24.0-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB python3-babel noarch 2.15.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.4 MiB python3-beautifulsoup4 noarch 4.12.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB python3-charset-normalizer noarch 3.3.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 312.7 KiB python3-docutils noarch 0.20.1-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 MiB python3-idna noarch 3.7-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 594.6 KiB python3-imagesize noarch 1.4.1-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34.7 KiB python3-jinja2 noarch 3.1.4-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.9 MiB python3-latexcodec noarch 3.0.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 128.5 KiB python3-libs aarch64 3.12.3-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 51.2 MiB python3-lxml aarch64 5.2.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.3 MiB python3-markupsafe aarch64 2.1.5-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 237.3 KiB python3-packaging noarch 24.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 424.8 KiB python3-pybtex noarch 0.24.0-15.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 894.0 KiB python3-pybtex-docutils noarch 1.0.3-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 213.5 KiB python3-pygments noarch 2.17.2-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.3 MiB python3-pyyaml aarch64 6.0.1-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 858.3 KiB python3-requests noarch 2.31.0-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 465.9 KiB python3-setuptools noarch 69.2.0-3.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 7.2 MiB python3-six noarch 1.16.0-14.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 117.7 KiB python3-snowballstemmer noarch 2.2.0-10.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB python3-soupsieve noarch 2.5-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 288.9 KiB python3-sphinx noarch 1:7.2.6-6.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.8 MiB python3-sphinx-basic-ng noarch 1.0.0-0.8.beta2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 33.1 KiB python3-sphinx-theme-alabaster noarch 0.7.16-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.9 KiB python3-urllib3 noarch 1.26.18-3.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 996.2 KiB rav1e-libs aarch64 0.7.1-2.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB rsvg-pixbuf-loader aarch64 2.57.1-7.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 195.5 KiB shared-mime-info aarch64 2.3-5.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.3 MiB sombok aarch64 2.4.0-21.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 271.1 KiB svt-av1-libs aarch64 2.1.0-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.2 MiB tcl aarch64 1:8.6.14-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.6 MiB teckit aarch64 2.5.12-2.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.5 MiB tesseract aarch64 5.3.4-4.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB tesseract-langpack-eng noarch 4.1.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 MiB tesseract-tessdata-doc noarch 4.1.0-7.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.0 KiB texlive-adjustbox noarch 11:svn64967-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 104.1 KiB texlive-ae noarch 11:svn15878.1.4-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 451.9 KiB texlive-algorithms noarch 11:svn42428-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 38.2 KiB texlive-alphalph noarch 11:svn53087-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 375.9 KiB texlive-amscls noarch 11:svn55378-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB texlive-amsfonts noarch 11:svn61937-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.9 MiB texlive-amsmath noarch 11:svn63514-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 266.6 KiB texlive-anyfontsize noarch 11:svn17050.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.7 KiB texlive-atbegshi noarch 11:svn53051-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 443.4 KiB texlive-attachfile noarch 11:svn42099-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 32.0 KiB texlive-atveryend noarch 11:svn53108-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 409.9 KiB texlive-auto-pst-pdf noarch 11:svn56596-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.8 KiB texlive-auxhook noarch 11:svn53173-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 301.9 KiB texlive-avantgar noarch 11:svn61983-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB texlive-babel noarch 11:svn65823-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.1 MiB texlive-babel-english noarch 11:svn44495-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44.4 KiB texlive-babelbib noarch 11:svn57349-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 779.1 KiB texlive-base aarch64 11:20230311-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.0 MiB texlive-bera noarch 11:svn20031.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 772.9 KiB texlive-beton noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.8 KiB texlive-biblatex noarch 11:svn66403-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.2 MiB texlive-bibtex aarch64 11:svn66186-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 930.7 KiB texlive-bidi noarch 11:svn65572-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB texlive-bigintcalc noarch 11:svn53172-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 515.6 KiB texlive-bitset noarch 11:svn53837-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 662.3 KiB texlive-bookman noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB texlive-bookmark noarch 11:svn56885-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 569.3 KiB texlive-booktabs noarch 11:svn53402-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18.3 KiB texlive-breakurl noarch 11:svn29901.1.40-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.1 KiB texlive-capt-of noarch 11:svn29803.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.1 KiB texlive-caption noarch 11:svn66580-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 906.9 KiB texlive-carlisle noarch 11:svn59577-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.2 KiB texlive-catchfile noarch 11:svn53084-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 318.8 KiB texlive-changepage noarch 11:svn15878.1.0c-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.3 KiB texlive-charter noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 404.0 KiB texlive-cm noarch 11:svn57963-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 994.9 KiB texlive-cm-super noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 65.0 MiB texlive-cmap noarch 11:svn57640-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36.2 KiB texlive-cmextra noarch 11:svn57866-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 132.7 KiB texlive-collectbox noarch 11:svn64967-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.6 KiB texlive-collection-basic noarch 11:svn59159-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B texlive-collection-fontsrecommended noarch 11:svn54074-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B texlive-collection-latex noarch 11:svn63515-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 0.0 B texlive-colorprofiles noarch 11:svn49086-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 272.2 KiB texlive-colortbl noarch 11:svn64015-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.0 KiB texlive-courier noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 915.1 KiB texlive-csquotes noarch 11:svn64389-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 112.0 KiB texlive-ctablestack noarch 11:svn38514-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.1 KiB texlive-currfile noarch 11:svn64673-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34.0 KiB texlive-dehyph noarch 11:svn48599-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 239.9 KiB texlive-dvipdfmx aarch64 11:svn66203-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.8 MiB texlive-dvipng aarch64 11:svn66203-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 590.2 KiB texlive-dvips aarch64 11:svn66203-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB texlive-dvisvgm aarch64 11:svn66532-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.8 MiB texlive-ec noarch 11:svn25033.1.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.5 MiB texlive-enctex noarch 11:svn34957.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 179.8 KiB texlive-enumitem noarch 11:svn51423-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 59.4 KiB texlive-epstopdf noarch 11:svn66461-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.3 KiB texlive-epstopdf-pkg noarch 11:svn53546-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 386.5 KiB texlive-eso-pic noarch 11:svn56658-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.7 KiB texlive-etex noarch 11:svn66203-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.0 KiB texlive-etex-pkg noarch 11:svn41784-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18.6 KiB texlive-etexcmds noarch 11:svn53171-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 321.0 KiB texlive-etoolbox noarch 11:svn56554-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66.7 KiB texlive-euenc noarch 11:svn19795.0.1h-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.3 KiB texlive-euler noarch 11:svn42428-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.7 KiB texlive-euro noarch 11:svn22191.1.1-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.2 KiB texlive-euro-ce noarch 11:svn25714-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.5 KiB texlive-eurosym noarch 11:svn17265.1.4_subrfix-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 219.0 KiB texlive-everyshi noarch 11:svn57001-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 157.4 KiB texlive-fancyhdr noarch 11:svn64977-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 31.6 KiB texlive-fancyvrb noarch 11:svn65585-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 66.2 KiB texlive-filecontents noarch 11:svn52142-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.2 KiB texlive-filehook noarch 11:svn64822-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 55.2 KiB texlive-filemod noarch 11:svn64967-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42.7 KiB texlive-firstaid noarch 11:svn64892-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 296.9 KiB texlive-fix2col noarch 11:svn38770-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 16.7 KiB texlive-float noarch 11:svn15878.1.3d-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.5 KiB texlive-fncychap noarch 11:svn20710.v1.34-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37.7 KiB texlive-fontspec noarch 11:svn63386-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 329.1 KiB texlive-footmisc noarch 11:svn62524-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64.7 KiB texlive-fp noarch 11:svn49719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124.9 KiB texlive-fpl noarch 11:svn54512-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 647.6 KiB texlive-framed noarch 11:svn26789.0.96-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.9 KiB texlive-geometry noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 49.5 KiB texlive-gettitlestring noarch 11:svn53170-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 338.8 KiB texlive-gincltex noarch 11:svn64967-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.2 KiB texlive-glyphlist noarch 11:svn54074-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 90.3 KiB texlive-gnu-freefont noarch 11:svn29349.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 17.0 MiB texlive-graphics noarch 11:svn66204-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 124.5 KiB texlive-graphics-cfg noarch 11:svn41448-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.0 KiB texlive-graphics-def noarch 11:svn64487-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 98.2 KiB texlive-grfext noarch 11:svn53024-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 327.8 KiB texlive-grffile noarch 11:svn52756-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 379.3 KiB texlive-gsftopk aarch64 11:svn52851-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 207.0 KiB texlive-helvetic noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.8 MiB texlive-hobsub noarch 11:svn52810-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 80.2 KiB texlive-hologo noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 664.7 KiB texlive-hopatch noarch 11:svn65491-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345.8 KiB texlive-hycolor noarch 11:svn53584-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 411.7 KiB texlive-hypdoc noarch 11:svn65678-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 358.3 KiB texlive-hyperref noarch 11:svn65758-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 763.7 KiB texlive-hyph-utf8 noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 73.9 KiB texlive-hyphen-base noarch 11:svn66413-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 63.7 KiB texlive-hyphenex noarch 11:svn57387-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.7 KiB texlive-ifmtarg noarch 11:svn47544-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.2 KiB texlive-ifoddpage noarch 11:svn64967-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.8 KiB texlive-ifplatform noarch 11:svn45533-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.7 KiB texlive-iftex noarch 11:svn61910-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.7 KiB texlive-index noarch 11:svn24099.4.1beta-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 60.6 KiB texlive-infwarerr noarch 11:svn53023-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 306.3 KiB texlive-intcalc noarch 11:svn53168-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 471.6 KiB texlive-kastrup noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.4 KiB texlive-knuth-lib noarch 11:svn57963-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 99.9 KiB texlive-knuth-local noarch 11:svn57963-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 106.3 KiB texlive-koma-script noarch 11:svn64685-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.9 MiB texlive-kpathsea aarch64 11:svn66209-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.8 MiB texlive-kvdefinekeys noarch 11:svn53193-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 314.2 KiB texlive-kvoptions noarch 11:svn63622-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 550.0 KiB texlive-kvsetkeys noarch 11:svn64632-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 427.4 KiB texlive-l3backend noarch 11:svn65573-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB texlive-l3kernel noarch 11:svn66094-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB texlive-l3packages noarch 11:svn65722-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 262.4 KiB texlive-latex noarch 11:svn65161-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 40.2 MiB texlive-latex-fonts noarch 11:svn28888.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 114.2 KiB texlive-latex-lab noarch 11:svn64892-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 MiB texlive-latexconfig noarch 11:svn53525-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.3 KiB texlive-letltxmacro noarch 11:svn53022-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 311.2 KiB texlive-lib aarch64 11:20230311-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.6 MiB texlive-listings noarch 11:svn66222-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 580.0 KiB texlive-lm noarch 11:svn65956-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 39.4 MiB texlive-lm-math noarch 11:svn36915.1.959-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 735.8 KiB texlive-logreq noarch 11:svn53003-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.3 KiB texlive-ltxcmds noarch 11:svn56421-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 424.3 KiB texlive-ltxmisc noarch 11:svn21927.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 44.0 KiB texlive-lua-alt-getopt noarch 11:svn56414-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.9 KiB texlive-lua-uni-algos noarch 11:svn62204-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 129.1 KiB texlive-luabidi noarch 11:svn54512-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 25.3 KiB texlive-luahbtex aarch64 11:svn66186-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 6.8 MiB texlive-lualatex-math noarch 11:svn61464-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 28.4 KiB texlive-lualibs noarch 11:svn64615-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 764.4 KiB texlive-luaotfload noarch 11:svn64616-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.2 MiB texlive-luatex aarch64 11:svn66967-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.6 MiB texlive-luatex85 noarch 11:svn41456-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.4 KiB texlive-luatexbase noarch 11:svn52663-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.8 KiB texlive-makecmds noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 11.1 KiB texlive-makeindex aarch64 11:svn62517-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 646.9 KiB texlive-manfnt-font noarch 11:svn45777-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 41.7 KiB texlive-marginnote noarch 11:svn48383-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB texlive-marvosym noarch 11:svn29349.2.2a-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 185.6 KiB texlive-mathpazo noarch 11:svn52663-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 110.5 KiB texlive-memoir noarch 11:svn65040-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 480.4 KiB texlive-metafont aarch64 11:svn66186-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 941.7 KiB texlive-mflogo noarch 11:svn42428-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.0 KiB texlive-mflogo-font noarch 11:svn54512-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37.9 KiB texlive-mfnfss noarch 11:svn46036-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.8 KiB texlive-mfware aarch64 11:svn66186-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB texlive-minitoc noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 534.1 KiB texlive-modes noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 389.9 KiB texlive-mparhack noarch 11:svn59066-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.3 KiB texlive-mptopdf noarch 11:svn65952-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 151.3 KiB texlive-ms noarch 11:svn57473-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18.4 KiB texlive-multido noarch 11:svn18302.1.42-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 18.5 KiB texlive-natbib noarch 11:svn20668.8.31b-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 132.7 KiB texlive-ncntrsbk noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 931.8 KiB texlive-needspace noarch 11:svn29601.1.3d-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 9.7 KiB texlive-notoccite noarch 11:svn18129.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.3 KiB texlive-oberdiek noarch 11:svn65521-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.3 MiB texlive-pagesel noarch 11:svn56105-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 354.7 KiB texlive-palatino noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.1 MiB texlive-paralist noarch 11:svn43021-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23.4 KiB texlive-parallel noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.5 KiB texlive-parskip noarch 11:svn58358-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.7 KiB texlive-pdfcol noarch 11:svn64469-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 345.6 KiB texlive-pdfcolmk noarch 11:svn52912-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.6 KiB texlive-pdfescape noarch 11:svn53082-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 375.9 KiB texlive-pdftex aarch64 11:svn66243-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 MiB texlive-pdftexcmds noarch 11:svn55777-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 423.9 KiB texlive-pgf noarch 11:svn65553-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.8 MiB texlive-picture noarch 11:svn54867-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 323.3 KiB texlive-placeins noarch 11:svn19848.2.2-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 4.6 KiB texlive-plain noarch 11:svn57963-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 109.4 KiB texlive-polyglossia noarch 11:svn65792-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 715.0 KiB texlive-pslatex noarch 11:svn57434-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.9 KiB texlive-psnfss noarch 11:svn54694-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 101.0 KiB texlive-pspicture noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.6 KiB texlive-pst-3d noarch 11:svn17257.1.10-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.5 KiB texlive-pst-coil noarch 11:svn62977-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 20.4 KiB texlive-pst-eps noarch 11:svn15878.1.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.5 KiB texlive-pst-fill noarch 11:svn60671-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.8 KiB texlive-pst-grad noarch 11:svn15878.1.06-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.1 KiB texlive-pst-math noarch 11:svn64732-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.3 KiB texlive-pst-node noarch 11:svn61838-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 114.0 KiB texlive-pst-ovl noarch 11:svn54963-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 12.4 KiB texlive-pst-plot noarch 11:svn65346-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 129.7 KiB texlive-pst-text noarch 11:svn49542-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.7 KiB texlive-pst-tools noarch 11:svn60621-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.8 KiB texlive-pst-tree noarch 11:svn60421-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 42.6 KiB texlive-pstricks noarch 11:svn65346-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 468.9 KiB texlive-pstricks-add noarch 11:svn65067-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 103.4 KiB texlive-pxfonts noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 823.0 KiB texlive-ragged2e noarch 11:svn66152-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 731.9 KiB texlive-refcount noarch 11:svn53164-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 348.0 KiB texlive-rerunfilecheck noarch 11:svn63869-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 361.8 KiB texlive-rsfs noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 97.8 KiB texlive-sauerj noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 27.0 KiB texlive-setspace noarch 11:svn65206-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.0 KiB texlive-showexpl noarch 11:svn57414-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.8 KiB texlive-stringenc noarch 11:svn52982-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB texlive-subfig noarch 11:svn15878.1.3-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 36.6 KiB texlive-svn-prov noarch 11:svn64967-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.6 KiB texlive-symbol noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.3 KiB texlive-tabulary noarch 11:svn34368.0.10-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 22.3 KiB texlive-tex aarch64 11:svn66186-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 525.3 KiB texlive-tex-gyre noarch 11:svn65956-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 24.2 MiB texlive-tex-gyre-math noarch 11:svn41264-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.7 MiB texlive-tex-ini-files noarch 11:svn40533-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 8.1 KiB texlive-texlive-common-doc noarch 11:svn54176-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 265.5 KiB texlive-texlive-en noarch 11:svn66572-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.9 MiB texlive-texlive-msg-translations noarch 11:svn66593-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB texlive-texlive-scripts noarch 11:svn66584-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 337.2 KiB texlive-texlive.infra noarch 11:svn66512-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB texlive-times noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 992.2 KiB texlive-tipa noarch 11:svn29349.1.3-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.7 MiB texlive-titlesec noarch 11:svn59845-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 97.8 KiB texlive-tools noarch 11:svn64892-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 403.2 KiB texlive-txfonts noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB texlive-ucharcat noarch 11:svn38907-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 10.2 KiB texlive-ucs noarch 11:svn64114-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB texlive-underscore noarch 11:svn18261.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.3 KiB texlive-unicode-data noarch 11:svn64423-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.1 MiB texlive-unicode-math noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 517.2 KiB texlive-uniquecounter noarch 11:svn53162-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 312.0 KiB texlive-upquote noarch 11:svn26059.v1.3-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 15.1 KiB texlive-url noarch 11:svn32528.3.4-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 21.4 KiB texlive-utopia noarch 11:svn15878.0-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 540.6 KiB texlive-varwidth noarch 11:svn24104.0.92-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 19.5 KiB texlive-wasy noarch 11:svn53533-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 137.7 KiB texlive-wasy-type1 noarch 11:svn53534-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 332.4 KiB texlive-wasysym noarch 11:svn54080-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 23.9 KiB texlive-wrapfig noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 34.5 KiB texlive-xcolor noarch 11:svn63563-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79.3 KiB texlive-xdvi aarch64 11:svn62387-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 890.5 KiB texlive-xetex aarch64 11:svn66203-84.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB texlive-xetexconfig noarch 11:svn45845-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 305.0 B texlive-xifthen noarch 11:svn38929-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 14.4 KiB texlive-xkeyval noarch 11:svn63616-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 69.3 KiB texlive-xpatch noarch 11:svn54563-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 26.8 KiB texlive-xstring noarch 11:svn65551-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 56.1 KiB texlive-xunicode noarch 11:svn30466.0.981-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 219.6 KiB texlive-zapfchan noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 178.1 KiB texlive-zapfding noarch 11:svn61719-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 79.5 KiB texlive-zref noarch 11:svn62977-71.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 816.8 KiB tpm2-tss aarch64 4.1.3-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 3.6 MiB tzdata noarch 2024a-8.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.7 MiB urw-base35-bookman-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB urw-base35-c059-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB urw-base35-d050000l-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 84.3 KiB urw-base35-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 5.3 KiB urw-base35-fonts-common noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 37.4 KiB urw-base35-gothic-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.2 MiB urw-base35-nimbus-mono-ps-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.0 MiB urw-base35-nimbus-roman-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.4 MiB urw-base35-nimbus-sans-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB urw-base35-p052-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 1.5 MiB urw-base35-standard-symbols-ps-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 64.9 KiB urw-base35-z003-fonts noarch 20200910-22.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 390.8 KiB xdg-utils noarch 1.2.1-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 346.3 KiB xml-common noarch 0.6.3-63.fc40 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 78.4 KiB xpdf-libs aarch64 1:4.05-4.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 2.4 MiB yosyshq-abc-libs aarch64 0.41-1.20240524git237d813.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 13.6 MiB zlib-ng-compat-devel aarch64 2.1.6-6.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 103.4 KiB zziplib aarch64 0.13.74-1.fc41 http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch 921.6 KiB Transaction Summary: Installing: 688 packages Total size of inbound packages is 430 MiB. Need to download 430 MiB. After this operation 1 GiB will be used (install 1 GiB, remove 0 B). [ 1/688] flex-0:2.6.4-16.fc40.aarch64 100% | 3.2 MiB/s | 299.0 KiB | 00m00s [ 2/688] bison-0:3.8.2-7.fc40.aarch64 100% | 9.7 MiB/s | 979.2 KiB | 00m00s [ 3/688] graphviz-0:11.0.0-4.fc41.aarc 100% | 154.7 MiB/s | 4.5 MiB | 00m00s [ 4/688] latexmk-0:4.85-1.fc41.noarch 100% | 16.1 MiB/s | 444.9 KiB | 00m00s [ 5/688] iverilog-0:12.0-7.fc40.aarch6 100% | 36.3 MiB/s | 2.1 MiB | 00m00s [ 6/688] libfaketime-0:0.9.10-9.fc40.a 100% | 4.9 MiB/s | 106.3 KiB | 00m00s [ 7/688] libffi-devel-0:3.4.6-1.fc41.a 100% | 1.3 MiB/s | 27.7 KiB | 00m00s [ 8/688] pdf2svg-0:0.2.3-20.fc40.aarch 100% | 924.1 KiB/s | 20.3 KiB | 00m00s [ 9/688] make-1:4.4.1-6.fc40.aarch64 100% | 17.7 MiB/s | 580.1 KiB | 00m00s [ 10/688] python3-0:3.12.3-2.fc41.aarch 100% | 1.4 MiB/s | 27.4 KiB | 00m00s [ 11/688] gcc-c++-0:14.1.1-5.fc41.aarch 100% | 54.0 MiB/s | 12.9 MiB | 00m00s [ 12/688] python3-click-0:8.1.7-4.fc40. 100% | 6.3 MiB/s | 225.6 KiB | 00m00s [ 13/688] python3-furo-0:2024.04.27-1.f 100% | 3.3 MiB/s | 98.0 KiB | 00m00s [ 14/688] python3-sphinx-latex-1:7.2.6- 100% | 589.9 KiB/s | 10.6 KiB | 00m00s [ 15/688] python3-sphinxcontrib-bibtex- 100% | 4.2 MiB/s | 90.9 KiB | 00m00s [ 16/688] texlive-comment-11:svn41927-7 100% | 1.0 MiB/s | 18.3 KiB | 00m00s [ 17/688] readline-devel-0:8.2-8.fc40.a 100% | 7.2 MiB/s | 198.9 KiB | 00m00s [ 18/688] tcl-devel-1:8.6.14-1.fc41.aar 100% | 7.3 MiB/s | 163.5 KiB | 00m00s [ 19/688] texlive-standalone-11:svn6467 100% | 1.3 MiB/s | 28.0 KiB | 00m00s [ 20/688] txt2man-0:1.7.1-6.fc40.noarch 100% | 1.2 MiB/s | 28.0 KiB | 00m00s [ 21/688] texlive-pgfplots-11:svn61719- 100% | 21.0 MiB/s | 579.6 KiB | 00m00s [ 22/688] yosyshq-abc-0:0.41-1.20240524 100% | 677.5 KiB/s | 19.0 KiB | 00m00s [ 23/688] libstdc++-devel-0:14.1.1-5.fc 100% | 72.3 MiB/s | 2.6 MiB | 00m00s [ 24/688] tcl-1:8.6.14-1.fc41.aarch64 100% | 32.4 MiB/s | 1.1 MiB | 00m00s [ 25/688] python3-libs-0:3.12.3-2.fc41. 100% | 65.9 MiB/s | 8.8 MiB | 00m00s [ 26/688] yosyshq-abc-libs-0:0.41-1.202 100% | 36.5 MiB/s | 5.1 MiB | 00m00s [ 27/688] add-determinism-0:0.2.0-9.fc4 100% | 37.0 MiB/s | 833.0 KiB | 00m00s [ 28/688] gcc-0:14.1.1-5.fc41.aarch64 100% | 121.7 MiB/s | 33.8 MiB | 00m00s [ 29/688] glibc-devel-0:2.39.9000-18.fc 100% | 11.2 MiB/s | 549.4 KiB | 00m00s [ 30/688] gcc-plugin-annobin-0:14.1.1-5 100% | 2.6 MiB/s | 51.4 KiB | 00m00s [ 31/688] zlib-ng-compat-devel-0:2.1.6- 100% | 1.8 MiB/s | 36.6 KiB | 00m00s [ 32/688] cairo-0:1.18.0-3.fc40.aarch64 100% | 34.2 MiB/s | 701.3 KiB | 00m00s [ 33/688] expat-0:2.6.2-1.fc41.aarch64 100% | 5.7 MiB/s | 111.4 KiB | 00m00s [ 34/688] fontconfig-0:2.15.0-6.fc41.aa 100% | 12.8 MiB/s | 262.1 KiB | 00m00s [ 35/688] freetype-0:2.13.2-5.fc40.aarc 100% | 18.9 MiB/s | 406.1 KiB | 00m00s [ 36/688] gd-0:2.3.3-16.fc41.aarch64 100% | 6.9 MiB/s | 134.2 KiB | 00m00s [ 37/688] gdk-pixbuf2-0:2.42.12-1.fc41. 100% | 21.9 MiB/s | 470.1 KiB | 00m00s [ 38/688] graphviz-libs-0:11.0.0-4.fc41 100% | 91.3 MiB/s | 467.3 KiB | 00m00s [ 39/688] gts-0:0.7.6-48.20121130.fc40. 100% | 12.0 MiB/s | 232.7 KiB | 00m00s [ 40/688] glib2-0:2.80.2-1.fc41.aarch64 100% | 61.4 MiB/s | 3.0 MiB | 00m00s [ 41/688] cpp-0:14.1.1-5.fc41.aarch64 100% | 40.7 MiB/s | 10.7 MiB | 00m00s [ 42/688] harfbuzz-0:8.4.0-1.fc41.aarch 100% | 33.6 MiB/s | 996.6 KiB | 00m00s [ 43/688] lasi-0:1.1.3-13.fc40.aarch64 100% | 1.8 MiB/s | 53.8 KiB | 00m00s [ 44/688] libX11-0:1.8.9-1.fc41.aarch64 100% | 31.6 MiB/s | 647.3 KiB | 00m00s [ 45/688] libX11-common-0:1.8.9-1.fc41. 100% | 5.9 MiB/s | 144.5 KiB | 00m00s [ 46/688] libXrender-0:0.9.11-6.fc40.aa 100% | 1.5 MiB/s | 27.0 KiB | 00m00s [ 47/688] ghostscript-0:10.03.1-1.fc41. 100% | 1.7 MiB/s | 32.7 KiB | 00m00s [ 48/688] ghostscript-tools-fonts-0:10. 100% | 693.4 KiB/s | 12.5 KiB | 00m00s [ 49/688] ghostscript-tools-printing-0: 100% | 659.7 KiB/s | 13.2 KiB | 00m00s [ 50/688] librsvg2-0:2.57.1-7.fc41.aarc 100% | 45.1 MiB/s | 1.5 MiB | 00m00s [ 51/688] rsvg-pixbuf-loader-0:2.57.1-7 100% | 850.6 KiB/s | 16.2 KiB | 00m00s [ 52/688] libgs-0:10.03.1-1.fc41.aarch6 100% | 39.5 MiB/s | 3.4 MiB | 00m00s [ 53/688] libwebp-0:1.4.0-1.fc41.aarch6 100% | 10.6 MiB/s | 249.6 KiB | 00m00s [ 54/688] pango-0:1.52.2-1.fc41.aarch64 100% | 15.4 MiB/s | 347.6 KiB | 00m00s [ 55/688] poppler-glib-0:24.02.0-2.fc40 100% | 8.1 MiB/s | 183.2 KiB | 00m00s [ 56/688] urw-base35-fonts-0:20200910-2 100% | 485.9 KiB/s | 10.2 KiB | 00m00s [ 57/688] urw-base35-fonts-common-0:202 100% | 952.5 KiB/s | 21.0 KiB | 00m00s [ 58/688] urw-base35-standard-symbols-p 100% | 2.9 MiB/s | 58.4 KiB | 00m00s [ 59/688] urw-base35-z003-fonts-0:20200 100% | 12.2 MiB/s | 275.6 KiB | 00m00s [ 60/688] urw-base35-p052-fonts-0:20200 100% | 28.0 MiB/s | 973.4 KiB | 00m00s [ 61/688] urw-base35-nimbus-roman-fonts 100% | 31.0 MiB/s | 856.2 KiB | 00m00s [ 62/688] urw-base35-nimbus-sans-fonts- 100% | 43.4 MiB/s | 1.3 MiB | 00m00s [ 63/688] urw-base35-d050000l-fonts-0:2 100% | 3.4 MiB/s | 75.9 KiB | 00m00s [ 64/688] urw-base35-nimbus-mono-ps-fon 100% | 19.9 MiB/s | 794.8 KiB | 00m00s [ 65/688] urw-base35-gothic-fonts-0:202 100% | 24.1 MiB/s | 642.7 KiB | 00m00s [ 66/688] fonts-filesystem-1:2.0.5-15.f 100% | 436.6 KiB/s | 8.3 KiB | 00m00s [ 67/688] urw-base35-c059-fonts-0:20200 100% | 35.6 MiB/s | 874.1 KiB | 00m00s [ 68/688] urw-base35-bookman-fonts-0:20 100% | 29.5 MiB/s | 847.0 KiB | 00m00s [ 69/688] texlive-currfile-11:svn64673- 100% | 1.4 MiB/s | 19.6 KiB | 00m00s [ 70/688] texlive-adjustbox-11:svn64967 100% | 1.1 MiB/s | 30.1 KiB | 00m00s [ 71/688] texlive-base-11:20230311-84.f 100% | 74.4 MiB/s | 2.6 MiB | 00m00s [ 72/688] texlive-filemod-11:svn64967-7 100% | 820.7 KiB/s | 19.7 KiB | 00m00s [ 73/688] texlive-gincltex-11:svn64967- 100% | 913.7 KiB/s | 17.4 KiB | 00m00s [ 74/688] texlive-texlive-scripts-11:sv 100% | 8.4 MiB/s | 111.5 KiB | 00m00s [ 75/688] texlive-iftex-11:svn61910-71. 100% | 812.9 KiB/s | 19.5 KiB | 00m00s [ 76/688] texlive-kpathsea-11:svn66209- 100% | 38.7 MiB/s | 1.1 MiB | 00m00s [ 77/688] texlive-pgf-11:svn65553-71.fc 100% | 37.9 MiB/s | 815.9 KiB | 00m00s [ 78/688] texlive-multido-11:svn18302.1 100% | 719.2 KiB/s | 16.5 KiB | 00m00s [ 79/688] texlive-xkeyval-11:svn63616-7 100% | 1.9 MiB/s | 25.7 KiB | 00m00s [ 80/688] texlive-pstricks-11:svn65346- 100% | 4.5 MiB/s | 109.8 KiB | 00m00s [ 81/688] texlive-varwidth-11:svn24104. 100% | 871.7 KiB/s | 16.6 KiB | 00m00s [ 82/688] texlive-auto-pst-pdf-11:svn56 100% | 854.7 KiB/s | 15.4 KiB | 00m00s [ 83/688] texlive-amsmath-11:svn63514-7 100% | 2.0 MiB/s | 45.2 KiB | 00m00s [ 84/688] texlive-amsfonts-11:svn61937- 100% | 93.8 MiB/s | 3.6 MiB | 00m00s [ 85/688] texlive-bera-11:svn20031.0-71 100% | 14.3 MiB/s | 337.7 KiB | 00m00s [ 86/688] texlive-babel-11:svn65823-71. 100% | 13.5 MiB/s | 359.6 KiB | 00m00s [ 87/688] texlive-biblatex-11:svn66403- 100% | 11.5 MiB/s | 283.6 KiB | 00m00s [ 88/688] texlive-booktabs-11:svn53402- 100% | 909.0 KiB/s | 16.4 KiB | 00m00s [ 89/688] texlive-breakurl-11:svn29901. 100% | 873.2 KiB/s | 15.7 KiB | 00m00s [ 90/688] texlive-fancyvrb-11:svn65585- 100% | 1.9 MiB/s | 25.1 KiB | 00m00s [ 91/688] texlive-eso-pic-11:svn56658-7 100% | 990.1 KiB/s | 17.8 KiB | 00m00s [ 92/688] texlive-caption-11:svn66580-7 100% | 3.1 MiB/s | 79.9 KiB | 00m00s [ 93/688] texlive-footmisc-11:svn62524- 100% | 1.3 MiB/s | 23.3 KiB | 00m00s [ 94/688] texlive-hyperref-11:svn65758- 100% | 7.8 MiB/s | 112.0 KiB | 00m00s [ 95/688] texlive-filecontents-11:svn52 100% | 627.2 KiB/s | 15.1 KiB | 00m00s [ 96/688] texlive-paralist-11:svn43021- 100% | 905.3 KiB/s | 16.3 KiB | 00m00s [ 97/688] texlive-pst-3d-11:svn17257.1. 100% | 924.4 KiB/s | 16.6 KiB | 00m00s [ 98/688] texlive-pst-eps-11:svn15878.1 100% | 880.9 KiB/s | 15.9 KiB | 00m00s [ 99/688] texlive-pst-coil-11:svn62977- 100% | 710.7 KiB/s | 17.1 KiB | 00m00s [100/688] texlive-koma-script-11:svn646 100% | 114.9 MiB/s | 6.3 MiB | 00m00s [101/688] texlive-pst-grad-11:svn15878. 100% | 941.6 KiB/s | 16.9 KiB | 00m00s [102/688] texlive-pst-fill-11:svn60671- 100% | 619.4 KiB/s | 16.7 KiB | 00m00s [103/688] texlive-pst-node-11:svn61838- 100% | 2.1 MiB/s | 38.8 KiB | 00m00s [104/688] texlive-pst-plot-11:svn65346- 100% | 1.9 MiB/s | 35.8 KiB | 00m00s [105/688] texlive-pst-ovl-11:svn54963-7 100% | 629.4 KiB/s | 15.1 KiB | 00m00s [106/688] texlive-pst-text-11:svn49542- 100% | 971.3 KiB/s | 17.5 KiB | 00m00s [107/688] texlive-pst-tree-11:svn60421- 100% | 1.1 MiB/s | 19.6 KiB | 00m00s [108/688] texlive-pstricks-add-11:svn65 100% | 2.7 MiB/s | 35.4 KiB | 00m00s [109/688] texlive-pst-tools-11:svn60621 100% | 851.6 KiB/s | 19.6 KiB | 00m00s [110/688] texlive-setspace-11:svn65206- 100% | 924.9 KiB/s | 16.6 KiB | 00m00s [111/688] texlive-showexpl-11:svn57414- 100% | 930.9 KiB/s | 16.8 KiB | 00m00s [112/688] texlive-ragged2e-11:svn66152- 100% | 17.0 MiB/s | 680.2 KiB | 00m00s [113/688] texlive-subfig-11:svn15878.1. 100% | 869.0 KiB/s | 20.0 KiB | 00m00s [114/688] texlive-tools-11:svn64892-71. 100% | 3.3 MiB/s | 65.0 KiB | 00m00s [115/688] texlive-xcolor-11:svn63563-71 100% | 1.7 MiB/s | 31.0 KiB | 00m00s [116/688] texlive-pst-math-11:svn64732- 100% | 1.0 MiB/s | 18.8 KiB | 00m00s [117/688] texlive-listings-11:svn66222- 100% | 8.5 MiB/s | 165.7 KiB | 00m00s [118/688] texlive-luatexbase-11:svn5266 100% | 816.6 KiB/s | 14.7 KiB | 00m00s [119/688] texlive-atveryend-11:svn53108 100% | 18.7 MiB/s | 383.8 KiB | 00m00s [120/688] texlive-luatex-11:svn66967-84 100% | 79.7 MiB/s | 3.7 MiB | 00m00s [121/688] texlive-fp-11:svn49719-71.fc4 100% | 1.3 MiB/s | 34.5 KiB | 00m00s [122/688] texlive-ms-11:svn57473-71.fc4 100% | 724.1 KiB/s | 15.9 KiB | 00m00s [123/688] texlive-ctablestack-11:svn385 100% | 929.8 KiB/s | 16.7 KiB | 00m00s [124/688] texlive-etex-pkg-11:svn41784- 100% | 805.2 KiB/s | 15.3 KiB | 00m00s [125/688] texlive-pdftexcmds-11:svn5577 100% | 19.0 MiB/s | 389.4 KiB | 00m00s [126/688] libpng-2:1.6.40-3.fc40.aarch6 100% | 6.3 MiB/s | 116.0 KiB | 00m00s [127/688] texlive-etex-11:svn66203-71.f 100% | 1.5 MiB/s | 27.0 KiB | 00m00s [128/688] texlive-cm-11:svn57963-71.fc4 100% | 14.0 MiB/s | 287.1 KiB | 00m00s [129/688] texlive-graphics-def-11:svn64 100% | 1.5 MiB/s | 27.0 KiB | 00m00s [130/688] texlive-hyph-utf8-11:svn61719 100% | 1.5 MiB/s | 28.0 KiB | 00m00s [131/688] texlive-hyphen-base-11:svn664 100% | 1.7 MiB/s | 32.3 KiB | 00m00s [132/688] texlive-knuth-lib-11:svn57963 100% | 2.4 MiB/s | 44.9 KiB | 00m00s [133/688] texlive-lib-11:20230311-84.fc 100% | 22.9 MiB/s | 492.4 KiB | 00m00s [134/688] texlive-plain-11:svn57963-71. 100% | 2.1 MiB/s | 42.2 KiB | 00m00s [135/688] texlive-tex-ini-files-11:svn4 100% | 737.3 KiB/s | 14.0 KiB | 00m00s [136/688] texlive-unicode-data-11:svn64 100% | 17.8 MiB/s | 365.2 KiB | 00m00s [137/688] zziplib-0:0.13.74-1.fc41.aarc 100% | 4.3 MiB/s | 88.0 KiB | 00m00s [138/688] texlive-babelbib-11:svn57349- 100% | 3.3 MiB/s | 44.6 KiB | 00m00s [139/688] texlive-url-11:svn32528.3.4-7 100% | 1.0 MiB/s | 18.3 KiB | 00m00s [140/688] texlive-algorithms-11:svn4242 100% | 906.0 KiB/s | 21.7 KiB | 00m00s [141/688] texlive-bookmark-11:svn56885- 100% | 22.3 MiB/s | 478.5 KiB | 00m00s [142/688] texlive-geometry-11:svn61719- 100% | 1.2 MiB/s | 21.6 KiB | 00m00s [143/688] texlive-etoolbox-11:svn56554- 100% | 1.2 MiB/s | 24.3 KiB | 00m00s [144/688] texlive-marginnote-11:svn4838 100% | 837.6 KiB/s | 15.9 KiB | 00m00s [145/688] texlive-mparhack-11:svn59066- 100% | 871.9 KiB/s | 16.6 KiB | 00m00s [146/688] texlive-marvosym-11:svn29349. 100% | 7.1 MiB/s | 145.1 KiB | 00m00s [147/688] texlive-picture-11:svn54867-7 100% | 15.2 MiB/s | 310.8 KiB | 00m00s [148/688] texlive-auxhook-11:svn53173-7 100% | 14.3 MiB/s | 293.4 KiB | 00m00s [149/688] texlive-atbegshi-11:svn53051- 100% | 18.9 MiB/s | 407.3 KiB | 00m00s [150/688] texlive-bitset-11:svn53837-71 100% | 28.8 MiB/s | 618.8 KiB | 00m00s [151/688] texlive-etexcmds-11:svn53171- 100% | 14.4 MiB/s | 308.7 KiB | 00m00s [152/688] texlive-gettitlestring-11:svn 100% | 15.1 MiB/s | 325.8 KiB | 00m00s [153/688] texlive-hobsub-11:svn52810-71 100% | 3.9 MiB/s | 76.2 KiB | 00m00s [154/688] texlive-hycolor-11:svn53584-7 100% | 18.7 MiB/s | 382.5 KiB | 00m00s [155/688] texlive-infwarerr-11:svn53023 100% | 14.3 MiB/s | 293.7 KiB | 00m00s [156/688] texlive-intcalc-11:svn53168-7 100% | 21.0 MiB/s | 430.7 KiB | 00m00s [157/688] texlive-kvdefinekeys-11:svn53 100% | 14.9 MiB/s | 304.6 KiB | 00m00s [158/688] texlive-kvoptions-11:svn63622 100% | 22.9 MiB/s | 492.2 KiB | 00m00s [159/688] texlive-kvsetkeys-11:svn64632 100% | 18.5 MiB/s | 397.0 KiB | 00m00s [160/688] texlive-letltxmacro-11:svn530 100% | 13.9 MiB/s | 298.5 KiB | 00m00s [161/688] texlive-ltxcmds-11:svn56421-7 100% | 17.7 MiB/s | 398.6 KiB | 00m00s [162/688] texlive-refcount-11:svn53164- 100% | 19.2 MiB/s | 333.5 KiB | 00m00s [163/688] texlive-memoir-11:svn65040-71 100% | 3.6 MiB/s | 92.8 KiB | 00m00s [164/688] texlive-pdfescape-11:svn53082 100% | 14.9 MiB/s | 351.5 KiB | 00m00s [165/688] texlive-rerunfilecheck-11:svn 100% | 15.8 MiB/s | 339.3 KiB | 00m00s [166/688] texlive-zapfding-11:svn61719- 100% | 3.2 MiB/s | 62.8 KiB | 00m00s [167/688] texlive-stringenc-11:svn52982 100% | 25.1 MiB/s | 693.0 KiB | 00m00s [168/688] texlive-svn-prov-11:svn64967- 100% | 790.6 KiB/s | 15.0 KiB | 00m00s [169/688] texlive-epstopdf-11:svn66461- 100% | 2.2 MiB/s | 29.6 KiB | 00m00s [170/688] texlive-epstopdf-pkg-11:svn53 100% | 13.6 MiB/s | 362.7 KiB | 00m00s [171/688] texlive-bigintcalc-11:svn5317 100% | 21.6 MiB/s | 464.5 KiB | 00m00s [172/688] biber-0:2.19-5.fc40.noarch 100% | 14.4 MiB/s | 280.3 KiB | 00m00s [173/688] texlive-filehook-11:svn64822- 100% | 764.8 KiB/s | 21.4 KiB | 00m00s [174/688] texlive-csquotes-11:svn64389- 100% | 1.8 MiB/s | 34.2 KiB | 00m00s [175/688] texlive-logreq-11:svn53003-71 100% | 1.0 MiB/s | 19.0 KiB | 00m00s [176/688] texlive-polyglossia-11:svn657 100% | 8.5 MiB/s | 174.9 KiB | 00m00s [177/688] texlive-ifplatform-11:svn4553 100% | 1.1 MiB/s | 14.8 KiB | 00m00s [178/688] texlive-xstring-11:svn65551-7 100% | 1.2 MiB/s | 24.1 KiB | 00m00s [179/688] texlive-xpatch-11:svn54563-71 100% | 690.9 KiB/s | 17.3 KiB | 00m00s [180/688] texlive-ifoddpage-11:svn64967 100% | 1.2 MiB/s | 16.8 KiB | 00m00s [181/688] texlive-collectbox-11:svn6496 100% | 873.1 KiB/s | 18.3 KiB | 00m00s [182/688] texlive-float-11:svn15878.1.3 100% | 641.7 KiB/s | 15.4 KiB | 00m00s [183/688] cairo-gobject-0:1.18.0-3.fc40 100% | 976.9 KiB/s | 18.6 KiB | 00m00s [184/688] ncurses-devel-0:6.4-12.202401 100% | 21.8 MiB/s | 535.0 KiB | 00m00s [185/688] python3-docutils-0:0.20.1-3.f 100% | 28.6 MiB/s | 996.2 KiB | 00m00s [186/688] python3-pybtex-0:0.24.0-15.fc 100% | 10.7 MiB/s | 240.5 KiB | 00m00s [187/688] python3-pybtex-docutils-0:1.0 100% | 2.0 MiB/s | 52.5 KiB | 00m00s [188/688] python3-sphinx-1:7.2.6-6.fc40 100% | 69.0 MiB/s | 2.5 MiB | 00m00s [189/688] texlive-anyfontsize-11:svn170 100% | 710.9 KiB/s | 14.9 KiB | 00m00s [190/688] texlive-amscls-11:svn55378-71 100% | 27.3 MiB/s | 1.1 MiB | 00m00s [191/688] texlive-cmap-11:svn57640-71.f 100% | 1.0 MiB/s | 20.1 KiB | 00m00s [192/688] texlive-collection-fontsrecom 100% | 627.7 KiB/s | 10.0 KiB | 00m00s [193/688] texlive-capt-of-11:svn29803.0 100% | 547.5 KiB/s | 13.7 KiB | 00m00s [194/688] texlive-collection-latex-11:s 100% | 434.3 KiB/s | 10.4 KiB | 00m00s [195/688] texlive-dvipng-11:svn66203-84 100% | 13.9 MiB/s | 313.5 KiB | 00m00s [196/688] texlive-fncychap-11:svn20710. 100% | 1.1 MiB/s | 20.4 KiB | 00m00s [197/688] texlive-fancyhdr-11:svn64977- 100% | 791.0 KiB/s | 18.2 KiB | 00m00s [198/688] texlive-dvisvgm-11:svn66532-8 100% | 19.8 MiB/s | 1.3 MiB | 00m00s [199/688] texlive-framed-11:svn26789.0. 100% | 663.3 KiB/s | 17.2 KiB | 00m00s [200/688] texlive-needspace-11:svn29601 100% | 677.4 KiB/s | 13.5 KiB | 00m00s [201/688] texlive-luatex85-11:svn41456- 100% | 661.8 KiB/s | 17.2 KiB | 00m00s [202/688] texlive-parskip-11:svn58358-7 100% | 643.2 KiB/s | 15.4 KiB | 00m00s [203/688] texlive-tabulary-11:svn34368. 100% | 883.4 KiB/s | 16.8 KiB | 00m00s [204/688] texlive-gnu-freefont-11:svn29 100% | 79.8 MiB/s | 7.4 MiB | 00m00s [205/688] texlive-titlesec-11:svn59845- 100% | 1.3 MiB/s | 32.7 KiB | 00m00s [206/688] texlive-ucs-11:svn64114-71.fc 100% | 15.7 MiB/s | 385.1 KiB | 00m00s [207/688] texlive-upquote-11:svn26059.v 100% | 814.1 KiB/s | 15.5 KiB | 00m00s [208/688] texlive-wrapfig-11:svn61719-7 100% | 1.1 MiB/s | 21.7 KiB | 00m00s [209/688] python3-imagesize-0:1.4.1-7.f 100% | 1.2 MiB/s | 21.9 KiB | 00m00s [210/688] python3-jinja2-0:3.1.4-2.fc41 100% | 18.9 MiB/s | 464.5 KiB | 00m00s [211/688] python3-packaging-0:24.0-1.fc 100% | 5.4 MiB/s | 116.4 KiB | 00m00s [212/688] python3-requests-0:2.31.0-5.f 100% | 6.9 MiB/s | 141.8 KiB | 00m00s [213/688] python3-pygments-0:2.17.2-3.f 100% | 55.0 MiB/s | 2.3 MiB | 00m00s [214/688] python3-snowballstemmer-0:2.2 100% | 11.2 MiB/s | 239.8 KiB | 00m00s [215/688] python3-sphinx-theme-alabaste 100% | 1.2 MiB/s | 24.0 KiB | 00m00s [216/688] python3-charset-normalizer-0: 100% | 5.4 MiB/s | 98.9 KiB | 00m00s [217/688] python3-idna-0:3.7-1.fc41.noa 100% | 5.7 MiB/s | 110.2 KiB | 00m00s [218/688] python3-babel-0:2.15.0-1.fc41 100% | 44.8 MiB/s | 6.4 MiB | 00m00s [219/688] python3-urllib3-0:1.26.18-3.f 100% | 10.8 MiB/s | 253.6 KiB | 00m00s [220/688] python3-latexcodec-0:3.0.0-3. 100% | 1.2 MiB/s | 37.9 KiB | 00m00s [221/688] python3-pyyaml-0:6.0.1-14.fc4 100% | 10.4 MiB/s | 213.2 KiB | 00m00s [222/688] python3-setuptools-0:69.2.0-3 100% | 43.1 MiB/s | 1.4 MiB | 00m00s [223/688] libb2-0:0.98.1-11.fc40.aarch6 100% | 1.3 MiB/s | 24.3 KiB | 00m00s [224/688] python3-six-0:1.16.0-14.fc40. 100% | 1.6 MiB/s | 40.9 KiB | 00m00s [225/688] tzdata-0:2024a-8.fc41.noarch 100% | 28.0 MiB/s | 430.8 KiB | 00m00s [226/688] mpdecimal-0:2.5.1-9.fc40.aarc 100% | 3.3 MiB/s | 88.8 KiB | 00m00s [227/688] python-pip-wheel-0:24.0-2.fc4 100% | 46.1 MiB/s | 1.5 MiB | 00m00s [228/688] python3-markupsafe-0:2.1.5-1. 100% | 1.2 MiB/s | 30.7 KiB | 00m00s [229/688] python3-beautifulsoup4-0:4.12 100% | 14.1 MiB/s | 302.5 KiB | 00m00s [230/688] python3-soupsieve-0:2.5-3.fc4 100% | 6.9 MiB/s | 92.0 KiB | 00m00s [231/688] python3-sphinx-basic-ng-0:1.0 100% | 1.0 MiB/s | 25.6 KiB | 00m00s [232/688] python3-lxml-0:5.2.1-1.fc41.a 100% | 43.1 MiB/s | 1.3 MiB | 00m00s [233/688] gpgmepp-0:1.23.2-3.fc40.aarch 100% | 6.7 MiB/s | 130.4 KiB | 00m00s [234/688] lcms2-0:2.16-3.fc40.aarch64 100% | 9.4 MiB/s | 183.7 KiB | 00m00s [235/688] poppler-0:24.02.0-2.fc40.aarc 100% | 30.4 MiB/s | 1.2 MiB | 00m00s [236/688] libjpeg-turbo-0:3.0.2-2.fc41. 100% | 12.8 MiB/s | 262.0 KiB | 00m00s [237/688] nspr-0:4.35.0-23.fc41.aarch64 100% | 7.0 MiB/s | 136.0 KiB | 00m00s [238/688] nss-0:3.100.0-1.fc41.aarch64 100% | 31.2 MiB/s | 702.6 KiB | 00m00s [239/688] libtiff-0:4.6.0-2.fc40.aarch6 100% | 11.2 MiB/s | 332.7 KiB | 00m00s [240/688] openjpeg2-0:2.5.2-1.fc41.aarc 100% | 12.9 MiB/s | 185.0 KiB | 00m00s [241/688] crypto-policies-scripts-0:202 100% | 4.4 MiB/s | 108.2 KiB | 00m00s [242/688] nss-sysinit-0:3.100.0-1.fc41. 100% | 1.0 MiB/s | 18.8 KiB | 00m00s [243/688] libXft-0:2.3.8-6.fc40.aarch64 100% | 3.7 MiB/s | 71.3 KiB | 00m00s [244/688] fribidi-0:1.0.15-1.fc41.aarch 100% | 4.3 MiB/s | 92.3 KiB | 00m00s [245/688] poppler-data-0:0.4.11-7.fc40. 100% | 59.9 MiB/s | 2.0 MiB | 00m00s [246/688] nss-util-0:3.100.0-1.fc41.aar 100% | 4.4 MiB/s | 86.5 KiB | 00m00s [247/688] libthai-0:0.1.29-8.fc40.aarch 100% | 8.0 MiB/s | 213.2 KiB | 00m00s [248/688] nss-softokn-0:3.100.0-1.fc41. 100% | 25.4 MiB/s | 416.5 KiB | 00m00s [249/688] nss-softokn-freebl-0:3.100.0- 100% | 13.5 MiB/s | 373.1 KiB | 00m00s [250/688] ncurses-c++-libs-0:6.4-12.202 100% | 1.7 MiB/s | 37.7 KiB | 00m00s [251/688] liblerc-0:4.0.0-6.fc40.aarch6 100% | 9.2 MiB/s | 189.4 KiB | 00m00s [252/688] jbigkit-libs-0:2.1-29.fc40.aa 100% | 2.2 MiB/s | 53.0 KiB | 00m00s [253/688] libdatrie-0:0.2.13-9.fc40.aar 100% | 1.3 MiB/s | 32.1 KiB | 00m00s [254/688] guile30-0:3.0.9-1.fc41.aarch6 100% | 103.8 MiB/s | 8.2 MiB | 00m00s [255/688] adobe-mappings-cmap-0:2023062 100% | 48.8 MiB/s | 2.1 MiB | 00m00s [256/688] adobe-mappings-cmap-deprecate 100% | 4.1 MiB/s | 114.0 KiB | 00m00s [257/688] adobe-mappings-pdf-0:20190401 100% | 30.5 MiB/s | 687.0 KiB | 00m00s [258/688] cups-libs-1:2.4.8-5.fc41.aarc 100% | 10.5 MiB/s | 267.7 KiB | 00m00s [259/688] jbig2dec-libs-0:0.20-4.fc40.a 100% | 3.7 MiB/s | 72.1 KiB | 00m00s [260/688] google-droid-sans-fonts-0:202 100% | 67.6 MiB/s | 2.7 MiB | 00m00s [261/688] libXt-0:1.3.0-3.fc40.aarch64 100% | 6.9 MiB/s | 176.8 KiB | 00m00s [262/688] libijs-0:0.35-22.fc40.aarch64 100% | 1.3 MiB/s | 29.3 KiB | 00m00s [263/688] libSM-0:1.2.4-3.fc40.aarch64 100% | 3.0 MiB/s | 43.0 KiB | 00m00s [264/688] libICE-0:1.1.1-3.fc40.aarch64 100% | 4.0 MiB/s | 73.6 KiB | 00m00s [265/688] libpaper-1:2.1.1-6.fc41.aarch 100% | 1.1 MiB/s | 27.3 KiB | 00m00s [266/688] perl-Digest-MD5-0:2.59-3.fc40 100% | 1.8 MiB/s | 35.8 KiB | 00m00s [267/688] libxcb-0:1.17.0-1.fc41.aarch6 100% | 11.7 MiB/s | 240.4 KiB | 00m00s [268/688] perl-File-Basename-0:2.86-507 100% | 910.1 KiB/s | 17.3 KiB | 00m00s [269/688] perl-File-Copy-0:2.41-507.fc4 100% | 1.0 MiB/s | 20.2 KiB | 00m00s [270/688] perl-Encode-4:3.21-505.fc41.a 100% | 38.1 MiB/s | 1.7 MiB | 00m00s [271/688] perl-FileHandle-0:2.05-507.fc 100% | 1.1 MiB/s | 15.6 KiB | 00m00s [272/688] perl-File-Path-0:2.18-503.fc4 100% | 1.9 MiB/s | 35.0 KiB | 00m00s [273/688] perl-File-Find-0:1.43-507.fc4 100% | 1.0 MiB/s | 25.4 KiB | 00m00s [274/688] perl-PathTools-0:3.91-503.fc4 100% | 4.3 MiB/s | 82.8 KiB | 00m00s [275/688] perl-Scalar-List-Utils-5:1.63 100% | 3.7 MiB/s | 71.5 KiB | 00m00s [276/688] perl-Time-HiRes-4:1.9777-503. 100% | 3.0 MiB/s | 57.4 KiB | 00m00s [277/688] perl-Unicode-Normalize-0:1.32 100% | 3.6 MiB/s | 89.1 KiB | 00m00s [278/688] perl-interpreter-4:5.38.2-507 100% | 2.8 MiB/s | 72.0 KiB | 00m00s [279/688] perl-libs-4:5.38.2-507.fc41.a 100% | 69.2 MiB/s | 2.2 MiB | 00m00s [280/688] perl-sigtrap-0:1.10-507.fc41. 100% | 830.1 KiB/s | 15.8 KiB | 00m00s [281/688] perl-Errno-0:1.37-507.fc41.aa 100% | 578.3 KiB/s | 15.0 KiB | 00m00s [282/688] graphite2-0:1.3.14-15.fc40.aa 100% | 4.7 MiB/s | 92.1 KiB | 00m00s [283/688] xdg-utils-0:1.2.1-1.fc41.noar 100% | 3.1 MiB/s | 79.3 KiB | 00m00s [284/688] gc-0:8.2.2-6.fc40.aarch64 100% | 5.1 MiB/s | 109.7 KiB | 00m00s [285/688] netpbm-0:11.02.00-6.fc40.aarc 100% | 6.4 MiB/s | 184.4 KiB | 00m00s [286/688] libassuan-0:2.5.7-1.fc41.aarc 100% | 3.6 MiB/s | 66.6 KiB | 00m00s [287/688] gpgme-0:1.23.2-3.fc40.aarch64 100% | 7.4 MiB/s | 210.9 KiB | 00m00s [288/688] gnupg2-0:2.4.5-1.fc41.aarch64 100% | 35.8 MiB/s | 2.6 MiB | 00m00s [289/688] gnutls-0:3.8.5-2.fc41.aarch64 100% | 27.0 MiB/s | 1.1 MiB | 00m00s [290/688] libgcrypt-0:1.10.3-4.fc41.aar 100% | 16.5 MiB/s | 455.1 KiB | 00m00s [291/688] libksba-0:1.6.6-1.fc41.aarch6 100% | 6.4 MiB/s | 158.0 KiB | 00m00s [292/688] texlive-latex-11:svn65161-84. 100% | 104.7 MiB/s | 29.8 MiB | 00m00s [293/688] npth-0:1.7-1.fc41.aarch64 100% | 530.2 KiB/s | 24.9 KiB | 00m00s [294/688] tpm2-tss-0:4.1.3-1.fc41.aarch 100% | 17.8 MiB/s | 401.4 KiB | 00m00s [295/688] libxcrypt-devel-0:4.4.36-5.fc 100% | 1.6 MiB/s | 28.6 KiB | 00m00s [296/688] kernel-headers-0:6.10.0-0.rc2 100% | 29.6 MiB/s | 1.4 MiB | 00m00s [297/688] shared-mime-info-0:2.3-5.fc41 100% | 17.2 MiB/s | 388.5 KiB | 00m00s [298/688] libavif-0:1.0.4-3.fc41.aarch6 100% | 4.2 MiB/s | 89.8 KiB | 00m00s [299/688] libXpm-0:3.5.17-3.fc40.aarch6 100% | 2.6 MiB/s | 64.2 KiB | 00m00s [300/688] libmpc-0:1.3.1-5.fc40.aarch64 100% | 3.9 MiB/s | 72.4 KiB | 00m00s [301/688] libimagequant-0:4.0.3-3.fc40. 100% | 11.9 MiB/s | 293.6 KiB | 00m00s [302/688] annobin-docs-0:12.54-2.fc41.n 100% | 4.6 MiB/s | 89.8 KiB | 00m00s [303/688] annobin-plugin-gcc-0:12.54-2. 100% | 25.4 MiB/s | 964.2 KiB | 00m00s [304/688] libasan-0:14.1.1-5.fc41.aarch 100% | 24.2 MiB/s | 494.9 KiB | 00m00s [305/688] libatomic-0:14.1.1-5.fc41.aar 100% | 1.6 MiB/s | 40.3 KiB | 00m00s [306/688] libubsan-0:14.1.1-5.fc41.aarc 100% | 11.0 MiB/s | 214.1 KiB | 00m00s [307/688] xml-common-0:0.6.3-63.fc40.no 100% | 1.7 MiB/s | 31.0 KiB | 00m00s [308/688] default-fonts-core-sans-0:4.0 100% | 954.6 KiB/s | 24.8 KiB | 00m00s [309/688] abattis-cantarell-vf-fonts-0: 100% | 6.2 MiB/s | 120.3 KiB | 00m00s [310/688] m4-0:1.4.19-9.fc40.aarch64 100% | 11.1 MiB/s | 295.2 KiB | 00m00s [311/688] google-noto-fonts-common-0:20 100% | 971.7 KiB/s | 17.5 KiB | 00m00s [312/688] google-noto-sans-vf-fonts-0:2 100% | 16.1 MiB/s | 593.5 KiB | 00m00s [313/688] avahi-libs-0:0.8-26.fc40.aarc 100% | 3.3 MiB/s | 66.6 KiB | 00m00s [314/688] libXext-0:1.3.6-1.fc40.aarch6 100% | 1.6 MiB/s | 38.7 KiB | 00m00s [315/688] pixman-0:0.43.4-1.fc41.aarch6 100% | 7.6 MiB/s | 218.2 KiB | 00m00s [316/688] perl-Business-ISBN-0:3.009-4. 100% | 1.3 MiB/s | 32.1 KiB | 00m00s [317/688] perl-Business-ISMN-0:1.204-1. 100% | 1.3 MiB/s | 25.5 KiB | 00m00s [318/688] perl-Carp-0:1.54-502.fc40.noa 100% | 1.6 MiB/s | 28.7 KiB | 00m00s [319/688] perl-Business-ISSN-0:1.005-10 100% | 728.4 KiB/s | 18.2 KiB | 00m00s [320/688] perl-Data-Compare-0:1.29-4.fc 100% | 1.9 MiB/s | 34.4 KiB | 00m00s [321/688] perl-Class-Accessor-0:0.51-20 100% | 1.0 MiB/s | 28.2 KiB | 00m00s [322/688] perl-Data-Dump-0:1.25-10.fc40 100% | 1.3 MiB/s | 32.4 KiB | 00m00s [323/688] perl-Data-Uniqid-0:0.12-33.fc 100% | 667.5 KiB/s | 12.0 KiB | 00m00s [324/688] perl-DateTime-Calendar-Julian 100% | 1.3 MiB/s | 23.0 KiB | 00m00s [325/688] perl-DateTime-2:1.65-3.fc40.a 100% | 5.3 MiB/s | 129.2 KiB | 00m00s [326/688] perl-DateTime-Format-Builder- 100% | 3.4 MiB/s | 83.2 KiB | 00m00s [327/688] perl-DateTime-TimeZone-0:2.62 100% | 16.7 MiB/s | 342.0 KiB | 00m00s [328/688] perl-File-Slurper-0:0.014-5.f 100% | 1.1 MiB/s | 21.0 KiB | 00m00s [329/688] perl-Exporter-0:5.78-3.fc40.n 100% | 1.3 MiB/s | 30.8 KiB | 00m00s [330/688] perl-Getopt-Long-1:2.57-4.fc4 100% | 3.3 MiB/s | 63.5 KiB | 00m00s [331/688] perl-File-Temp-1:0.231.100-50 100% | 2.4 MiB/s | 59.0 KiB | 00m00s [332/688] perl-IO-String-0:1.08-50.fc40 100% | 897.0 KiB/s | 17.0 KiB | 00m00s [333/688] perl-IO-0:1.52-507.fc41.aarch 100% | 3.2 MiB/s | 77.8 KiB | 00m00s [334/688] perl-IPC-Cmd-2:1.04-504.fc40. 100% | 1.6 MiB/s | 39.5 KiB | 00m00s [335/688] perl-IPC-Run3-0:0.049-1.fc40. 100% | 1.9 MiB/s | 38.1 KiB | 00m00s [336/688] perl-Lingua-Translit-0:0.29-6 100% | 1.8 MiB/s | 32.9 KiB | 00m00s [337/688] perl-LWP-Protocol-https-0:6.1 100% | 818.7 KiB/s | 21.3 KiB | 00m00s [338/688] perl-List-AllUtils-0:0.19-12. 100% | 2.0 MiB/s | 48.8 KiB | 00m00s [339/688] perl-Log-Log4perl-0:1.57-5.fc 100% | 16.0 MiB/s | 343.1 KiB | 00m00s [340/688] perl-POSIX-0:2.13-507.fc41.aa 100% | 4.0 MiB/s | 97.6 KiB | 00m00s [341/688] perl-Parse-RecDescent-0:1.967 100% | 8.7 MiB/s | 195.6 KiB | 00m00s [342/688] perl-Sort-Key-0:1.33-30.fc40. 100% | 2.4 MiB/s | 45.1 KiB | 00m00s [343/688] perl-Regexp-Common-0:20170602 100% | 6.7 MiB/s | 172.1 KiB | 00m00s [344/688] perl-Storable-1:3.32-502.fc40 100% | 4.0 MiB/s | 97.4 KiB | 00m00s [345/688] perl-Text-Balanced-0:2.06-502 100% | 2.5 MiB/s | 48.8 KiB | 00m00s [346/688] perl-Text-CSV-0:2.04-1.fc41.n 100% | 5.7 MiB/s | 115.9 KiB | 00m00s [347/688] perl-Text-BibTeX-0:0.89-5.fc4 100% | 9.1 MiB/s | 243.5 KiB | 00m00s [348/688] perl-Text-Roman-0:3.5-27.fc40 100% | 969.2 KiB/s | 22.3 KiB | 00m00s [349/688] perl-Text-Tabs+Wrap-0:2024.00 100% | 1.1 MiB/s | 21.6 KiB | 00m00s [350/688] perl-URI-0:5.28-1.fc41.noarch 100% | 4.9 MiB/s | 119.6 KiB | 00m00s [351/688] perl-Unicode-Collate-0:1.31-5 100% | 27.9 MiB/s | 715.3 KiB | 00m00s [352/688] perl-Unicode-UCD-0:0.78-507.f 100% | 4.3 MiB/s | 78.4 KiB | 00m00s [353/688] perl-Unicode-LineBreak-0:2019 100% | 4.6 MiB/s | 114.0 KiB | 00m00s [354/688] perl-XML-LibXML-Simple-0:1.01 100% | 1.7 MiB/s | 32.4 KiB | 00m00s [355/688] perl-XML-LibXML-1:2.0210-1.fc 100% | 11.9 MiB/s | 330.2 KiB | 00m00s [356/688] perl-XML-Writer-0:0.900-14.fc 100% | 1.8 MiB/s | 33.6 KiB | 00m00s [357/688] perl-XML-LibXSLT-0:2.002.001- 100% | 2.6 MiB/s | 63.6 KiB | 00m00s [358/688] perl-constant-0:1.33-503.fc40 100% | 1.2 MiB/s | 22.8 KiB | 00m00s [359/688] perl-autovivification-0:0.18- 100% | 1.4 MiB/s | 32.6 KiB | 00m00s [360/688] perl-locale-0:1.10-507.fc41.n 100% | 725.3 KiB/s | 13.8 KiB | 00m00s [361/688] perl-libwww-perl-0:6.77-1.fc4 100% | 7.8 MiB/s | 199.0 KiB | 00m00s [362/688] perl-vars-0:1.05-507.fc41.noa 100% | 728.6 KiB/s | 13.1 KiB | 00m00s [363/688] perl-parent-1:0.241-502.fc40. 100% | 612.8 KiB/s | 14.7 KiB | 00m00s [364/688] dbus-libs-1:1.14.10-3.fc40.aa 100% | 6.3 MiB/s | 155.9 KiB | 00m00s [365/688] desktop-file-utils-0:0.26-12. 100% | 3.5 MiB/s | 71.6 KiB | 00m00s [366/688] texlive-l3kernel-11:svn66094- 100% | 10.1 MiB/s | 196.2 KiB | 00m00s [367/688] json-c-0:0.17-3.fc40.aarch64 100% | 1.8 MiB/s | 45.3 KiB | 00m00s [368/688] texlive-l3packages-11:svn6572 100% | 1.6 MiB/s | 39.3 KiB | 00m00s [369/688] texlive-colortbl-11:svn64015- 100% | 773.6 KiB/s | 16.2 KiB | 00m00s [370/688] libgpg-error-0:1.49-1.fc41.aa 100% | 208.1 KiB/s | 227.9 KiB | 00m01s [371/688] texlive-pdfcolmk-11:svn52912- 100% | 953.8 KiB/s | 17.2 KiB | 00m00s [372/688] texlive-gsftopk-11:svn52851-8 100% | 2.6 MiB/s | 34.2 KiB | 00m00s [373/688] texlive-graphics-cfg-11:svn41 100% | 487.8 KiB/s | 11.2 KiB | 00m00s [374/688] texlive-attachfile-11:svn4209 100% | 1.0 MiB/s | 20.9 KiB | 00m00s [375/688] texlive-uniquecounter-11:svn5 100% | 15.4 MiB/s | 299.0 KiB | 00m00s [376/688] texlive-texlive.infra-11:svn6 100% | 11.7 MiB/s | 287.6 KiB | 00m00s [377/688] texlive-fontspec-11:svn63386- 100% | 2.4 MiB/s | 43.3 KiB | 00m00s [378/688] texlive-bidi-11:svn65572-71.f 100% | 9.0 MiB/s | 174.3 KiB | 00m00s [379/688] texlive-luabidi-11:svn54512-7 100% | 975.7 KiB/s | 18.5 KiB | 00m00s [380/688] texlive-makecmds-11:svn15878. 100% | 777.2 KiB/s | 14.0 KiB | 00m00s [381/688] texlive-hypdoc-11:svn65678-71 100% | 15.5 MiB/s | 333.7 KiB | 00m00s [382/688] texlive-latexconfig-11:svn535 100% | 779.6 KiB/s | 14.0 KiB | 00m00s [383/688] texlive-latex-fonts-11:svn288 100% | 1.6 MiB/s | 38.9 KiB | 00m00s [384/688] texlive-psnfss-11:svn54694-71 100% | 2.1 MiB/s | 40.5 KiB | 00m00s [385/688] texlive-alphalph-11:svn53087- 100% | 14.6 MiB/s | 359.4 KiB | 00m00s [386/688] texlive-enumitem-11:svn51423- 100% | 1.3 MiB/s | 26.2 KiB | 00m00s [387/688] texlive-pdftex-11:svn66243-84 100% | 34.2 MiB/s | 2.4 MiB | 00m00s [388/688] texlive-hologo-11:svn61719-71 100% | 19.7 MiB/s | 585.1 KiB | 00m00s [389/688] texlive-l3backend-11:svn65573 100% | 33.6 MiB/s | 895.7 KiB | 00m00s [390/688] texlive-underscore-11:svn1826 100% | 910.7 KiB/s | 17.3 KiB | 00m00s [391/688] texlive-catchfile-11:svn53084 100% | 13.0 MiB/s | 305.8 KiB | 00m00s [392/688] texlive-euenc-11:svn19795.0.1 100% | 901.1 KiB/s | 20.7 KiB | 00m00s [393/688] texlive-kastrup-11:svn15878.0 100% | 563.8 KiB/s | 11.3 KiB | 00m00s [394/688] texlive-luaotfload-11:svn6461 100% | 40.8 MiB/s | 1.2 MiB | 00m00s [395/688] texlive-xunicode-11:svn30466. 100% | 2.3 MiB/s | 42.8 KiB | 00m00s [396/688] texlive-lm-11:svn65956-71.fc4 100% | 47.8 MiB/s | 11.8 MiB | 00m00s [397/688] mupdf-0:1.24.2-2.fc41.aarch64 100% | 19.1 MiB/s | 2.7 MiB | 00m00s [398/688] gumbo-parser-1:0.12.1-0.fc41. 100% | 5.5 MiB/s | 140.8 KiB | 00m00s [399/688] potrace-0:1.16-12.fc40.aarch6 100% | 1.8 MiB/s | 119.3 KiB | 00m00s [400/688] texlive-ae-11:svn15878.1.4-71 100% | 5.2 MiB/s | 95.0 KiB | 00m00s [401/688] texlive-babel-english-11:svn4 100% | 1.0 MiB/s | 19.2 KiB | 00m00s [402/688] texlive-carlisle-11:svn59577- 100% | 1.2 MiB/s | 22.0 KiB | 00m00s [403/688] texlive-collection-basic-11:s 100% | 531.1 KiB/s | 10.1 KiB | 00m00s [404/688] texlive-firstaid-11:svn64892- 100% | 11.6 MiB/s | 273.4 KiB | 00m00s [405/688] texlive-fix2col-11:svn38770-7 100% | 755.9 KiB/s | 15.1 KiB | 00m00s [406/688] texlive-graphics-11:svn66204- 100% | 1.4 MiB/s | 34.1 KiB | 00m00s [407/688] texlive-grfext-11:svn53024-71 100% | 14.7 MiB/s | 316.0 KiB | 00m00s [408/688] texlive-cm-super-11:svn15878. 100% | 82.9 MiB/s | 61.6 MiB | 00m01s [409/688] texlive-hopatch-11:svn65491-7 100% | 4.6 MiB/s | 327.0 KiB | 00m00s [410/688] texlive-ltxmisc-11:svn21927.0 100% | 1.4 MiB/s | 26.4 KiB | 00m00s [411/688] texlive-latex-lab-11:svn64892 100% | 56.0 MiB/s | 1.8 MiB | 00m00s [412/688] texlive-mfnfss-11:svn46036-71 100% | 871.4 KiB/s | 15.7 KiB | 00m00s [413/688] texlive-mptopdf-11:svn65952-8 100% | 2.8 MiB/s | 52.0 KiB | 00m00s [414/688] texlive-natbib-11:svn20668.8. 100% | 1.6 MiB/s | 29.7 KiB | 00m00s [415/688] texlive-pagesel-11:svn56105-7 100% | 16.5 MiB/s | 338.7 KiB | 00m00s [416/688] texlive-pslatex-11:svn57434-7 100% | 1.1 MiB/s | 21.0 KiB | 00m00s [417/688] texlive-pspicture-11:svn15878 100% | 775.5 KiB/s | 14.7 KiB | 00m00s [418/688] texlive-avantgar-11:svn61983- 100% | 13.7 MiB/s | 294.0 KiB | 00m00s [419/688] texlive-oberdiek-11:svn65521- 100% | 73.4 MiB/s | 7.7 MiB | 00m00s [420/688] texlive-bookman-11:svn61719-7 100% | 16.4 MiB/s | 335.5 KiB | 00m00s [421/688] texlive-charter-11:svn15878.0 100% | 9.5 MiB/s | 194.9 KiB | 00m00s [422/688] texlive-cmextra-11:svn57866-7 100% | 2.1 MiB/s | 39.4 KiB | 00m00s [423/688] mupdf-libs-0:1.24.2-2.fc41.aa 100% | 44.8 MiB/s | 25.8 MiB | 00m01s [424/688] texlive-courier-11:svn61719-7 100% | 12.3 MiB/s | 504.8 KiB | 00m00s [425/688] texlive-euro-ce-11:svn25714-7 100% | 591.1 KiB/s | 24.8 KiB | 00m00s [426/688] texlive-euro-11:svn22191.1.1- 100% | 850.2 KiB/s | 15.3 KiB | 00m00s [427/688] texlive-eurosym-11:svn17265.1 100% | 6.7 MiB/s | 149.9 KiB | 00m00s [428/688] texlive-fpl-11:svn54512-71.fc 100% | 11.8 MiB/s | 301.3 KiB | 00m00s [429/688] texlive-lm-math-11:svn36915.1 100% | 27.2 MiB/s | 446.2 KiB | 00m00s [430/688] texlive-helvetic-11:svn61719- 100% | 24.9 MiB/s | 611.5 KiB | 00m00s [431/688] texlive-manfnt-font-11:svn457 100% | 1.8 MiB/s | 35.5 KiB | 00m00s [432/688] texlive-mathpazo-11:svn52663- 100% | 4.5 MiB/s | 82.8 KiB | 00m00s [433/688] texlive-mflogo-font-11:svn545 100% | 1.6 MiB/s | 30.3 KiB | 00m00s [434/688] texlive-ncntrsbk-11:svn61719- 100% | 15.7 MiB/s | 338.3 KiB | 00m00s [435/688] texlive-palatino-11:svn61719- 100% | 17.9 MiB/s | 385.5 KiB | 00m00s [436/688] texlive-pxfonts-11:svn15878.0 100% | 21.9 MiB/s | 494.0 KiB | 00m00s [437/688] texlive-rsfs-11:svn15878.0-71 100% | 3.3 MiB/s | 67.9 KiB | 00m00s [438/688] texlive-symbol-11:svn61719-71 100% | 2.7 MiB/s | 53.1 KiB | 00m00s [439/688] texlive-times-11:svn61719-71. 100% | 16.6 MiB/s | 340.2 KiB | 00m00s [440/688] texlive-tex-gyre-math-11:svn4 100% | 31.9 MiB/s | 1.4 MiB | 00m00s [441/688] texlive-txfonts-11:svn15878.0 100% | 32.5 MiB/s | 765.2 KiB | 00m00s [442/688] texlive-utopia-11:svn15878.0- 100% | 10.6 MiB/s | 227.8 KiB | 00m00s [443/688] texlive-wasy-11:svn53533-71.f 100% | 2.0 MiB/s | 38.1 KiB | 00m00s [444/688] texlive-tipa-11:svn29349.1.3- 100% | 37.5 MiB/s | 2.8 MiB | 00m00s [445/688] texlive-tex-gyre-11:svn65956- 100% | 76.1 MiB/s | 7.8 MiB | 00m00s [446/688] texlive-wasysym-11:svn54080-7 100% | 924.4 KiB/s | 16.6 KiB | 00m00s [447/688] texlive-wasy-type1-11:svn5353 100% | 10.0 MiB/s | 266.4 KiB | 00m00s [448/688] texlive-zapfchan-11:svn61719- 100% | 5.6 MiB/s | 102.3 KiB | 00m00s [449/688] texlive-colorprofiles-11:svn4 100% | 7.4 MiB/s | 144.5 KiB | 00m00s [450/688] texlive-bibtex-11:svn66186-84 100% | 16.7 MiB/s | 444.3 KiB | 00m00s [451/688] texlive-dvipdfmx-11:svn66203- 100% | 82.7 MiB/s | 3.0 MiB | 00m00s [452/688] texlive-ec-11:svn25033.1.0-71 100% | 22.6 MiB/s | 486.0 KiB | 00m00s [453/688] texlive-dvips-11:svn66203-84. 100% | 19.5 MiB/s | 717.6 KiB | 00m00s [454/688] texlive-enctex-11:svn34957.0- 100% | 2.3 MiB/s | 44.9 KiB | 00m00s [455/688] texlive-glyphlist-11:svn54074 100% | 2.0 MiB/s | 37.7 KiB | 00m00s [456/688] texlive-lua-alt-getopt-11:svn 100% | 866.2 KiB/s | 11.3 KiB | 00m00s [457/688] texlive-knuth-local-11:svn579 100% | 1.9 MiB/s | 37.7 KiB | 00m00s [458/688] texlive-hyphenex-11:svn57387- 100% | 780.0 KiB/s | 17.9 KiB | 00m00s [459/688] texlive-metafont-11:svn66186- 100% | 11.8 MiB/s | 242.1 KiB | 00m00s [460/688] texlive-makeindex-11:svn62517 100% | 19.1 MiB/s | 430.1 KiB | 00m00s [461/688] texlive-luahbtex-11:svn66186- 100% | 58.0 MiB/s | 1.9 MiB | 00m00s [462/688] texlive-mfware-11:svn66186-84 100% | 5.0 MiB/s | 96.6 KiB | 00m00s [463/688] texlive-modes-11:svn61719-71. 100% | 19.8 MiB/s | 304.3 KiB | 00m00s [464/688] texlive-mflogo-11:svn42428-71 100% | 598.0 KiB/s | 14.9 KiB | 00m00s [465/688] texlive-tex-11:svn66186-84.fc 100% | 10.4 MiB/s | 203.2 KiB | 00m00s [466/688] texlive-texlive-common-doc-11 100% | 7.2 MiB/s | 155.9 KiB | 00m00s [467/688] texlive-texlive-msg-translati 100% | 7.8 MiB/s | 159.3 KiB | 00m00s [468/688] texlive-xdvi-11:svn62387-84.f 100% | 16.1 MiB/s | 346.8 KiB | 00m00s [469/688] texlive-texlive-en-11:svn6657 100% | 43.3 MiB/s | 1.9 MiB | 00m00s [470/688] texlive-sauerj-11:svn15878.0- 100% | 1.3 MiB/s | 18.5 KiB | 00m00s [471/688] texlive-placeins-11:svn19848. 100% | 671.0 KiB/s | 12.1 KiB | 00m00s [472/688] texlive-changepage-11:svn1587 100% | 734.4 KiB/s | 18.4 KiB | 00m00s [473/688] texlive-xifthen-11:svn38929-7 100% | 831.4 KiB/s | 15.0 KiB | 00m00s [474/688] libyaml-0:0.2.5-14.fc40.aarch 100% | 3.2 MiB/s | 59.6 KiB | 00m00s [475/688] texlive-zref-11:svn62977-71.f 100% | 23.8 MiB/s | 681.3 KiB | 00m00s [476/688] perl-Symbol-0:1.09-507.fc41.n 100% | 796.3 KiB/s | 14.3 KiB | 00m00s [477/688] perl-meta-notation-0:5.38.2-5 100% | 832.8 KiB/s | 10.8 KiB | 00m00s [478/688] libxslt-0:1.1.39-3.fc40.aarch 100% | 7.0 MiB/s | 186.8 KiB | 00m00s [479/688] perl-File-Listing-0:6.16-3.fc 100% | 1.9 MiB/s | 24.7 KiB | 00m00s [480/688] perl-Fcntl-0:1.15-507.fc41.aa 100% | 1.1 MiB/s | 20.8 KiB | 00m00s [481/688] perl-Encode-Locale-0:1.05-29. 100% | 739.1 KiB/s | 18.5 KiB | 00m00s [482/688] perl-HTTP-Date-0:6.06-4.fc40. 100% | 1.8 MiB/s | 24.3 KiB | 00m00s [483/688] perl-HTTP-Cookies-0:6.11-3.fc 100% | 2.0 MiB/s | 37.2 KiB | 00m00s [484/688] perl-HTML-Parser-0:3.82-1.fc4 100% | 4.3 MiB/s | 118.1 KiB | 00m00s [485/688] perl-LWP-MediaTypes-0:6.04-18 100% | 2.3 MiB/s | 33.0 KiB | 00m00s [486/688] perl-HTTP-Negotiate-0:6.01-38 100% | 929.8 KiB/s | 19.5 KiB | 00m00s [487/688] perl-HTTP-Message-0:6.46-1.fc 100% | 3.5 MiB/s | 96.6 KiB | 00m00s [488/688] perl-MIME-Base64-0:3.16-503.f 100% | 1.6 MiB/s | 29.9 KiB | 00m00s [489/688] perl-Module-Load-1:0.36-503.f 100% | 899.8 KiB/s | 17.1 KiB | 00m00s [490/688] perl-NTLM-0:1.09-38.fc40.noar 100% | 1.2 MiB/s | 21.6 KiB | 00m00s [491/688] perl-Try-Tiny-0:0.31-9.fc40.n 100% | 1.9 MiB/s | 37.4 KiB | 00m00s [492/688] perl-Net-HTTP-0:6.23-4.fc40.n 100% | 1.7 MiB/s | 39.0 KiB | 00m00s [493/688] perl-WWW-RobotRules-0:6.02-39 100% | 1.0 MiB/s | 19.6 KiB | 00m00s [494/688] perl-libnet-0:3.15-503.fc40.n 100% | 6.3 MiB/s | 122.5 KiB | 00m00s [495/688] perl-DynaLoader-0:1.54-507.fc 100% | 1.4 MiB/s | 26.1 KiB | 00m00s [496/688] perl-IO-Socket-IP-0:0.42-2.fc 100% | 2.3 MiB/s | 41.7 KiB | 00m00s [497/688] perl-Socket-4:2.038-1.fc41.aa 100% | 2.9 MiB/s | 56.0 KiB | 00m00s [498/688] perl-Time-Local-2:1.350-5.fc4 100% | 1.8 MiB/s | 34.3 KiB | 00m00s [499/688] perl-overload-0:1.37-507.fc41 100% | 2.3 MiB/s | 45.7 KiB | 00m00s [500/688] perl-autodie-0:2.37-3.fc40.no 100% | 4.7 MiB/s | 92.3 KiB | 00m00s [501/688] perl-Data-Dumper-0:2.189-504. 100% | 3.0 MiB/s | 54.7 KiB | 00m00s [502/688] perl-base-0:2.27-507.fc41.noa 100% | 907.5 KiB/s | 16.3 KiB | 00m00s [503/688] perl-XML-NamespaceSupport-0:1 100% | 1.4 MiB/s | 25.6 KiB | 00m00s [504/688] perl-XML-SAX-0:1.02-16.fc40.n 100% | 3.1 MiB/s | 56.9 KiB | 00m00s [505/688] perl-XML-SAX-Base-0:1.09-23.f 100% | 1.7 MiB/s | 31.6 KiB | 00m00s [506/688] perl-if-0:0.61.000-507.fc41.n 100% | 785.1 KiB/s | 14.1 KiB | 00m00s [507/688] perl-MIME-Charset-0:1.013.1-5 100% | 2.6 MiB/s | 47.8 KiB | 00m00s [508/688] sombok-0:2.4.0-21.fc40.aarch6 100% | 2.4 MiB/s | 47.5 KiB | 00m00s [509/688] perl-Compress-Raw-Zlib-0:2.21 100% | 3.1 MiB/s | 63.6 KiB | 00m00s [510/688] perl-IO-Compress-0:2.212-1.fc 100% | 14.3 MiB/s | 291.9 KiB | 00m00s [511/688] perl-Compress-Raw-Bzip2-0:2.2 100% | 1.8 MiB/s | 35.3 KiB | 00m00s [512/688] perl-IO-Socket-SSL-0:2.085-1. 100% | 11.5 MiB/s | 224.5 KiB | 00m00s [513/688] perl-Digest-HMAC-0:1.04-10.fc 100% | 1.2 MiB/s | 21.9 KiB | 00m00s [514/688] perl-IPC-SysV-0:2.09-505.fc40 100% | 2.2 MiB/s | 41.2 KiB | 00m00s [515/688] perl-LDAP-1:0.68-13.fc40.noar 100% | 17.6 MiB/s | 361.2 KiB | 00m00s [516/688] perl-Log-Dispatch-0:2.71-4.fc 100% | 3.8 MiB/s | 76.9 KiB | 00m00s [517/688] perl-Log-Dispatch-FileRotate- 100% | 1.7 MiB/s | 32.1 KiB | 00m00s [518/688] perl-Safe-0:2.44-507.fc41.noa 100% | 1.4 MiB/s | 25.0 KiB | 00m00s [519/688] perl-Sys-Hostname-0:1.25-507. 100% | 971.0 KiB/s | 17.5 KiB | 00m00s [520/688] perl-Term-ANSIColor-0:5.01-50 100% | 2.6 MiB/s | 47.6 KiB | 00m00s [521/688] perl-version-8:0.99.32-1.fc41 100% | 3.2 MiB/s | 62.5 KiB | 00m00s [522/688] perl-Devel-GlobalDestruction- 100% | 896.4 KiB/s | 17.0 KiB | 00m00s [523/688] perl-Date-Manip-0:6.95-1.fc41 100% | 36.9 MiB/s | 1.0 MiB | 00m00s [524/688] perl-MIME-Lite-0:3.033-10.fc4 100% | 4.6 MiB/s | 93.7 KiB | 00m00s [525/688] perl-Mail-Sender-1:0.903-24.f 100% | 3.5 MiB/s | 50.3 KiB | 00m00s [526/688] perl-Dist-CheckConflicts-0:0. 100% | 957.7 KiB/s | 23.0 KiB | 00m00s [527/688] perl-Mail-Sendmail-0:0.80-20. 100% | 1.8 MiB/s | 35.7 KiB | 00m00s [528/688] perl-MailTools-0:2.21-16.fc40 100% | 5.0 MiB/s | 98.2 KiB | 00m00s [529/688] perl-Module-Runtime-0:0.016-2 100% | 1.1 MiB/s | 23.0 KiB | 00m00s [530/688] perl-Params-ValidationCompile 100% | 2.0 MiB/s | 37.3 KiB | 00m00s [531/688] perl-Specio-0:0.48-6.fc40.noa 100% | 7.3 MiB/s | 142.3 KiB | 00m00s [532/688] perl-Sys-Syslog-0:0.36-504.fc 100% | 2.4 MiB/s | 46.8 KiB | 00m00s [533/688] perl-namespace-autoclean-0:0. 100% | 1.4 MiB/s | 25.4 KiB | 00m00s [534/688] perl-List-SomeUtils-0:0.59-5. 100% | 2.4 MiB/s | 45.1 KiB | 00m00s [535/688] perl-List-UtilsBy-0:0.12-9.fc 100% | 1.5 MiB/s | 28.5 KiB | 00m00s [536/688] perl-Authen-SASL-0:2.1700-3.f 100% | 4.3 MiB/s | 56.6 KiB | 00m00s [537/688] mailcap-0:2.1.54-5.fc40.noarc 100% | 1.8 MiB/s | 34.1 KiB | 00m00s [538/688] perl-Mozilla-CA-0:20240313-1. 100% | 610.2 KiB/s | 14.0 KiB | 00m00s [539/688] perl-Convert-ASN1-0:0.34-3.fc 100% | 3.0 MiB/s | 59.3 KiB | 00m00s [540/688] perl-JSON-0:4.10-5.fc40.noarc 100% | 4.9 MiB/s | 94.8 KiB | 00m00s [541/688] perl-Text-Soundex-0:3.05-30.f 100% | 1.5 MiB/s | 29.9 KiB | 00m00s [542/688] perl-B-0:1.88-507.fc41.aarch6 100% | 9.2 MiB/s | 178.3 KiB | 00m00s [543/688] perl-Math-BigInt-1:2.0030.03- 100% | 11.0 MiB/s | 225.7 KiB | 00m00s [544/688] perl-Class-Struct-0:0.68-507. 100% | 1.1 MiB/s | 22.2 KiB | 00m00s [545/688] perl-ExtUtils-MM-Utils-2:7.70 100% | 601.3 KiB/s | 11.4 KiB | 00m00s [546/688] perl-IPC-Open3-0:1.22-507.fc4 100% | 1.1 MiB/s | 22.0 KiB | 00m00s [547/688] perl-Locale-Maketext-Simple-1 100% | 983.3 KiB/s | 17.7 KiB | 00m00s [548/688] perl-Module-Load-Conditional- 100% | 1.2 MiB/s | 21.9 KiB | 00m00s [549/688] perl-Params-Check-1:0.38-502. 100% | 1.2 MiB/s | 21.6 KiB | 00m00s [550/688] perl-Text-ParseWords-0:3.31-5 100% | 905.0 KiB/s | 16.3 KiB | 00m00s [551/688] perl-HTTP-Tiny-0:0.088-5.fc40 100% | 2.7 MiB/s | 55.6 KiB | 00m00s [552/688] perl-Net-SSLeay-0:1.94-3.fc40 100% | 17.4 MiB/s | 356.4 KiB | 00m00s [553/688] perl-File-stat-0:1.13-507.fc4 100% | 909.8 KiB/s | 17.3 KiB | 00m00s [554/688] perl-Clone-0:0.46-6.fc40.aarc 100% | 1.2 MiB/s | 21.8 KiB | 00m00s [555/688] perl-SelectSaver-0:1.02-507.f 100% | 623.6 KiB/s | 11.8 KiB | 00m00s [556/688] perl-IO-HTML-0:1.004-12.fc40. 100% | 1.5 MiB/s | 27.5 KiB | 00m00s [557/688] perl-TimeDate-1:2.33-14.fc40. 100% | 2.7 MiB/s | 49.1 KiB | 00m00s [558/688] perl-HTML-Tagset-0:3.24-1.fc4 100% | 963.1 KiB/s | 18.3 KiB | 00m00s [559/688] perl-I18N-Langinfo-0:0.22-507 100% | 1.2 MiB/s | 22.6 KiB | 00m00s [560/688] perl-Getopt-Std-0:1.13-507.fc 100% | 876.5 KiB/s | 15.8 KiB | 00m00s [561/688] perl-Digest-0:1.20-502.fc40.n 100% | 1.3 MiB/s | 24.6 KiB | 00m00s [562/688] perl-Digest-SHA-1:6.04-503.fc 100% | 3.0 MiB/s | 62.1 KiB | 00m00s [563/688] perl-DateTime-TimeZone-Tzfile 100% | 1.5 MiB/s | 19.4 KiB | 00m00s [564/688] perl-Class-Singleton-0:1.6-11 100% | 1.4 MiB/s | 25.3 KiB | 00m00s [565/688] perl-Sub-Exporter-Progressive 100% | 906.8 KiB/s | 20.9 KiB | 00m00s [566/688] perl-Params-Validate-0:1.31-7 100% | 4.7 MiB/s | 67.6 KiB | 00m00s [567/688] perl-DateTime-Format-Strptime 100% | 2.0 MiB/s | 40.7 KiB | 00m00s [568/688] perl-File-Compare-0:1.100.700 100% | 579.6 KiB/s | 13.3 KiB | 00m00s [569/688] perl-AutoLoader-0:5.74-507.fc 100% | 1.0 MiB/s | 21.4 KiB | 00m00s [570/688] perl-subs-0:1.04-507.fc41.noa 100% | 592.1 KiB/s | 11.8 KiB | 00m00s [571/688] perl-DateTime-Locale-0:1.42-1 100% | 79.3 MiB/s | 3.4 MiB | 00m00s [572/688] perl-Tie-Cycle-0:1.228-1.fc40 100% | 961.3 KiB/s | 19.2 KiB | 00m00s [573/688] perl-File-Find-Rule-0:0.34-28 100% | 1.3 MiB/s | 31.9 KiB | 00m00s [574/688] perl-overloading-0:0.02-507.f 100% | 686.0 KiB/s | 13.0 KiB | 00m00s [575/688] perl-Business-ISBN-Data-0:202 100% | 2.2 MiB/s | 43.2 KiB | 00m00s [576/688] perl-GSSAPI-0:0.28-45.fc40.aa 100% | 2.8 MiB/s | 54.6 KiB | 00m00s [577/688] perl-Tie-0:4.6-507.fc41.noarc 100% | 1.5 MiB/s | 27.8 KiB | 00m00s [578/688] leptonica-0:1.84.1-3.fc40.aar 100% | 38.3 MiB/s | 1.1 MiB | 00m00s [579/688] tesseract-0:5.3.4-4.fc40.aarc 100% | 33.7 MiB/s | 1.2 MiB | 00m00s [580/688] libglvnd-glx-1:1.7.0-4.fc40.a 100% | 5.6 MiB/s | 137.0 KiB | 00m00s [581/688] libXau-0:1.0.11-6.fc40.aarch6 100% | 1.6 MiB/s | 32.1 KiB | 00m00s [582/688] freeglut-0:3.4.0-7.fc40.aarch 100% | 3.5 MiB/s | 152.3 KiB | 00m00s [583/688] mesa-libglapi-0:24.1.1-2.fc41 100% | 5.2 MiB/s | 69.0 KiB | 00m00s [584/688] mesa-libGL-0:24.1.1-2.fc41.aa 100% | 9.7 MiB/s | 189.6 KiB | 00m00s [585/688] libglvnd-1:1.7.0-4.fc40.aarch 100% | 5.0 MiB/s | 122.3 KiB | 00m00s [586/688] libdav1d-0:1.4.0-1.fc41.aarch 100% | 15.7 MiB/s | 354.7 KiB | 00m00s [587/688] libaom-0:3.9.0-1.fc41.aarch64 100% | 52.7 MiB/s | 1.6 MiB | 00m00s [588/688] rav1e-libs-0:0.7.1-2.fc41.aar 100% | 25.1 MiB/s | 796.5 KiB | 00m00s [589/688] svt-av1-libs-0:2.1.0-1.fc41.a 100% | 48.3 MiB/s | 1.4 MiB | 00m00s [590/688] giflib-0:5.2.2-1.fc41.aarch64 100% | 2.1 MiB/s | 52.6 KiB | 00m00s [591/688] nettle-0:3.9.1-6.fc40.aarch64 100% | 20.2 MiB/s | 435.3 KiB | 00m00s [592/688] texlive-ifmtarg-11:svn47544-7 100% | 1.0 MiB/s | 13.3 KiB | 00m00s [593/688] emacs-filesystem-1:30.0-2.fc4 100% | 373.4 KiB/s | 7.1 KiB | 00m00s [594/688] libXi-0:1.8.1-5.fc40.aarch64 100% | 1.7 MiB/s | 39.6 KiB | 00m00s [595/688] libXaw-0:1.0.16-1.fc41.aarch6 100% | 10.5 MiB/s | 204.0 KiB | 00m00s [596/688] libXmu-0:1.2.1-1.fc41.aarch64 100% | 4.0 MiB/s | 78.1 KiB | 00m00s [597/688] perl-Text-Unidecode-0:1.30-24 100% | 6.5 MiB/s | 139.5 KiB | 00m00s [598/688] texlive-dehyph-11:svn48599-71 100% | 4.8 MiB/s | 64.3 KiB | 00m00s [599/688] perl-XML-XPath-0:1.48-6.fc40. 100% | 3.8 MiB/s | 78.8 KiB | 00m00s [600/688] perl-XML-Parser-0:2.47-3.fc40 100% | 8.9 MiB/s | 227.6 KiB | 00m00s [601/688] texlive-parallel-11:svn15878. 100% | 1.2 MiB/s | 16.4 KiB | 00m00s [602/688] texlive-index-11:svn24099.4.1 100% | 1.2 MiB/s | 21.3 KiB | 00m00s [603/688] texlive-grffile-11:svn52756-7 100% | 14.0 MiB/s | 358.8 KiB | 00m00s [604/688] texlive-lua-uni-algos-11:svn6 100% | 7.2 MiB/s | 95.2 KiB | 00m00s [605/688] texlive-unicode-math-11:svn61 100% | 3.4 MiB/s | 61.9 KiB | 00m00s [606/688] texlive-pdfcol-11:svn64469-71 100% | 12.7 MiB/s | 325.3 KiB | 00m00s [607/688] texlive-lualibs-11:svn64615-7 100% | 7.8 MiB/s | 151.6 KiB | 00m00s [608/688] texlive-beton-11:svn15878.0-7 100% | 800.2 KiB/s | 14.4 KiB | 00m00s [609/688] texlive-euler-11:svn42428-71. 100% | 850.0 KiB/s | 16.1 KiB | 00m00s [610/688] texlive-minitoc-11:svn61719-7 100% | 4.3 MiB/s | 84.4 KiB | 00m00s [611/688] texlive-xetex-11:svn66203-84. 100% | 38.8 MiB/s | 1.2 MiB | 00m00s [612/688] perl-mro-0:1.28-507.fc41.aarc 100% | 1.5 MiB/s | 28.7 KiB | 00m00s [613/688] tesseract-langpack-eng-0:4.1. 100% | 38.6 MiB/s | 1.7 MiB | 00m00s [614/688] perl-B-Hooks-EndOfScope-0:0.2 100% | 2.1 MiB/s | 38.3 KiB | 00m00s [615/688] perl-Sub-Identify-0:0.14-26.f 100% | 886.0 KiB/s | 23.0 KiB | 00m00s [616/688] perl-namespace-clean-0:0.27-2 100% | 1.6 MiB/s | 28.9 KiB | 00m00s [617/688] perl-IPC-System-Simple-0:1.30 100% | 2.1 MiB/s | 38.6 KiB | 00m00s [618/688] perl-Tie-RefHash-0:1.40-503.f 100% | 1.2 MiB/s | 22.9 KiB | 00m00s [619/688] perl-open-0:1.13-507.fc41.noa 100% | 924.9 KiB/s | 16.6 KiB | 00m00s [620/688] perl-deprecate-0:0.04-507.fc4 100% | 816.4 KiB/s | 14.7 KiB | 00m00s [621/688] perl-Sub-Exporter-0:0.991-3.f 100% | 3.5 MiB/s | 71.2 KiB | 00m00s [622/688] perl-Data-OptList-0:0.114-4.f 100% | 1.5 MiB/s | 26.9 KiB | 00m00s [623/688] perl-Package-Generator-0:1.10 100% | 1.1 MiB/s | 22.3 KiB | 00m00s [624/688] perl-Sub-Install-0:0.929-5.fc 100% | 1.2 MiB/s | 22.9 KiB | 00m00s [625/688] perl-Params-Util-0:1.102-14.f 100% | 1.5 MiB/s | 32.2 KiB | 00m00s [626/688] perl-Devel-StackTrace-1:2.05- 100% | 1.5 MiB/s | 30.5 KiB | 00m00s [627/688] perl-Eval-Closure-0:0.14-23.f 100% | 1.3 MiB/s | 23.7 KiB | 00m00s [628/688] perl-MRO-Compat-0:0.15-9.fc40 100% | 1.4 MiB/s | 25.4 KiB | 00m00s [629/688] perl-Ref-Util-0:0.204-20.fc40 100% | 1.2 MiB/s | 23.4 KiB | 00m00s [630/688] perl-Role-Tiny-0:2.002004-11. 100% | 1.7 MiB/s | 31.8 KiB | 00m00s [631/688] perl-XString-0:0.005-12.fc40. 100% | 1.2 MiB/s | 22.8 KiB | 00m00s [632/688] perl-Opcode-0:1.64-507.fc41.a 100% | 1.8 MiB/s | 36.0 KiB | 00m00s [633/688] perl-Ref-Util-XS-0:0.117-22.f 100% | 1.3 MiB/s | 23.3 KiB | 00m00s [634/688] perl-Exception-Class-0:1.45-1 100% | 3.0 MiB/s | 43.4 KiB | 00m00s [635/688] perl-Class-Method-Modifiers-0 100% | 1.8 MiB/s | 43.7 KiB | 00m00s [636/688] perl-Module-Metadata-0:1.0000 100% | 2.6 MiB/s | 35.1 KiB | 00m00s [637/688] perl-Module-CoreList-1:5.2024 100% | 4.8 MiB/s | 89.2 KiB | 00m00s [638/688] perl-Module-Implementation-0: 100% | 795.0 KiB/s | 19.1 KiB | 00m00s [639/688] perl-Email-Date-Format-0:1.00 100% | 1.4 MiB/s | 18.8 KiB | 00m00s [640/688] perl-Net-SMTP-SSL-0:1.04-24.f 100% | 643.1 KiB/s | 11.6 KiB | 00m00s [641/688] perl-Math-Complex-0:1.62-507. 100% | 2.0 MiB/s | 46.2 KiB | 00m00s [642/688] perl-MIME-Types-0:2.26-1.fc40 100% | 3.8 MiB/s | 70.4 KiB | 00m00s [643/688] perl-Locale-Maketext-0:1.33-5 100% | 5.1 MiB/s | 93.6 KiB | 00m00s [644/688] perl-I18N-LangTags-0:0.45-507 100% | 2.7 MiB/s | 52.6 KiB | 00m00s [645/688] perl-Class-Data-Inheritable-0 100% | 975.5 KiB/s | 12.7 KiB | 00m00s [646/688] perl-Text-Glob-0:0.11-23.fc40 100% | 743.5 KiB/s | 13.4 KiB | 00m00s [647/688] perl-Number-Compare-0:0.03-38 100% | 511.5 KiB/s | 11.8 KiB | 00m00s [648/688] perl-Devel-LexAlias-0:0.05-36 100% | 854.1 KiB/s | 16.2 KiB | 00m00s [649/688] perl-Devel-Caller-0:2.07-5.fc 100% | 1.0 MiB/s | 19.1 KiB | 00m00s [650/688] perltidy-0:20240511-1.fc41.no 100% | 28.7 MiB/s | 763.7 KiB | 00m00s [651/688] perl-DateTime-TimeZone-System 100% | 1.7 MiB/s | 22.5 KiB | 00m00s [652/688] perl-Date-ISO8601-0:0.005-20. 100% | 1.0 MiB/s | 19.1 KiB | 00m00s [653/688] perl-PadWalker-0:2.5-13.fc40. 100% | 1.1 MiB/s | 26.8 KiB | 00m00s [654/688] perl-Params-Classify-0:0.015- 100% | 1.7 MiB/s | 30.8 KiB | 00m00s [655/688] perl-Hash-Util-FieldHash-0:1. 100% | 2.1 MiB/s | 38.7 KiB | 00m00s [656/688] perl-File-ShareDir-0:1.118-11 100% | 1.5 MiB/s | 29.6 KiB | 00m00s [657/688] perl-Variable-Magic-0:0.64-1. 100% | 2.8 MiB/s | 54.7 KiB | 00m00s [658/688] libXfixes-0:6.0.1-3.fc40.aarc 100% | 1.1 MiB/s | 19.5 KiB | 00m00s [659/688] libX11-xcb-0:1.8.9-1.fc41.aar 100% | 628.5 KiB/s | 11.9 KiB | 00m00s [660/688] libXxf86vm-0:1.1.5-6.fc40.aar 100% | 989.4 KiB/s | 17.8 KiB | 00m00s [661/688] libdrm-0:2.4.121-1.fc41.aarch 100% | 6.8 MiB/s | 131.6 KiB | 00m00s [662/688] libxshmfence-0:1.3.2-3.fc40.a 100% | 618.3 KiB/s | 12.4 KiB | 00m00s [663/688] texlive-everyshi-11:svn57001- 100% | 10.2 MiB/s | 145.7 KiB | 00m00s [664/688] teckit-0:2.5.12-2.fc40.aarch6 100% | 18.3 MiB/s | 431.3 KiB | 00m00s [665/688] texlive-xetexconfig-11:svn458 100% | 515.5 KiB/s | 9.8 KiB | 00m00s [666/688] texlive-lualatex-math-11:svn6 100% | 950.9 KiB/s | 19.0 KiB | 00m00s [667/688] texlive-ucharcat-11:svn38907- 100% | 694.2 KiB/s | 13.9 KiB | 00m00s [668/688] texlive-notoccite-11:svn18129 100% | 596.1 KiB/s | 11.3 KiB | 00m00s [669/688] tesseract-tessdata-doc-0:4.1. 100% | 727.3 KiB/s | 13.1 KiB | 00m00s [670/688] perl-English-0:1.11-507.fc41. 100% | 721.8 KiB/s | 13.7 KiB | 00m00s [671/688] perl-Pod-Html-0:1.34-507.fc41 100% | 1.6 MiB/s | 29.3 KiB | 00m00s [672/688] perl-encoding-4:3.00-505.fc41 100% | 2.6 MiB/s | 63.1 KiB | 00m00s [673/688] perl-Package-Stash-0:0.40-7.f 100% | 1.7 MiB/s | 32.6 KiB | 00m00s [674/688] perl-Filter-2:1.64-503.fc40.a 100% | 4.1 MiB/s | 80.2 KiB | 00m00s [675/688] perl-Pod-Simple-1:3.45-6.fc40 100% | 10.2 MiB/s | 208.6 KiB | 00m00s [676/688] perl-Devel-CallChecker-0:0.00 100% | 1.2 MiB/s | 24.0 KiB | 00m00s [677/688] perl-Package-Stash-XS-0:0.30- 100% | 1.9 MiB/s | 35.1 KiB | 00m00s [678/688] perl-Class-Inspector-0:1.36-1 100% | 1.5 MiB/s | 30.2 KiB | 00m00s [679/688] perl-DynaLoader-Functions-0:0 100% | 990.3 KiB/s | 18.8 KiB | 00m00s [680/688] perl-Pod-Escapes-1:1.07-503.f 100% | 1.0 MiB/s | 19.6 KiB | 00m00s [681/688] libicu-0:74.2-1.fc40.aarch64 100% | 50.8 MiB/s | 10.4 MiB | 00m00s [682/688] xpdf-libs-1:4.05-4.fc41.aarch 100% | 16.8 MiB/s | 601.9 KiB | 00m00s [683/688] perl-Pod-Usage-4:2.03-504.fc4 100% | 1.6 MiB/s | 39.8 KiB | 00m00s [684/688] perl-Pod-Perldoc-0:3.28.01-50 100% | 4.1 MiB/s | 79.4 KiB | 00m00s [685/688] perl-podlators-1:5.01-502.fc4 100% | 4.9 MiB/s | 120.9 KiB | 00m00s [686/688] perl-Term-Cap-0:1.18-503.fc40 100% | 1.1 MiB/s | 21.9 KiB | 00m00s [687/688] groff-base-0:1.23.0-6.fc40.aa 100% | 41.6 MiB/s | 1.1 MiB | 00m00s [688/688] ncurses-0:6.4-12.20240127.fc4 100% | 15.6 MiB/s | 414.9 KiB | 00m00s -------------------------------------------------------------------------------- [688/688] Total 100% | 62.7 MiB/s | 429.8 MiB | 00m07s Running transaction [ 1/690] Verify package files 100% | 447.0 B/s | 688.0 B | 00m02s >>> Running pre-transaction scriptlet: texlive-base-11:20230311-84.fc41.aarch64 >>> Stop pre-transaction scriptlet: texlive-base-11:20230311-84.fc41.aarch64 >>> Running pre-transaction scriptlet: crypto-policies-scripts-0:20240521-1.gitf >>> Stop pre-transaction scriptlet: crypto-policies-scripts-0:20240521-1.gitf71d [ 2/690] Prepare transaction 100% | 2.0 KiB/s | 688.0 B | 00m00s >>> Running pre-install scriptlet: texlive-base-11:20230311-84.fc41.aarch64 >>> Stop pre-install scriptlet: texlive-base-11:20230311-84.fc41.aarch64 [ 3/690] Installing texlive-base-11:20 100% | 388.1 MiB/s | 19.0 MiB | 00m00s [ 4/690] Installing texlive-lib-11:202 100% | 229.4 MiB/s | 1.6 MiB | 00m00s [ 5/690] Installing libpng-2:1.6.40-3. 100% | 163.5 MiB/s | 334.9 KiB | 00m00s [ 6/690] Installing libjpeg-turbo-0:3. 100% | 258.5 MiB/s | 794.1 KiB | 00m00s [ 7/690] Installing nspr-0:4.35.0-23.f 100% | 241.6 MiB/s | 742.3 KiB | 00m00s [ 8/690] Installing expat-0:2.6.2-1.fc 100% | 175.4 MiB/s | 538.8 KiB | 00m00s [ 9/690] Installing libgpg-error-0:1.4 100% | 187.0 MiB/s | 1.1 MiB | 00m00s [ 10/690] Installing fonts-filesystem-1 100% | 0.0 B/s | 788.0 B | 00m00s [ 11/690] Installing urw-base35-fonts-c 100% | 37.5 MiB/s | 38.4 KiB | 00m00s [ 12/690] Installing libwebp-0:1.4.0-1. 100% | 309.6 MiB/s | 1.2 MiB | 00m00s [ 13/690] Installing nss-util-0:3.100.0 100% | 169.5 MiB/s | 347.1 KiB | 00m00s [ 14/690] Installing libassuan-0:2.5.7- 100% | 137.5 MiB/s | 281.6 KiB | 00m00s [ 15/690] Installing m4-0:1.4.19-9.fc40 100% | 131.8 MiB/s | 674.9 KiB | 00m00s [ 16/690] Installing libmpc-0:1.3.1-5.f 100% | 137.8 MiB/s | 282.2 KiB | 00m00s [ 17/690] Installing libpaper-1:2.1.1-6 100% | 221.2 MiB/s | 226.5 KiB | 00m00s [ 18/690] Installing openjpeg2-0:2.5.2- 100% | 175.7 MiB/s | 539.6 KiB | 00m00s [ 19/690] Installing libxslt-0:1.1.39-3 100% | 212.1 MiB/s | 868.6 KiB | 00m00s [ 20/690] Installing graphite2-0:1.3.14 100% | 243.1 MiB/s | 497.9 KiB | 00m00s [ 21/690] Installing libICE-0:1.1.1-3.f 100% | 268.0 MiB/s | 274.4 KiB | 00m00s [ 22/690] Installing jbig2dec-libs-0:0. 100% | 147.7 MiB/s | 302.6 KiB | 00m00s [ 23/690] Installing adobe-mappings-cma 100% | 294.2 MiB/s | 14.4 MiB | 00m00s [ 24/690] Installing lcms2-0:2.16-3.fc4 100% | 158.3 MiB/s | 486.4 KiB | 00m00s [ 25/690] Installing zziplib-0:0.13.74- 100% | 301.6 MiB/s | 926.5 KiB | 00m00s [ 26/690] Installing adobe-mappings-cma 100% | 190.5 MiB/s | 585.2 KiB | 00m00s [ 27/690] Installing libSM-0:1.2.4-3.fc 100% | 248.7 MiB/s | 254.6 KiB | 00m00s [ 28/690] Installing cpp-0:14.1.1-5.fc4 100% | 262.7 MiB/s | 31.8 MiB | 00m00s [ 29/690] Installing nss-softokn-freebl 100% | 243.6 MiB/s | 997.8 KiB | 00m00s [ 30/690] Installing nss-softokn-0:3.10 100% | 324.7 MiB/s | 2.6 MiB | 00m00s [ 31/690] Installing urw-base35-z003-fo 100% | 27.3 MiB/s | 391.8 KiB | 00m00s >>> Running post-install scriptlet: urw-base35-z003-fonts-0:20200910-22.fc41.noa >>> Stop post-install scriptlet: urw-base35-z003-fonts-0:20200910-22.fc41.noarch [ 32/690] Installing urw-base35-standar 100% | 7.2 MiB/s | 66.0 KiB | 00m00s >>> Running post-install scriptlet: urw-base35-standard-symbols-ps-fonts-0:20200 >>> Stop post-install scriptlet: urw-base35-standard-symbols-ps-fonts-0:20200910 [ 33/690] Installing urw-base35-p052-fo 100% | 114.4 MiB/s | 1.5 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-p052-fonts-0:20200910-22.fc41.noa >>> Stop post-install scriptlet: urw-base35-p052-fonts-0:20200910-22.fc41.noarch [ 34/690] Installing urw-base35-nimbus- 100% | 149.6 MiB/s | 2.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-nimbus-sans-fonts-0:20200910-22.f >>> Stop post-install scriptlet: urw-base35-nimbus-sans-fonts-0:20200910-22.fc41 [ 35/690] Installing urw-base35-nimbus- 100% | 105.1 MiB/s | 1.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-nimbus-roman-fonts-0:20200910-22. >>> Stop post-install scriptlet: urw-base35-nimbus-roman-fonts-0:20200910-22.fc4 [ 36/690] Installing urw-base35-nimbus- 100% | 87.7 MiB/s | 1.1 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-nimbus-mono-ps-fonts-0:20200910-2 >>> Stop post-install scriptlet: urw-base35-nimbus-mono-ps-fonts-0:20200910-22.f [ 37/690] Installing urw-base35-gothic- 100% | 96.9 MiB/s | 1.2 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-gothic-fonts-0:20200910-22.fc41.n >>> Stop post-install scriptlet: urw-base35-gothic-fonts-0:20200910-22.fc41.noar [ 38/690] Installing urw-base35-d050000 100% | 9.3 MiB/s | 85.4 KiB | 00m00s >>> Running post-install scriptlet: urw-base35-d050000l-fonts-0:20200910-22.fc41 >>> Stop post-install scriptlet: urw-base35-d050000l-fonts-0:20200910-22.fc41.no [ 39/690] Installing urw-base35-c059-fo 100% | 107.3 MiB/s | 1.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-c059-fonts-0:20200910-22.fc41.noa >>> Stop post-install scriptlet: urw-base35-c059-fonts-0:20200910-22.fc41.noarch [ 40/690] Installing urw-base35-bookman 100% | 105.0 MiB/s | 1.4 MiB | 00m00s >>> Running post-install scriptlet: urw-base35-bookman-fonts-0:20200910-22.fc41. >>> Stop post-install scriptlet: urw-base35-bookman-fonts-0:20200910-22.fc41.noa [ 41/690] Installing urw-base35-fonts-0 100% | 5.5 MiB/s | 5.6 KiB | 00m00s [ 42/690] Installing abattis-cantarell- 100% | 94.9 MiB/s | 194.4 KiB | 00m00s [ 43/690] Installing libgcrypt-0:1.10.3 100% | 212.0 MiB/s | 1.1 MiB | 00m00s [ 44/690] Installing libksba-0:1.6.6-1. 100% | 171.7 MiB/s | 527.4 KiB | 00m00s [ 45/690] Installing graphviz-libs-0:11 100% | 262.4 MiB/s | 2.1 MiB | 00m00s [ 46/690] Installing teckit-0:2.5.12-2. 100% | 347.5 MiB/s | 3.5 MiB | 00m00s [ 47/690] Installing ncurses-0:6.4-12.2 100% | 112.2 MiB/s | 1.7 MiB | 00m00s >>> Running pre-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 >>> Stop pre-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 [ 48/690] Installing groff-base-0:1.23. 100% | 163.2 MiB/s | 5.4 MiB | 00m00s >>> Running post-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 >>> Stop post-install scriptlet: groff-base-0:1.23.0-6.fc40.aarch64 [ 49/690] Installing perl-Digest-SHA-1: 100% | 130.4 MiB/s | 267.0 KiB | 00m00s [ 50/690] Installing perl-Digest-0:1.20 100% | 36.1 MiB/s | 37.0 KiB | 00m00s [ 51/690] Installing perl-Tie-0:4.6-507 100% | 32.9 MiB/s | 33.7 KiB | 00m00s [ 52/690] Installing perl-GSSAPI-0:0.28 100% | 119.2 MiB/s | 244.1 KiB | 00m00s [ 53/690] Installing perl-Digest-HMAC-0 100% | 29.2 MiB/s | 29.9 KiB | 00m00s [ 54/690] Installing perl-subs-0:1.04-5 100% | 0.0 B/s | 2.5 KiB | 00m00s [ 55/690] Installing perl-Business-ISBN 100% | 138.6 MiB/s | 283.9 KiB | 00m00s [ 56/690] Installing perl-B-0:1.88-507. 100% | 197.8 MiB/s | 607.7 KiB | 00m00s [ 57/690] Installing perl-FileHandle-0: 100% | 0.0 B/s | 9.8 KiB | 00m00s [ 58/690] Installing perl-Authen-SASL-0 100% | 59.3 MiB/s | 121.5 KiB | 00m00s [ 59/690] Installing perl-Digest-MD5-0: 100% | 228.2 MiB/s | 233.6 KiB | 00m00s [ 60/690] Installing perl-Business-ISBN 100% | 54.3 MiB/s | 55.6 KiB | 00m00s [ 61/690] Installing perl-Data-Dumper-0 100% | 129.7 MiB/s | 265.5 KiB | 00m00s [ 62/690] Installing perl-libnet-0:3.15 100% | 95.8 MiB/s | 294.3 KiB | 00m00s [ 63/690] Installing perl-AutoLoader-0: 100% | 20.5 MiB/s | 20.9 KiB | 00m00s [ 64/690] Installing perl-URI-0:5.28-1. 100% | 61.5 MiB/s | 251.8 KiB | 00m00s [ 65/690] Installing perl-Text-Tabs+Wra 100% | 23.3 MiB/s | 23.8 KiB | 00m00s [ 66/690] Installing perl-File-Path-0:2 100% | 63.0 MiB/s | 64.5 KiB | 00m00s [ 67/690] Installing perl-locale-0:1.10 100% | 0.0 B/s | 6.6 KiB | 00m00s [ 68/690] Installing perl-Time-Local-2: 100% | 68.9 MiB/s | 70.5 KiB | 00m00s [ 69/690] Installing perl-if-0:0.61.000 100% | 0.0 B/s | 6.2 KiB | 00m00s [ 70/690] Installing perl-Mozilla-CA-0: 100% | 10.2 MiB/s | 10.5 KiB | 00m00s [ 71/690] Installing perl-Net-SSLeay-0: 100% | 179.1 MiB/s | 1.4 MiB | 00m00s [ 72/690] Installing perl-IO-Socket-IP- 100% | 98.1 MiB/s | 100.4 KiB | 00m00s [ 73/690] Installing perl-Pod-Escapes-1 100% | 25.3 MiB/s | 25.9 KiB | 00m00s [ 74/690] Installing perl-IO-Socket-SSL 100% | 168.2 MiB/s | 689.0 KiB | 00m00s [ 75/690] Installing perl-POSIX-0:2.13- 100% | 159.3 MiB/s | 326.3 KiB | 00m00s [ 76/690] Installing perl-Term-ANSIColo 100% | 96.8 MiB/s | 99.1 KiB | 00m00s [ 77/690] Installing perl-Class-Struct- 100% | 0.0 B/s | 25.9 KiB | 00m00s [ 78/690] Installing perl-File-Temp-1:0 100% | 160.2 MiB/s | 164.0 KiB | 00m00s [ 79/690] Installing perl-IPC-Open3-0:1 100% | 22.7 MiB/s | 23.3 KiB | 00m00s [ 80/690] Installing perl-Term-Cap-0:1. 100% | 29.8 MiB/s | 30.5 KiB | 00m00s [ 81/690] Installing perl-Pod-Simple-1: 100% | 139.0 MiB/s | 569.4 KiB | 00m00s [ 82/690] Installing perl-HTTP-Tiny-0:0 100% | 150.6 MiB/s | 154.2 KiB | 00m00s [ 83/690] Installing perl-Symbol-0:1.09 100% | 0.0 B/s | 7.2 KiB | 00m00s [ 84/690] Installing perl-Socket-4:2.03 100% | 133.8 MiB/s | 274.0 KiB | 00m00s [ 85/690] Installing perl-SelectSaver-0 100% | 0.0 B/s | 2.6 KiB | 00m00s [ 86/690] Installing perl-File-stat-0:1 100% | 12.9 MiB/s | 13.2 KiB | 00m00s [ 87/690] Installing perl-podlators-1:5 100% | 152.4 MiB/s | 312.1 KiB | 00m00s [ 88/690] Installing perl-Pod-Perldoc-0 100% | 82.3 MiB/s | 168.6 KiB | 00m00s [ 89/690] Installing perl-Fcntl-0:1.15- 100% | 197.0 MiB/s | 201.7 KiB | 00m00s [ 90/690] Installing perl-base-0:2.27-5 100% | 12.6 MiB/s | 12.9 KiB | 00m00s [ 91/690] Installing perl-Text-ParseWor 100% | 14.2 MiB/s | 14.5 KiB | 00m00s [ 92/690] Installing perl-overloading-0 100% | 5.4 MiB/s | 5.5 KiB | 00m00s [ 93/690] Installing perl-IO-0:1.52-507 100% | 157.8 MiB/s | 323.3 KiB | 00m00s [ 94/690] Installing perl-mro-0:1.28-50 100% | 205.8 MiB/s | 210.7 KiB | 00m00s [ 95/690] Installing perl-Pod-Usage-4:2 100% | 84.2 MiB/s | 86.3 KiB | 00m00s [ 96/690] Installing perl-File-Basename 100% | 0.0 B/s | 14.6 KiB | 00m00s [ 97/690] Installing perl-Scalar-List-U 100% | 137.1 MiB/s | 280.7 KiB | 00m00s [ 98/690] Installing perl-Errno-0:1.37- 100% | 0.0 B/s | 8.8 KiB | 00m00s [ 99/690] Installing perl-constant-0:1. 100% | 26.7 MiB/s | 27.4 KiB | 00m00s [100/690] Installing perl-parent-1:0.24 100% | 0.0 B/s | 10.4 KiB | 00m00s [101/690] Installing perl-vars-0:1.05-5 100% | 0.0 B/s | 4.3 KiB | 00m00s [102/690] Installing perl-MIME-Base64-0 100% | 109.5 MiB/s | 224.3 KiB | 00m00s [103/690] Installing perl-Getopt-Std-0: 100% | 11.4 MiB/s | 11.6 KiB | 00m00s [104/690] Installing perl-Storable-1:3. 100% | 182.6 MiB/s | 373.9 KiB | 00m00s [105/690] Installing perl-overload-0:1. 100% | 70.3 MiB/s | 71.9 KiB | 00m00s [106/690] Installing perl-Getopt-Long-1 100% | 71.7 MiB/s | 146.9 KiB | 00m00s [107/690] Installing perl-Carp-0:1.54-5 100% | 46.5 MiB/s | 47.7 KiB | 00m00s [108/690] Installing perl-Exporter-0:5. 100% | 54.2 MiB/s | 55.5 KiB | 00m00s [109/690] Installing perl-PathTools-0:3 100% | 115.9 MiB/s | 356.2 KiB | 00m00s [110/690] Installing perl-DynaLoader-0: 100% | 31.7 MiB/s | 32.5 KiB | 00m00s [111/690] Installing perl-Encode-4:3.21 100% | 340.8 MiB/s | 10.9 MiB | 00m00s [112/690] Installing perl-libs-4:5.38.2 100% | 206.5 MiB/s | 11.4 MiB | 00m00s [113/690] Installing perl-interpreter-4 100% | 294.3 MiB/s | 301.4 KiB | 00m00s [114/690] Installing perl-File-Find-0:1 100% | 41.4 MiB/s | 42.4 KiB | 00m00s [115/690] Installing perl-Try-Tiny-0:0. 100% | 34.4 MiB/s | 70.5 KiB | 00m00s [116/690] Installing perl-Unicode-Norma 100% | 248.0 MiB/s | 761.8 KiB | 00m00s [117/690] Installing perl-version-8:0.9 100% | 142.3 MiB/s | 291.5 KiB | 00m00s [118/690] Installing perl-Module-Runtim 100% | 30.7 MiB/s | 31.4 KiB | 00m00s [119/690] Installing perl-Dist-CheckCon 100% | 32.3 MiB/s | 33.1 KiB | 00m00s [120/690] Installing perl-Module-Implem 100% | 25.5 MiB/s | 26.1 KiB | 00m00s [121/690] Installing perl-File-Copy-0:2 100% | 19.7 MiB/s | 20.2 KiB | 00m00s [122/690] Installing perl-Time-HiRes-4: 100% | 137.6 MiB/s | 281.9 KiB | 00m00s [123/690] Installing perl-Compress-Raw- 100% | 140.9 MiB/s | 288.6 KiB | 00m00s [124/690] Installing perl-Sys-Hostname- 100% | 196.6 MiB/s | 201.3 KiB | 00m00s [125/690] Installing perl-Params-Util-0 100% | 113.8 MiB/s | 233.0 KiB | 00m00s [126/690] Installing perl-Data-Dump-0:1 100% | 50.8 MiB/s | 52.1 KiB | 00m00s [127/690] Installing perl-Text-Balanced 100% | 110.0 MiB/s | 112.6 KiB | 00m00s [128/690] Installing perl-Module-Load-1 100% | 15.5 MiB/s | 15.8 KiB | 00m00s [129/690] Installing perl-XML-Namespace 100% | 45.0 MiB/s | 46.0 KiB | 00m00s [130/690] Installing perl-XML-SAX-Base- 100% | 170.3 MiB/s | 174.4 KiB | 00m00s [131/690] Installing perl-Clone-0:0.46- 100% | 205.2 MiB/s | 210.1 KiB | 00m00s [132/690] Installing perl-TimeDate-1:2. 100% | 33.7 MiB/s | 103.4 KiB | 00m00s [133/690] Installing perl-HTTP-Date-0:6 100% | 41.6 MiB/s | 42.6 KiB | 00m00s [134/690] Installing perl-I18N-Langinfo 100% | 202.1 MiB/s | 207.0 KiB | 00m00s [135/690] Installing perl-Encode-Locale 100% | 19.6 MiB/s | 20.0 KiB | 00m00s [136/690] Installing perl-Text-Unidecod 100% | 53.4 MiB/s | 491.7 KiB | 00m00s [137/690] Installing perl-Sub-Install-0 100% | 36.3 MiB/s | 37.1 KiB | 00m00s [138/690] Installing perl-Devel-StackTr 100% | 49.3 MiB/s | 50.5 KiB | 00m00s [139/690] Installing perl-Date-ISO8601- 100% | 24.8 MiB/s | 25.4 KiB | 00m00s [140/690] Installing perl-Data-OptList- 100% | 50.9 MiB/s | 52.1 KiB | 00m00s [141/690] Installing perl-File-Listing- 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [142/690] Installing perl-Parse-RecDesc 100% | 130.7 MiB/s | 535.3 KiB | 00m00s [143/690] Installing perl-Mail-Sendmail 100% | 64.9 MiB/s | 66.5 KiB | 00m00s [144/690] Installing perl-List-SomeUtil 100% | 106.3 MiB/s | 108.9 KiB | 00m00s [145/690] Installing perl-Params-Valida 100% | 142.7 MiB/s | 292.3 KiB | 00m00s [146/690] Installing perl-Module-CoreLi 100% | 376.0 MiB/s | 1.1 MiB | 00m00s [147/690] Installing perl-Module-Metada 100% | 67.3 MiB/s | 68.9 KiB | 00m00s [148/690] Installing perl-Text-BibTeX-0 100% | 216.0 MiB/s | 1.3 MiB | 00m00s [149/690] Installing perl-Unicode-Colla 100% | 305.8 MiB/s | 5.2 MiB | 00m00s [150/690] Installing perl-Unicode-UCD-0 100% | 66.7 MiB/s | 205.0 KiB | 00m00s [151/690] Installing perl-Date-Manip-0: 100% | 257.0 MiB/s | 11.0 MiB | 00m00s [152/690] Installing perl-Lingua-Transl 100% | 175.0 MiB/s | 179.2 KiB | 00m00s [153/690] Installing perl-Pod-Html-0:1. 100% | 42.2 MiB/s | 43.2 KiB | 00m00s [154/690] Installing perl-Business-ISSN 100% | 21.5 MiB/s | 22.1 KiB | 00m00s [155/690] Installing perl-Class-Accesso 100% | 41.8 MiB/s | 42.8 KiB | 00m00s [156/690] Installing perl-File-Slurper- 100% | 29.3 MiB/s | 30.0 KiB | 00m00s [157/690] Installing perl-IO-String-0:1 100% | 17.3 MiB/s | 17.7 KiB | 00m00s [158/690] Installing perl-IPC-Run3-0:0. 100% | 61.6 MiB/s | 63.1 KiB | 00m00s [159/690] Installing perl-Regexp-Common 100% | 115.1 MiB/s | 471.5 KiB | 00m00s [160/690] Installing perl-Sort-Key-0:1. 100% | 119.7 MiB/s | 245.2 KiB | 00m00s [161/690] Installing perl-Text-CSV-0:2. 100% | 341.1 MiB/s | 349.3 KiB | 00m00s [162/690] Installing perl-Text-Roman-0: 100% | 33.8 MiB/s | 34.6 KiB | 00m00s [163/690] Installing perl-XML-Writer-0: 100% | 67.0 MiB/s | 68.6 KiB | 00m00s [164/690] Installing perl-autovivificat 100% | 218.4 MiB/s | 223.6 KiB | 00m00s [165/690] Installing perl-meta-notation 100% | 0.0 B/s | 2.3 KiB | 00m00s [166/690] Installing perl-sigtrap-0:1.1 100% | 0.0 B/s | 11.4 KiB | 00m00s [167/690] Installing perl-NTLM-0:1.09-3 100% | 31.9 MiB/s | 32.6 KiB | 00m00s [168/690] Installing perl-WWW-RobotRule 100% | 25.2 MiB/s | 25.8 KiB | 00m00s [169/690] Installing perl-MIME-Charset- 100% | 52.4 MiB/s | 107.2 KiB | 00m00s [170/690] Installing perl-Compress-Raw- 100% | 111.2 MiB/s | 227.8 KiB | 00m00s [171/690] Installing perl-IO-Compress-0 100% | 171.4 MiB/s | 1.0 MiB | 00m00s [172/690] Installing perl-Net-HTTP-0:6. 100% | 75.2 MiB/s | 77.0 KiB | 00m00s [173/690] Installing perl-IPC-SysV-0:2. 100% | 119.4 MiB/s | 244.6 KiB | 00m00s [174/690] Installing perl-Mail-Sender-1 100% | 158.6 MiB/s | 162.4 KiB | 00m00s [175/690] Installing perl-Sys-Syslog-0: 100% | 133.3 MiB/s | 272.9 KiB | 00m00s [176/690] Installing perl-List-UtilsBy- 100% | 55.8 MiB/s | 57.1 KiB | 00m00s [177/690] Installing perl-List-AllUtils 100% | 132.7 MiB/s | 135.9 KiB | 00m00s [178/690] Installing perl-Convert-ASN1- 100% | 84.6 MiB/s | 173.3 KiB | 00m00s [179/690] Installing perl-ExtUtils-MM-U 100% | 3.5 MiB/s | 3.6 KiB | 00m00s [180/690] Installing perl-IO-HTML-0:1.0 100% | 45.6 MiB/s | 46.7 KiB | 00m00s [181/690] Installing perl-HTML-Tagset-0 100% | 19.2 MiB/s | 19.6 KiB | 00m00s [182/690] Installing perl-Class-Singlet 100% | 40.4 MiB/s | 41.3 KiB | 00m00s [183/690] Installing perl-File-Compare- 100% | 0.0 B/s | 6.0 KiB | 00m00s [184/690] Installing perl-Tie-Cycle-0:1 100% | 24.0 MiB/s | 24.5 KiB | 00m00s [185/690] Installing perl-Business-ISMN 100% | 36.7 MiB/s | 37.6 KiB | 00m00s [186/690] Installing perl-Sub-Identify- 100% | 105.6 MiB/s | 216.3 KiB | 00m00s [187/690] Installing perl-IPC-System-Si 100% | 71.7 MiB/s | 73.4 KiB | 00m00s [188/690] Installing perl-Tie-RefHash-0 100% | 34.9 MiB/s | 35.7 KiB | 00m00s [189/690] Installing perl-autodie-0:2.3 100% | 106.8 MiB/s | 218.8 KiB | 00m00s [190/690] Installing perl-deprecate-0:0 100% | 0.0 B/s | 6.9 KiB | 00m00s [191/690] Installing perl-Text-Soundex- 100% | 113.8 MiB/s | 233.0 KiB | 00m00s [192/690] Installing perl-Package-Gener 100% | 30.7 MiB/s | 31.5 KiB | 00m00s [193/690] Installing perl-Sub-Exporter- 100% | 65.7 MiB/s | 201.7 KiB | 00m00s [194/690] Installing perl-Sub-Exporter- 100% | 7.0 MiB/s | 28.8 KiB | 00m00s [195/690] Installing perl-Devel-GlobalD 100% | 18.5 MiB/s | 19.0 KiB | 00m00s [196/690] Installing perl-MRO-Compat-0: 100% | 43.8 MiB/s | 44.8 KiB | 00m00s [197/690] Installing perl-XString-0:0.0 100% | 106.8 MiB/s | 218.8 KiB | 00m00s [198/690] Installing perl-Opcode-0:1.64 100% | 216.7 MiB/s | 221.9 KiB | 00m00s [199/690] Installing perl-Safe-0:2.44-5 100% | 30.2 MiB/s | 31.0 KiB | 00m00s [200/690] Installing perl-Class-Method- 100% | 53.5 MiB/s | 109.5 KiB | 00m00s [201/690] Installing perl-Role-Tiny-0:2 100% | 61.9 MiB/s | 63.4 KiB | 00m00s [202/690] Installing perl-Ref-Util-XS-0 100% | 101.9 MiB/s | 208.7 KiB | 00m00s [203/690] Installing perl-Ref-Util-0:0. 100% | 32.3 MiB/s | 33.1 KiB | 00m00s [204/690] Installing perl-Math-Complex- 100% | 83.8 MiB/s | 85.8 KiB | 00m00s [205/690] Installing perl-Math-BigInt-1 100% | 234.8 MiB/s | 961.6 KiB | 00m00s [206/690] Installing perl-Data-Uniqid-0 100% | 5.6 MiB/s | 5.8 KiB | 00m00s [207/690] Installing perl-JSON-0:4.10-5 100% | 133.2 MiB/s | 272.7 KiB | 00m00s [208/690] Installing perl-Net-SMTP-SSL- 100% | 5.2 MiB/s | 5.3 KiB | 00m00s [209/690] Installing perl-MailTools-0:2 100% | 69.1 MiB/s | 212.1 KiB | 00m00s [210/690] Installing perl-Email-Date-Fo 100% | 25.3 MiB/s | 26.0 KiB | 00m00s [211/690] Installing perl-MIME-Types-0: 100% | 179.9 MiB/s | 184.2 KiB | 00m00s [212/690] Installing perl-MIME-Lite-0:3 100% | 121.5 MiB/s | 248.8 KiB | 00m00s [213/690] Installing perl-I18N-LangTags 100% | 81.6 MiB/s | 83.6 KiB | 00m00s [214/690] Installing perl-Locale-Makete 100% | 169.7 MiB/s | 173.8 KiB | 00m00s [215/690] Installing perl-Locale-Makete 100% | 13.1 MiB/s | 13.5 KiB | 00m00s [216/690] Installing perl-Params-Check- 100% | 27.9 MiB/s | 28.5 KiB | 00m00s [217/690] Installing perl-Module-Load-C 100% | 29.2 MiB/s | 29.9 KiB | 00m00s [218/690] Installing perl-IPC-Cmd-2:1.0 100% | 83.8 MiB/s | 85.8 KiB | 00m00s [219/690] Installing perl-Number-Compar 100% | 0.0 B/s | 5.4 KiB | 00m00s [220/690] Installing perl-Text-Glob-0:0 100% | 0.0 B/s | 9.2 KiB | 00m00s [221/690] Installing perl-File-Find-Rul 100% | 43.2 MiB/s | 44.2 KiB | 00m00s [222/690] Installing perl-Data-Compare- 100% | 56.6 MiB/s | 58.0 KiB | 00m00s [223/690] Installing perl-Class-Data-In 100% | 0.0 B/s | 7.0 KiB | 00m00s [224/690] Installing perl-Exception-Cla 100% | 92.3 MiB/s | 94.5 KiB | 00m00s [225/690] Installing perl-PadWalker-0:2 100% | 209.6 MiB/s | 214.6 KiB | 00m00s [226/690] Installing perl-Devel-Caller- 100% | 204.1 MiB/s | 209.0 KiB | 00m00s [227/690] Installing perl-Devel-LexAlia 100% | 196.2 MiB/s | 200.9 KiB | 00m00s [228/690] Installing perl-Hash-Util-Fie 100% | 230.8 MiB/s | 236.3 KiB | 00m00s [229/690] Installing perl-Variable-Magi 100% | 138.9 MiB/s | 284.5 KiB | 00m00s [230/690] Installing perl-B-Hooks-EndOf 100% | 36.6 MiB/s | 75.0 KiB | 00m00s [231/690] Installing perl-English-0:1.1 100% | 6.5 MiB/s | 6.6 KiB | 00m00s [232/690] Installing perl-Package-Stash 100% | 110.8 MiB/s | 227.0 KiB | 00m00s [233/690] Installing perl-Package-Stash 100% | 28.4 MiB/s | 58.1 KiB | 00m00s [234/690] Installing perl-namespace-cle 100% | 21.7 MiB/s | 44.5 KiB | 00m00s [235/690] Installing perl-namespace-aut 100% | 41.5 MiB/s | 42.5 KiB | 00m00s [236/690] Installing perl-Class-Inspect 100% | 57.8 MiB/s | 59.2 KiB | 00m00s [237/690] Installing perl-File-ShareDir 100% | 28.9 MiB/s | 59.1 KiB | 00m00s [238/690] Installing perl-DynaLoader-Fu 100% | 20.2 MiB/s | 20.7 KiB | 00m00s [239/690] Installing perl-Devel-CallChe 100% | 214.0 MiB/s | 219.1 KiB | 00m00s [240/690] Installing perl-Params-Classi 100% | 110.1 MiB/s | 225.4 KiB | 00m00s [241/690] Installing perl-DateTime-Time 100% | 28.9 MiB/s | 29.6 KiB | 00m00s [242/690] Installing perl-DateTime-Time 100% | 25.2 MiB/s | 25.8 KiB | 00m00s [243/690] Installing xpdf-libs-1:4.05-4 100% | 302.1 MiB/s | 2.4 MiB | 00m00s [244/690] Installing tesseract-tessdata 100% | 15.3 MiB/s | 15.7 KiB | 00m00s [245/690] Installing libicu-0:74.2-1.fc 100% | 320.6 MiB/s | 35.9 MiB | 00m00s [246/690] Installing libxshmfence-0:1.3 100% | 191.6 MiB/s | 196.2 KiB | 00m00s [247/690] Installing libdrm-0:2.4.121-1 100% | 341.3 MiB/s | 1.4 MiB | 00m00s [248/690] Installing libX11-xcb-0:1.8.9 100% | 191.2 MiB/s | 195.8 KiB | 00m00s [249/690] Installing emacs-filesystem-1 100% | 0.0 B/s | 544.0 B | 00m00s [250/690] Installing nettle-0:3.9.1-6.f 100% | 233.6 MiB/s | 956.7 KiB | 00m00s [251/690] Installing gnutls-0:3.8.5-2.f 100% | 285.3 MiB/s | 3.4 MiB | 00m00s [252/690] Installing glib2-0:2.80.2-1.f 100% | 300.2 MiB/s | 16.5 MiB | 00m00s [253/690] Installing shared-mime-info-0 100% | 140.5 MiB/s | 2.7 MiB | 00m00s >>> Running post-install scriptlet: shared-mime-info-0:2.3-5.fc41.aarch64 >>> Stop post-install scriptlet: shared-mime-info-0:2.3-5.fc41.aarch64 [254/690] Installing gdk-pixbuf2-0:2.42 100% | 163.5 MiB/s | 2.9 MiB | 00m00s [255/690] Installing desktop-file-utils 100% | 208.8 MiB/s | 641.4 KiB | 00m00s [256/690] Installing xdg-utils-0:1.2.1- 100% | 170.7 MiB/s | 349.5 KiB | 00m00s [257/690] Installing giflib-0:5.2.2-1.f 100% | 255.7 MiB/s | 261.8 KiB | 00m00s [258/690] Installing svt-av1-libs-0:2.1 100% | 282.0 MiB/s | 4.2 MiB | 00m00s [259/690] Installing rav1e-libs-0:0.7.1 100% | 265.5 MiB/s | 2.1 MiB | 00m00s [260/690] Installing libdav1d-0:1.4.0-1 100% | 224.9 MiB/s | 921.4 KiB | 00m00s [261/690] Installing libaom-0:3.9.0-1.f 100% | 267.9 MiB/s | 3.8 MiB | 00m00s [262/690] Installing libavif-0:1.0.4-3. 100% | 137.2 MiB/s | 281.1 KiB | 00m00s [263/690] Installing mesa-libglapi-0:24 100% | 225.4 MiB/s | 461.7 KiB | 00m00s [264/690] Installing libglvnd-1:1.7.0-4 100% | 426.3 MiB/s | 1.7 MiB | 00m00s [265/690] Installing libXau-0:1.0.11-6. 100% | 238.6 MiB/s | 244.3 KiB | 00m00s [266/690] Installing libxcb-0:1.17.0-1. 100% | 420.2 MiB/s | 5.0 MiB | 00m00s [267/690] Installing mailcap-0:2.1.54-5 100% | 85.0 MiB/s | 87.1 KiB | 00m00s [268/690] Installing perl-LWP-MediaType 100% | 78.5 MiB/s | 80.4 KiB | 00m00s [269/690] Installing perl-HTTP-Message- 100% | 107.2 MiB/s | 219.5 KiB | 00m00s [270/690] Installing perl-HTML-Parser-0 100% | 138.9 MiB/s | 426.7 KiB | 00m00s [271/690] Installing perl-HTTP-Negotiat 100% | 28.0 MiB/s | 28.6 KiB | 00m00s [272/690] Installing perltidy-0:2024051 100% | 312.2 MiB/s | 3.7 MiB | 00m00s [273/690] Installing perl-Eval-Closure- 100% | 33.7 MiB/s | 34.6 KiB | 00m00s [274/690] Installing perl-Params-Valida 100% | 45.9 MiB/s | 94.1 KiB | 00m00s [275/690] Installing perl-Specio-0:0.48 100% | 67.1 MiB/s | 343.3 KiB | 00m00s [276/690] Installing perl-Log-Dispatch- 100% | 18.2 MiB/s | 149.4 KiB | 00m00s [277/690] Installing perl-DateTime-Loca 100% | 187.9 MiB/s | 22.7 MiB | 00m00s [278/690] Installing perl-DateTime-Time 100% | 290.3 MiB/s | 6.4 MiB | 00m00s [279/690] Installing perl-DateTime-2:1. 100% | 182.1 MiB/s | 559.4 KiB | 00m00s [280/690] Installing perl-DateTime-Cale 100% | 33.5 MiB/s | 34.3 KiB | 00m00s [281/690] Installing perl-DateTime-Form 100% | 48.1 MiB/s | 98.6 KiB | 00m00s [282/690] Installing perl-DateTime-Form 100% | 51.9 MiB/s | 212.7 KiB | 00m00s [283/690] Installing perl-Log-Dispatch- 100% | 53.1 MiB/s | 54.4 KiB | 00m00s [284/690] Installing perl-HTTP-Cookies- 100% | 73.8 MiB/s | 75.6 KiB | 00m00s [285/690] Installing perl-LWP-Protocol- 100% | 30.3 MiB/s | 31.1 KiB | 00m00s [286/690] Installing perl-libwww-perl-0 100% | 103.5 MiB/s | 529.7 KiB | 00m00s [287/690] Installing perl-XML-Parser-0: 100% | 152.2 MiB/s | 779.4 KiB | 00m00s [288/690] Installing perl-XML-SAX-0:1.0 100% | 2.1 MiB/s | 125.7 KiB | 00m00s >>> Running post-install scriptlet: perl-XML-SAX-0:1.02-16.fc40.noarch >>> Stop post-install scriptlet: perl-XML-SAX-0:1.02-16.fc40.noarch [289/690] Installing perl-XML-LibXML-1: 100% | 9.3 MiB/s | 1.0 MiB | 00m00s >>> Running trigger-install scriptlet: perl-XML-LibXML-1:2.0210-1.fc40.aarch64 >>> Stop trigger-install scriptlet: perl-XML-LibXML-1:2.0210-1.fc40.aarch64 [290/690] Installing perl-XML-LibXML-Si 100% | 25.5 MiB/s | 52.2 KiB | 00m00s [291/690] Installing perl-XML-LibXSLT-0 100% | 68.8 MiB/s | 282.0 KiB | 00m00s [292/690] Installing perl-LDAP-1:0.68-1 100% | 104.2 MiB/s | 960.1 KiB | 00m00s [293/690] Installing perl-Log-Log4perl- 100% | 125.0 MiB/s | 895.6 KiB | 00m00s [294/690] Installing libyaml-0:0.2.5-14 100% | 128.8 MiB/s | 263.9 KiB | 00m00s [295/690] Installing texlive-texlive-co 100% | 130.8 MiB/s | 268.0 KiB | 00m00s [296/690] Installing potrace-0:1.16-12. 100% | 218.0 MiB/s | 669.6 KiB | 00m00s [297/690] Installing gumbo-parser-1:0.1 100% | 259.3 MiB/s | 531.1 KiB | 00m00s [298/690] Installing json-c-0:0.17-3.fc 100% | 7.6 MiB/s | 203.6 KiB | 00m00s >>> Running pre-install scriptlet: tpm2-tss-0:4.1.3-1.fc41.aarch64 >>> Stop pre-install scriptlet: tpm2-tss-0:4.1.3-1.fc41.aarch64 [299/690] Installing tpm2-tss-0:4.1.3-1 100% | 276.4 MiB/s | 3.6 MiB | 00m00s [300/690] Installing dbus-libs-1:1.14.1 100% | 239.3 MiB/s | 490.2 KiB | 00m00s [301/690] Installing avahi-libs-0:0.8-2 100% | 200.8 MiB/s | 616.8 KiB | 00m00s [302/690] Installing cups-libs-1:2.4.8- 100% | 225.7 MiB/s | 924.6 KiB | 00m00s [303/690] Installing pixman-0:0.43.4-1. 100% | 234.2 MiB/s | 719.4 KiB | 00m00s [304/690] Installing google-noto-fonts- 100% | 17.8 MiB/s | 18.3 KiB | 00m00s [305/690] Installing google-noto-sans-v 100% | 249.8 MiB/s | 1.2 MiB | 00m00s [306/690] Installing google-droid-sans- 100% | 260.8 MiB/s | 6.3 MiB | 00m00s [307/690] Installing default-fonts-core 100% | 2.0 MiB/s | 18.2 KiB | 00m00s >>> Running pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch >>> Stop pre-install scriptlet: xml-common-0:0.6.3-63.fc40.noarch [308/690] Installing xml-common-0:0.6.3 100% | 39.6 MiB/s | 81.1 KiB | 00m00s [309/690] Installing libubsan-0:14.1.1- 100% | 175.8 MiB/s | 540.1 KiB | 00m00s [310/690] Installing libatomic-0:14.1.1 100% | 193.1 MiB/s | 197.8 KiB | 00m00s [311/690] Installing libasan-0:14.1.1-5 100% | 267.1 MiB/s | 1.6 MiB | 00m00s [312/690] Installing annobin-docs-0:12. 100% | 95.0 MiB/s | 97.3 KiB | 00m00s [313/690] Installing libimagequant-0:4. 100% | 143.0 MiB/s | 732.1 KiB | 00m00s [314/690] Installing kernel-headers-0:6 100% | 121.7 MiB/s | 6.3 MiB | 00m00s [315/690] Installing libxcrypt-devel-0: 100% | 10.6 MiB/s | 32.6 KiB | 00m00s [316/690] Installing glibc-devel-0:2.39 100% | 108.6 MiB/s | 2.3 MiB | 00m00s [317/690] Installing npth-0:1.7-1.fc41. 100% | 108.6 MiB/s | 222.5 KiB | 00m00s [318/690] Installing gnupg2-0:2.4.5-1.f 100% | 287.4 MiB/s | 12.4 MiB | 00m00s [319/690] Installing gpgme-0:1.23.2-3.f 100% | 198.5 MiB/s | 813.2 KiB | 00m00s [320/690] Installing gpgmepp-0:1.23.2-3 100% | 255.3 MiB/s | 522.8 KiB | 00m00s [321/690] Installing netpbm-0:11.02.00- 100% | 205.4 MiB/s | 630.9 KiB | 00m00s [322/690] Installing gts-0:0.7.6-48.201 100% | 343.6 MiB/s | 2.4 MiB | 00m00s [323/690] Installing gc-0:8.2.2-6.fc40. 100% | 208.2 MiB/s | 852.9 KiB | 00m00s [324/690] Installing guile30-0:3.0.9-1. 100% | 326.9 MiB/s | 53.3 MiB | 00m00s [325/690] Installing make-1:4.4.1-6.fc4 100% | 205.6 MiB/s | 1.9 MiB | 00m00s [326/690] Installing gcc-0:14.1.1-5.fc4 100% | 295.3 MiB/s | 93.6 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:293-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:293-1.fc41.noarch [327/690] Installing perl-Filter-2:1.64 100% | 144.2 MiB/s | 886.1 KiB | 00m00s [328/690] Installing perl-encoding-4:3. 100% | 146.9 MiB/s | 150.4 KiB | 00m00s [329/690] Installing perl-open-0:1.13-5 100% | 11.4 MiB/s | 11.7 KiB | 00m00s [330/690] Installing perl-XML-XPath-0:1 100% | 68.2 MiB/s | 209.4 KiB | 00m00s [331/690] Installing texlive-epstopdf-1 100% | 39.6 MiB/s | 40.6 KiB | 00m00s [332/690] Installing texlive-epstopdf-p 100% | 189.4 MiB/s | 388.0 KiB | 00m00s [333/690] Installing texlive-cm-11:svn5 100% | 111.9 MiB/s | 1.0 MiB | 00m00s [334/690] Installing texlive-etex-11:sv 100% | 41.7 MiB/s | 42.7 KiB | 00m00s [335/690] Installing texlive-hyph-utf8- 100% | 74.8 MiB/s | 76.6 KiB | 00m00s [336/690] Installing texlive-hyphen-bas 100% | 64.3 MiB/s | 65.9 KiB | 00m00s [337/690] Installing texlive-knuth-lib- 100% | 51.9 MiB/s | 106.3 KiB | 00m00s [338/690] Installing texlive-plain-11:s 100% | 55.6 MiB/s | 113.8 KiB | 00m00s [339/690] Installing texlive-tex-ini-fi 100% | 9.9 MiB/s | 10.1 KiB | 00m00s [340/690] Installing texlive-unicode-da 100% | 341.2 MiB/s | 3.1 MiB | 00m00s [341/690] Installing texlive-graphics-d 100% | 97.5 MiB/s | 99.9 KiB | 00m00s [342/690] Installing texlive-luatex-11: 100% | 321.8 MiB/s | 9.7 MiB | 00m00s [343/690] Installing texlive-gsftopk-11 100% | 203.6 MiB/s | 208.5 KiB | 00m00s [344/690] Installing texlive-texlive.in 100% | 201.4 MiB/s | 1.0 MiB | 00m00s [345/690] Installing texlive-texlive-sc 100% | 85.2 MiB/s | 349.1 KiB | 00m00s [346/690] Installing texlive-kpathsea-1 100% | 346.0 MiB/s | 2.8 MiB | 00m00s [347/690] Installing texlive-iftex-11:s 100% | 29.6 MiB/s | 30.3 KiB | 00m00s [348/690] Installing texlive-amsmath-11 100% | 262.4 MiB/s | 268.7 KiB | 00m00s [349/690] Installing texlive-kvoptions- 100% | 269.3 MiB/s | 551.6 KiB | 00m00s [350/690] Installing texlive-url-11:svn 100% | 21.6 MiB/s | 22.1 KiB | 00m00s [351/690] Installing texlive-pdftexcmds 100% | 415.6 MiB/s | 425.6 KiB | 00m00s [352/690] Installing texlive-ltxcmds-11 100% | 51.9 MiB/s | 425.5 KiB | 00m00s [353/690] Installing texlive-babel-11:s 100% | 41.1 MiB/s | 2.2 MiB | 00m00s [354/690] Installing texlive-atveryend- 100% | 200.8 MiB/s | 411.2 KiB | 00m00s [355/690] Installing texlive-etex-pkg-1 100% | 0.0 B/s | 19.0 KiB | 00m00s [356/690] Installing texlive-etoolbox-1 100% | 66.1 MiB/s | 67.7 KiB | 00m00s [357/690] Installing texlive-atbegshi-1 100% | 217.3 MiB/s | 445.1 KiB | 00m00s [358/690] Installing texlive-multido-11 100% | 9.6 MiB/s | 19.6 KiB | 00m00s [359/690] Installing texlive-amsfonts-1 100% | 194.9 MiB/s | 6.0 MiB | 00m00s [360/690] Installing texlive-auxhook-11 100% | 148.0 MiB/s | 303.2 KiB | 00m00s [361/690] Installing texlive-etexcmds-1 100% | 314.7 MiB/s | 322.3 KiB | 00m00s [362/690] Installing texlive-latex-font 100% | 24.3 MiB/s | 124.2 KiB | 00m00s [363/690] Installing texlive-lm-11:svn6 100% | 359.5 MiB/s | 39.5 MiB | 00m00s [364/690] Installing texlive-varwidth-1 100% | 19.8 MiB/s | 20.3 KiB | 00m00s [365/690] Installing texlive-booktabs-1 100% | 18.6 MiB/s | 19.0 KiB | 00m00s [366/690] Installing texlive-footmisc-1 100% | 64.1 MiB/s | 65.6 KiB | 00m00s [367/690] Installing texlive-fp-11:svn4 100% | 124.9 MiB/s | 127.9 KiB | 00m00s [368/690] Installing texlive-marvosym-1 100% | 91.9 MiB/s | 188.3 KiB | 00m00s [369/690] Installing texlive-infwarerr- 100% | 300.3 MiB/s | 307.5 KiB | 00m00s [370/690] Installing texlive-intcalc-11 100% | 230.9 MiB/s | 472.8 KiB | 00m00s [371/690] Installing texlive-kvsetkeys- 100% | 418.8 MiB/s | 428.8 KiB | 00m00s [372/690] Installing texlive-letltxmacr 100% | 305.3 MiB/s | 312.6 KiB | 00m00s [373/690] Installing texlive-pdfescape- 100% | 184.2 MiB/s | 377.2 KiB | 00m00s [374/690] Installing texlive-zapfding-1 100% | 40.4 MiB/s | 82.7 KiB | 00m00s [375/690] Installing texlive-bigintcalc 100% | 252.4 MiB/s | 516.8 KiB | 00m00s [376/690] Installing texlive-fancyhdr-1 100% | 31.9 MiB/s | 32.7 KiB | 00m00s [377/690] Installing texlive-graphics-c 100% | 3.8 MiB/s | 3.9 KiB | 00m00s [378/690] Installing texlive-graphics-1 100% | 62.8 MiB/s | 128.7 KiB | 00m00s [379/690] Installing texlive-tools-11:s 100% | 134.0 MiB/s | 411.7 KiB | 00m00s [380/690] Installing texlive-xkeyval-11 100% | 69.9 MiB/s | 71.6 KiB | 00m00s [381/690] Installing texlive-geometry-1 100% | 49.1 MiB/s | 50.2 KiB | 00m00s [382/690] Installing texlive-colortbl-1 100% | 21.3 MiB/s | 21.8 KiB | 00m00s [383/690] Installing texlive-uniquecoun 100% | 306.1 MiB/s | 313.5 KiB | 00m00s [384/690] Installing texlive-hypdoc-11: 100% | 351.1 MiB/s | 359.5 KiB | 00m00s [385/690] Installing texlive-l3backend- 100% | 369.0 MiB/s | 1.1 MiB | 00m00s [386/690] Installing texlive-natbib-11: 100% | 131.1 MiB/s | 134.2 KiB | 00m00s [387/690] Installing texlive-palatino-1 100% | 112.1 MiB/s | 1.1 MiB | 00m00s [388/690] Installing texlive-rerunfilec 100% | 177.4 MiB/s | 363.3 KiB | 00m00s [389/690] Installing texlive-caption-11 100% | 297.1 MiB/s | 912.6 KiB | 00m00s [390/690] Installing texlive-carlisle-1 100% | 32.0 MiB/s | 32.8 KiB | 00m00s [391/690] Installing texlive-csquotes-1 100% | 110.6 MiB/s | 113.3 KiB | 00m00s [392/690] Installing texlive-sauerj-11: 100% | 27.9 MiB/s | 28.6 KiB | 00m00s [393/690] Installing texlive-bitset-11: 100% | 324.0 MiB/s | 663.5 KiB | 00m00s [394/690] Installing texlive-catchfile- 100% | 312.5 MiB/s | 320.0 KiB | 00m00s [395/690] Installing texlive-ifplatform 100% | 13.1 MiB/s | 13.5 KiB | 00m00s [396/690] Installing texlive-babelbib-1 100% | 256.3 MiB/s | 787.2 KiB | 00m00s [397/690] Installing texlive-paralist-1 100% | 23.6 MiB/s | 24.1 KiB | 00m00s [398/690] Installing texlive-ragged2e-1 100% | 358.1 MiB/s | 733.3 KiB | 00m00s [399/690] Installing texlive-setspace-1 100% | 0.0 B/s | 22.4 KiB | 00m00s [400/690] Installing texlive-ctablestac 100% | 20.4 MiB/s | 20.9 KiB | 00m00s [401/690] Installing texlive-luatexbase 100% | 14.7 MiB/s | 15.1 KiB | 00m00s [402/690] Installing texlive-bookmark-1 100% | 279.4 MiB/s | 572.2 KiB | 00m00s [403/690] Installing texlive-gettitlest 100% | 332.2 MiB/s | 340.1 KiB | 00m00s [404/690] Installing texlive-hycolor-11 100% | 403.5 MiB/s | 413.1 KiB | 00m00s [405/690] Installing texlive-kvdefineke 100% | 308.1 MiB/s | 315.5 KiB | 00m00s [406/690] Installing texlive-refcount-1 100% | 341.0 MiB/s | 349.2 KiB | 00m00s [407/690] Installing texlive-stringenc- 100% | 263.5 MiB/s | 1.1 MiB | 00m00s [408/690] Installing texlive-titlesec-1 100% | 96.6 MiB/s | 98.9 KiB | 00m00s [409/690] Installing texlive-latexconfi 100% | 9.6 MiB/s | 9.8 KiB | 00m00s [410/690] Installing texlive-hologo-11: 100% | 325.3 MiB/s | 666.1 KiB | 00m00s [411/690] Installing texlive-firstaid-1 100% | 291.8 MiB/s | 298.8 KiB | 00m00s [412/690] Installing texlive-grfext-11: 100% | 160.7 MiB/s | 329.1 KiB | 00m00s [413/690] Installing texlive-avantgar-1 100% | 138.0 MiB/s | 1.2 MiB | 00m00s [414/690] Installing texlive-bookman-11 100% | 123.4 MiB/s | 1.2 MiB | 00m00s [415/690] Installing texlive-charter-11 100% | 101.3 MiB/s | 415.0 KiB | 00m00s [416/690] Installing texlive-courier-11 100% | 130.7 MiB/s | 937.1 KiB | 00m00s [417/690] Installing texlive-fpl-11:svn 100% | 212.0 MiB/s | 651.2 KiB | 00m00s [418/690] Installing texlive-mathpazo-1 100% | 38.0 MiB/s | 116.7 KiB | 00m00s [419/690] Installing texlive-helvetic-1 100% | 140.6 MiB/s | 1.8 MiB | 00m00s [420/690] Installing texlive-lm-math-11 100% | 239.9 MiB/s | 736.9 KiB | 00m00s [421/690] Installing texlive-symbol-11: 100% | 40.0 MiB/s | 82.0 KiB | 00m00s [422/690] Installing texlive-times-11:s 100% | 110.9 MiB/s | 1.0 MiB | 00m00s [423/690] Installing texlive-utopia-11: 100% | 134.6 MiB/s | 551.4 KiB | 00m00s [424/690] Installing texlive-psnfss-11: 100% | 29.2 MiB/s | 119.5 KiB | 00m00s [425/690] Installing texlive-wasy-11:sv 100% | 69.4 MiB/s | 142.1 KiB | 00m00s [426/690] Installing texlive-glyphlist- 100% | 89.0 MiB/s | 91.1 KiB | 00m00s [427/690] Installing texlive-lua-alt-ge 100% | 4.2 MiB/s | 4.3 KiB | 00m00s [428/690] Installing texlive-modes-11:s 100% | 191.4 MiB/s | 391.9 KiB | 00m00s [429/690] Installing texlive-placeins-1 100% | 5.2 MiB/s | 5.4 KiB | 00m00s [430/690] Installing texlive-zref-11:sv 100% | 267.6 MiB/s | 822.0 KiB | 00m00s [431/690] Installing texlive-wasy-type1 100% | 164.4 MiB/s | 336.7 KiB | 00m00s [432/690] Installing texlive-auto-pst-p 100% | 15.2 MiB/s | 15.6 KiB | 00m00s [433/690] Installing texlive-subfig-11: 100% | 36.6 MiB/s | 37.5 KiB | 00m00s [434/690] Installing texlive-breakurl-1 100% | 17.5 MiB/s | 17.9 KiB | 00m00s [435/690] Installing texlive-tabulary-1 100% | 22.5 MiB/s | 23.1 KiB | 00m00s [436/690] Installing texlive-logreq-11: 100% | 26.6 MiB/s | 27.2 KiB | 00m00s [437/690] Installing texlive-fncychap-1 100% | 37.5 MiB/s | 38.4 KiB | 00m00s [438/690] Installing texlive-euro-11:sv 100% | 15.5 MiB/s | 15.9 KiB | 00m00s [439/690] Installing texlive-dvips-11:s 100% | 228.0 MiB/s | 1.8 MiB | 00m00s [440/690] Installing texlive-memoir-11: 100% | 236.2 MiB/s | 483.6 KiB | 00m00s [441/690] Installing texlive-hopatch-11 100% | 339.1 MiB/s | 347.2 KiB | 00m00s [442/690] Installing texlive-filemod-11 100% | 21.6 MiB/s | 44.1 KiB | 00m00s [443/690] Installing texlive-tex-gyre-1 100% | 316.7 MiB/s | 24.4 MiB | 00m00s [444/690] Installing texlive-fileconten 100% | 12.7 MiB/s | 13.0 KiB | 00m00s [445/690] Installing texlive-pst-math-1 100% | 23.1 MiB/s | 23.7 KiB | 00m00s [446/690] Installing texlive-marginnote 100% | 22.8 MiB/s | 23.3 KiB | 00m00s [447/690] Installing texlive-mparhack-1 100% | 20.6 MiB/s | 21.1 KiB | 00m00s [448/690] Installing texlive-picture-11 100% | 317.1 MiB/s | 324.8 KiB | 00m00s [449/690] Installing texlive-hobsub-11: 100% | 80.0 MiB/s | 81.9 KiB | 00m00s [450/690] Installing texlive-hyperref-1 100% | 250.5 MiB/s | 769.4 KiB | 00m00s [451/690] Installing texlive-attachfile 100% | 32.4 MiB/s | 33.2 KiB | 00m00s [452/690] Installing texlive-svn-prov-1 100% | 15.9 MiB/s | 16.3 KiB | 00m00s [453/690] Installing texlive-xstring-11 100% | 55.7 MiB/s | 57.0 KiB | 00m00s [454/690] Installing texlive-float-11:s 100% | 15.8 MiB/s | 16.2 KiB | 00m00s [455/690] Installing texlive-collectbox 100% | 27.7 MiB/s | 28.3 KiB | 00m00s [456/690] Installing texlive-ifoddpage- 100% | 0.0 B/s | 21.5 KiB | 00m00s [457/690] Installing texlive-anyfontsiz 100% | 0.0 B/s | 13.4 KiB | 00m00s [458/690] Installing texlive-capt-of-11 100% | 0.0 B/s | 10.9 KiB | 00m00s [459/690] Installing texlive-cmap-11:sv 100% | 37.9 MiB/s | 38.8 KiB | 00m00s [460/690] Installing texlive-framed-11: 100% | 0.0 B/s | 22.4 KiB | 00m00s [461/690] Installing texlive-gnu-freefo 100% | 246.1 MiB/s | 17.0 MiB | 00m00s [462/690] Installing texlive-luatex85-1 100% | 24.6 MiB/s | 25.1 KiB | 00m00s [463/690] Installing texlive-needspace- 100% | 10.2 MiB/s | 10.5 KiB | 00m00s [464/690] Installing texlive-parskip-11 100% | 16.3 MiB/s | 16.7 KiB | 00m00s [465/690] Installing texlive-wrapfig-11 100% | 34.4 MiB/s | 35.2 KiB | 00m00s [466/690] Installing texlive-pdfcolmk-1 100% | 20.2 MiB/s | 20.7 KiB | 00m00s [467/690] Installing texlive-xcolor-11: 100% | 78.8 MiB/s | 80.7 KiB | 00m00s [468/690] Installing texlive-eso-pic-11 100% | 27.9 MiB/s | 28.6 KiB | 00m00s [469/690] Installing texlive-luabidi-11 100% | 26.1 MiB/s | 26.8 KiB | 00m00s [470/690] Installing texlive-makecmds-1 100% | 0.0 B/s | 11.9 KiB | 00m00s [471/690] Installing texlive-alphalph-1 100% | 368.3 MiB/s | 377.1 KiB | 00m00s [472/690] Installing texlive-enumitem-1 100% | 58.7 MiB/s | 60.1 KiB | 00m00s [473/690] Installing texlive-underscore 100% | 0.0 B/s | 20.1 KiB | 00m00s [474/690] Installing texlive-euenc-11:s 100% | 41.2 MiB/s | 42.2 KiB | 00m00s [475/690] Installing texlive-kastrup-11 100% | 0.0 B/s | 4.2 KiB | 00m00s [476/690] Installing texlive-babel-engl 100% | 45.4 MiB/s | 46.5 KiB | 00m00s [477/690] Installing texlive-fix2col-11 100% | 17.0 MiB/s | 17.4 KiB | 00m00s [478/690] Installing texlive-latex-lab- 100% | 462.2 MiB/s | 2.3 MiB | 00m00s [479/690] Installing texlive-mfnfss-11: 100% | 24.2 MiB/s | 24.7 KiB | 00m00s [480/690] Installing texlive-mptopdf-11 100% | 75.0 MiB/s | 153.5 KiB | 00m00s [481/690] Installing texlive-pagesel-11 100% | 347.7 MiB/s | 356.1 KiB | 00m00s [482/690] Installing texlive-pslatex-11 100% | 28.5 MiB/s | 29.2 KiB | 00m00s [483/690] Installing texlive-pspicture- 100% | 14.4 MiB/s | 14.7 KiB | 00m00s [484/690] Installing texlive-cmextra-11 100% | 46.1 MiB/s | 141.8 KiB | 00m00s [485/690] Installing texlive-euro-ce-11 100% | 23.0 MiB/s | 47.1 KiB | 00m00s [486/690] Installing texlive-eurosym-11 100% | 109.7 MiB/s | 224.7 KiB | 00m00s [487/690] Installing texlive-manfnt-fon 100% | 42.1 MiB/s | 43.1 KiB | 00m00s [488/690] Installing texlive-mflogo-fon 100% | 20.5 MiB/s | 42.0 KiB | 00m00s [489/690] Installing texlive-ncntrsbk-1 100% | 117.0 MiB/s | 958.2 KiB | 00m00s [490/690] Installing texlive-pxfonts-11 100% | 104.2 MiB/s | 853.5 KiB | 00m00s [491/690] Installing texlive-rsfs-11:sv 100% | 49.9 MiB/s | 102.2 KiB | 00m00s [492/690] Installing texlive-tex-gyre-m 100% | 243.2 MiB/s | 2.7 MiB | 00m00s [493/690] Installing texlive-txfonts-11 100% | 117.8 MiB/s | 1.5 MiB | 00m00s [494/690] Installing texlive-wasysym-11 100% | 24.4 MiB/s | 25.0 KiB | 00m00s [495/690] Installing texlive-zapfchan-1 100% | 60.2 MiB/s | 185.0 KiB | 00m00s [496/690] Installing texlive-bibtex-11: 100% | 228.2 MiB/s | 934.8 KiB | 00m00s [497/690] Installing texlive-colorprofi 100% | 66.9 MiB/s | 274.1 KiB | 00m00s [498/690] Installing texlive-ec-11:svn2 100% | 70.2 MiB/s | 2.7 MiB | 00m00s [499/690] Installing texlive-enctex-11: 100% | 61.0 MiB/s | 187.3 KiB | 00m00s [500/690] Installing texlive-hyphenex-1 100% | 25.5 MiB/s | 26.1 KiB | 00m00s [501/690] Installing texlive-knuth-loca 100% | 55.2 MiB/s | 113.1 KiB | 00m00s [502/690] Installing texlive-makeindex- 100% | 212.2 MiB/s | 651.8 KiB | 00m00s [503/690] Installing texlive-mflogo-11: 100% | 13.3 MiB/s | 13.6 KiB | 00m00s [504/690] Installing texlive-mfware-11: 100% | 292.2 MiB/s | 1.2 MiB | 00m00s [505/690] Installing texlive-tex-11:svn 100% | 171.5 MiB/s | 526.8 KiB | 00m00s [506/690] Installing texlive-texlive-en 100% | 456.7 MiB/s | 5.9 MiB | 00m00s [507/690] Installing texlive-texlive-ms 100% | 351.6 MiB/s | 1.4 MiB | 00m00s [508/690] Installing texlive-changepage 100% | 27.6 MiB/s | 28.2 KiB | 00m00s [509/690] Installing texlive-ifmtarg-11 100% | 9.7 MiB/s | 9.9 KiB | 00m00s [510/690] Installing texlive-dehyph-11: 100% | 235.5 MiB/s | 241.2 KiB | 00m00s [511/690] Installing texlive-grffile-11 100% | 371.8 MiB/s | 380.7 KiB | 00m00s [512/690] Installing texlive-index-11:s 100% | 60.7 MiB/s | 62.1 KiB | 00m00s [513/690] Installing texlive-parallel-1 100% | 22.8 MiB/s | 23.3 KiB | 00m00s [514/690] Installing texlive-pdfcol-11: 100% | 338.7 MiB/s | 346.8 KiB | 00m00s [515/690] Installing texlive-lua-uni-al 100% | 128.2 MiB/s | 131.3 KiB | 00m00s [516/690] Installing texlive-lualibs-11 100% | 251.2 MiB/s | 771.6 KiB | 00m00s [517/690] Installing texlive-luaotfload 100% | 410.9 MiB/s | 8.2 MiB | 00m00s [518/690] Installing texlive-beton-11:s 100% | 12.2 MiB/s | 12.5 KiB | 00m00s [519/690] Installing texlive-euler-11:s 100% | 20.9 MiB/s | 21.4 KiB | 00m00s [520/690] Installing texlive-everyshi-1 100% | 155.2 MiB/s | 158.9 KiB | 00m00s [521/690] Installing texlive-xetexconfi 100% | 0.0 B/s | 776.0 B | 00m00s [522/690] Installing texlive-ucharcat-1 100% | 0.0 B/s | 10.9 KiB | 00m00s [523/690] Installing texlive-notoccite- 100% | 0.0 B/s | 3.0 KiB | 00m00s [524/690] Installing libijs-0:0.35-22.f 100% | 225.2 MiB/s | 230.6 KiB | 00m00s [525/690] Installing adobe-mappings-pdf 100% | 314.0 MiB/s | 4.4 MiB | 00m00s [526/690] Installing libdatrie-0:0.2.13 100% | 217.8 MiB/s | 223.0 KiB | 00m00s [527/690] Installing libthai-0:0.1.29-8 100% | 228.8 MiB/s | 937.2 KiB | 00m00s [528/690] Installing sombok-0:2.4.0-21. 100% | 133.4 MiB/s | 273.2 KiB | 00m00s [529/690] Installing perl-Unicode-LineB 100% | 94.3 MiB/s | 386.2 KiB | 00m00s [530/690] Installing biber-0:2.19-5.fc4 100% | 191.3 MiB/s | 1.3 MiB | 00m00s [531/690] Installing liblerc-0:4.0.0-6. 100% | 199.2 MiB/s | 611.9 KiB | 00m00s [532/690] Installing jbigkit-libs-0:2.1 100% | 214.6 MiB/s | 439.5 KiB | 00m00s [533/690] Installing libtiff-0:4.6.0-2. 100% | 331.8 MiB/s | 1.7 MiB | 00m00s [534/690] Installing leptonica-0:1.84.1 100% | 301.5 MiB/s | 3.3 MiB | 00m00s [535/690] Installing tesseract-langpack 100% | 280.2 MiB/s | 3.9 MiB | 00m00s [536/690] Installing tesseract-0:5.3.4- 100% | 242.3 MiB/s | 3.1 MiB | 00m00s [537/690] Installing ncurses-c++-libs-0 100% | 128.5 MiB/s | 394.6 KiB | 00m00s [538/690] Installing ncurses-devel-0:6. 100% | 49.2 MiB/s | 1.0 MiB | 00m00s [539/690] Installing fribidi-0:1.0.15-1 100% | 220.9 MiB/s | 678.7 KiB | 00m00s [540/690] Installing poppler-data-0:0.4 100% | 275.3 MiB/s | 12.4 MiB | 00m00s [541/690] Installing tzdata-0:2024a-8.f 100% | 36.6 MiB/s | 1.9 MiB | 00m00s [542/690] Installing python-pip-wheel-0 100% | 509.3 MiB/s | 1.5 MiB | 00m00s [543/690] Installing mpdecimal-0:2.5.1- 100% | 161.0 MiB/s | 329.8 KiB | 00m00s [544/690] Installing libb2-0:0.98.1-11. 100% | 39.7 MiB/s | 203.2 KiB | 00m00s [545/690] Installing python3-libs-0:3.1 100% | 244.4 MiB/s | 51.6 MiB | 00m00s [546/690] Installing python3-0:3.12.3-2 100% | 104.1 MiB/s | 213.1 KiB | 00m00s [547/690] Installing python3-docutils-0 100% | 148.1 MiB/s | 4.9 MiB | 00m00s [548/690] Installing python3-pygments-0 100% | 188.2 MiB/s | 10.5 MiB | 00m00s [549/690] Installing python3-idna-0:3.7 100% | 146.7 MiB/s | 600.9 KiB | 00m00s [550/690] Installing python3-urllib3-0: 100% | 99.8 MiB/s | 1.0 MiB | 00m00s [551/690] Installing python3-babel-0:2. 100% | 234.6 MiB/s | 28.6 MiB | 00m00s [552/690] Installing python3-imagesize- 100% | 36.9 MiB/s | 37.8 KiB | 00m00s [553/690] Installing python3-packaging- 100% | 106.4 MiB/s | 435.6 KiB | 00m00s [554/690] Installing python3-snowballst 100% | 212.9 MiB/s | 1.7 MiB | 00m00s [555/690] Installing python3-sphinx-the 100% | 22.6 MiB/s | 46.3 KiB | 00m00s [556/690] Installing python3-charset-no 100% | 105.0 MiB/s | 322.7 KiB | 00m00s [557/690] Installing python3-requests-0 100% | 116.7 MiB/s | 478.0 KiB | 00m00s [558/690] Installing python3-latexcodec 100% | 64.6 MiB/s | 132.3 KiB | 00m00s [559/690] Installing python3-pyyaml-0:6 100% | 121.7 MiB/s | 872.1 KiB | 00m00s [560/690] Installing python3-setuptools 100% | 162.6 MiB/s | 7.3 MiB | 00m00s [561/690] Installing python3-six-0:1.16 100% | 58.6 MiB/s | 120.1 KiB | 00m00s [562/690] Installing python3-pybtex-0:0 100% | 76.2 MiB/s | 936.1 KiB | 00m00s [563/690] Installing python3-pybtex-doc 100% | 72.1 MiB/s | 221.6 KiB | 00m00s [564/690] Installing python3-markupsafe 100% | 117.9 MiB/s | 241.4 KiB | 00m00s [565/690] Installing python3-jinja2-0:3 100% | 193.3 MiB/s | 2.9 MiB | 00m00s [566/690] Installing python3-lxml-0:5.2 100% | 244.1 MiB/s | 5.4 MiB | 00m00s [567/690] Installing python3-soupsieve- 100% | 96.0 MiB/s | 295.0 KiB | 00m00s [568/690] Installing python3-beautifuls 100% | 181.2 MiB/s | 1.4 MiB | 00m00s [569/690] Installing crypto-policies-sc 100% | 83.1 MiB/s | 340.4 KiB | 00m00s [570/690] Installing nss-sysinit-0:3.10 100% | 194.7 MiB/s | 199.4 KiB | 00m00s [571/690] Installing nss-0:3.100.0-1.fc 100% | 127.7 MiB/s | 2.2 MiB | 00m00s >>> Running post-install scriptlet: nss-0:3.100.0-1.fc41.aarch64 >>> Stop post-install scriptlet: nss-0:3.100.0-1.fc41.aarch64 [572/690] Installing libX11-common-0:1. 100% | 79.0 MiB/s | 1.2 MiB | 00m00s [573/690] Installing libX11-0:1.8.9-1.f 100% | 268.6 MiB/s | 1.3 MiB | 00m00s [574/690] Installing libXext-0:1.3.6-1. 100% | 206.2 MiB/s | 211.1 KiB | 00m00s [575/690] Installing libXrender-0:0.9.1 100% | 194.6 MiB/s | 199.3 KiB | 00m00s [576/690] Installing cairo-0:1.18.0-3.f 100% | 246.2 MiB/s | 2.0 MiB | 00m00s [577/690] Installing harfbuzz-0:8.4.0-1 100% | 267.0 MiB/s | 2.9 MiB | 00m00s [578/690] Installing freetype-0:2.13.2- 100% | 184.5 MiB/s | 944.6 KiB | 00m00s [579/690] Installing fontconfig-0:2.15. 100% | 2.0 MiB/s | 2.4 MiB | 00m01s >>> Running post-install scriptlet: fontconfig-0:2.15.0-6.fc41.aarch64 >>> Stop post-install scriptlet: fontconfig-0:2.15.0-6.fc41.aarch64 [580/690] Installing libXt-0:1.3.0-3.fc 100% | 148.1 MiB/s | 606.8 KiB | 00m00s [581/690] Installing libgs-0:10.03.1-1. 100% | 381.5 MiB/s | 23.7 MiB | 00m00s [582/690] Installing ghostscript-tools- 100% | 3.3 MiB/s | 3.4 KiB | 00m00s [583/690] Installing ghostscript-tools- 100% | 4.9 MiB/s | 5.1 KiB | 00m00s [584/690] Installing ghostscript-0:10.0 100% | 107.1 MiB/s | 219.3 KiB | 00m00s [585/690] Installing libXpm-0:3.5.17-3. 100% | 259.6 MiB/s | 265.8 KiB | 00m00s [586/690] Installing gd-0:2.3.3-16.fc41 100% | 252.3 MiB/s | 516.7 KiB | 00m00s [587/690] Installing libXmu-0:1.2.1-1.f 100% | 223.3 MiB/s | 457.3 KiB | 00m00s [588/690] Installing texlive-pdftex-11: 100% | 266.1 MiB/s | 4.8 MiB | 00m00s [589/690] Installing texlive-bera-11:sv 100% | 128.8 MiB/s | 791.2 KiB | 00m00s [590/690] Installing texlive-currfile-1 100% | 34.1 MiB/s | 35.0 KiB | 00m00s [591/690] Installing texlive-filehook-1 100% | 27.9 MiB/s | 57.2 KiB | 00m00s [592/690] Installing texlive-pgf-11:svn 100% | 125.1 MiB/s | 4.9 MiB | 00m00s [593/690] Installing texlive-ms-11:svn5 100% | 18.8 MiB/s | 19.3 KiB | 00m00s [594/690] Installing texlive-koma-scrip 100% | 431.8 MiB/s | 9.9 MiB | 00m00s [595/690] Installing texlive-showexpl-1 100% | 22.0 MiB/s | 22.6 KiB | 00m00s [596/690] Installing texlive-listings-1 100% | 189.7 MiB/s | 582.9 KiB | 00m00s [597/690] Installing texlive-algorithms 100% | 19.1 MiB/s | 39.1 KiB | 00m00s [598/690] Installing texlive-cm-super-1 100% | 443.1 MiB/s | 65.1 MiB | 00m00s [599/690] Installing texlive-xunicode-1 100% | 107.6 MiB/s | 220.3 KiB | 00m00s [600/690] Installing texlive-tipa-11:sv 100% | 270.9 MiB/s | 3.8 MiB | 00m00s [601/690] Installing texlive-bidi-11:sv 100% | 149.0 MiB/s | 1.2 MiB | 00m00s [602/690] Installing texlive-xifthen-11 100% | 14.8 MiB/s | 15.2 KiB | 00m00s [603/690] Installing texlive-latex-11:s 100% | 510.0 MiB/s | 40.3 MiB | 00m00s [604/690] Installing texlive-xpatch-11: 100% | 26.9 MiB/s | 27.5 KiB | 00m00s [605/690] Installing texlive-l3packages 100% | 129.6 MiB/s | 265.5 KiB | 00m00s [606/690] Installing texlive-l3kernel-1 100% | 340.6 MiB/s | 1.4 MiB | 00m00s [607/690] Installing texlive-fontspec-1 100% | 161.4 MiB/s | 330.6 KiB | 00m00s [608/690] Installing texlive-polyglossi 100% | 74.7 MiB/s | 764.6 KiB | 00m00s [609/690] Installing texlive-biblatex-1 100% | 242.4 MiB/s | 2.2 MiB | 00m00s [610/690] Installing texlive-fancyvrb-1 100% | 65.9 MiB/s | 67.5 KiB | 00m00s [611/690] Installing texlive-pst-3d-11: 100% | 20.4 MiB/s | 20.9 KiB | 00m00s [612/690] Installing texlive-pst-coil-1 100% | 21.3 MiB/s | 21.8 KiB | 00m00s [613/690] Installing texlive-pst-eps-11 100% | 16.2 MiB/s | 16.6 KiB | 00m00s [614/690] Installing texlive-pst-fill-1 100% | 23.4 MiB/s | 23.9 KiB | 00m00s [615/690] Installing texlive-pst-grad-1 100% | 21.9 MiB/s | 22.5 KiB | 00m00s [616/690] Installing texlive-pst-node-1 100% | 113.0 MiB/s | 115.8 KiB | 00m00s [617/690] Installing texlive-pst-ovl-11 100% | 13.4 MiB/s | 13.8 KiB | 00m00s [618/690] Installing texlive-pst-plot-1 100% | 127.9 MiB/s | 131.0 KiB | 00m00s [619/690] Installing texlive-pst-text-1 100% | 20.8 MiB/s | 21.3 KiB | 00m00s [620/690] Installing texlive-pst-tools- 100% | 27.5 MiB/s | 28.2 KiB | 00m00s [621/690] Installing texlive-pst-tree-1 100% | 42.6 MiB/s | 43.6 KiB | 00m00s [622/690] Installing texlive-pstricks-a 100% | 102.4 MiB/s | 104.9 KiB | 00m00s [623/690] Installing texlive-pstricks-1 100% | 116.0 MiB/s | 475.0 KiB | 00m00s [624/690] Installing texlive-amscls-11: 100% | 360.9 MiB/s | 1.4 MiB | 00m00s [625/690] Installing texlive-adjustbox- 100% | 103.4 MiB/s | 105.9 KiB | 00m00s [626/690] Installing cairo-gobject-0:1. 100% | 191.4 MiB/s | 196.0 KiB | 00m00s [627/690] Installing libXi-0:1.8.1-5.fc 100% | 196.9 MiB/s | 201.6 KiB | 00m00s [628/690] Installing texlive-gincltex-1 100% | 22.4 MiB/s | 22.9 KiB | 00m00s [629/690] Installing texlive-dvipdfmx-1 100% | 376.8 MiB/s | 3.8 MiB | 00m00s [630/690] Installing texlive-xetex-11:s 100% | 238.4 MiB/s | 2.4 MiB | 00m00s [631/690] Installing texlive-lualatex-m 100% | 28.7 MiB/s | 29.4 KiB | 00m00s [632/690] Installing texlive-unicode-ma 100% | 253.2 MiB/s | 518.6 KiB | 00m00s [633/690] Installing texlive-oberdiek-1 100% | 512.0 MiB/s | 12.3 MiB | 00m00s [634/690] Installing texlive-ucs-11:svn 100% | 190.7 MiB/s | 2.5 MiB | 00m00s [635/690] Installing texlive-upquote-11 100% | 15.5 MiB/s | 15.9 KiB | 00m00s [636/690] Installing texlive-ae-11:svn1 100% | 76.8 MiB/s | 471.9 KiB | 00m00s [637/690] Installing texlive-minitoc-11 100% | 69.9 MiB/s | 572.5 KiB | 00m00s [638/690] Installing texlive-ltxmisc-11 100% | 45.3 MiB/s | 46.4 KiB | 00m00s [639/690] Installing libXaw-0:1.0.16-1. 100% | 221.2 MiB/s | 679.5 KiB | 00m00s [640/690] Installing texlive-xdvi-11:sv 100% | 217.9 MiB/s | 892.4 KiB | 00m00s [641/690] Installing texlive-dvipng-11: 100% | 192.7 MiB/s | 592.1 KiB | 00m00s [642/690] Installing poppler-0:24.02.0- 100% | 301.4 MiB/s | 3.9 MiB | 00m00s [643/690] Installing poppler-glib-0:24. 100% | 217.1 MiB/s | 666.8 KiB | 00m00s [644/690] Installing libXft-0:2.3.8-6.f 100% | 125.9 MiB/s | 257.9 KiB | 00m00s [645/690] Installing pango-0:1.52.2-1.f 100% | 281.9 MiB/s | 2.0 MiB | 00m00s [646/690] Installing librsvg2-0:2.57.1- 100% | 291.5 MiB/s | 4.4 MiB | 00m00s [647/690] Installing rsvg-pixbuf-loader 100% | 191.9 MiB/s | 196.5 KiB | 00m00s [648/690] Installing lasi-0:1.1.3-13.fc 100% | 126.9 MiB/s | 259.9 KiB | 00m00s [649/690] Installing graphviz-0:11.0.0- 100% | 311.8 MiB/s | 25.6 MiB | 00m00s [650/690] Installing python3-sphinx-1:7 100% | 154.5 MiB/s | 11.0 MiB | 00m00s [651/690] Installing python3-sphinx-bas 100% | 10.9 MiB/s | 44.7 KiB | 00m00s [652/690] Installing mupdf-libs-0:1.24. 100% | 221.5 MiB/s | 47.0 MiB | 00m00s [653/690] Installing texlive-luahbtex-1 100% | 340.2 MiB/s | 6.8 MiB | 00m00s [654/690] Installing libXxf86vm-0:1.1.5 100% | 193.9 MiB/s | 198.5 KiB | 00m00s [655/690] Installing texlive-metafont-1 100% | 231.1 MiB/s | 946.6 KiB | 00m00s [656/690] Installing texlive-collection 100% | 0.0 B/s | 124.0 B | 00m00s [657/690] Installing texlive-collection 100% | 0.0 B/s | 124.0 B | 00m00s [658/690] Installing texlive-collection 100% | 0.0 B/s | 124.0 B | 00m00s [659/690] Installing libXfixes-0:6.0.1- 100% | 195.0 MiB/s | 199.7 KiB | 00m00s [660/690] Installing libglvnd-glx-1:1.7 100% | 444.9 MiB/s | 1.3 MiB | 00m00s [661/690] Installing mesa-libGL-0:24.1. 100% | 236.5 MiB/s | 726.6 KiB | 00m00s [662/690] Installing freeglut-0:3.4.0-7 100% | 191.5 MiB/s | 588.2 KiB | 00m00s [663/690] Installing mupdf-0:1.24.2-2.f 100% | 294.9 MiB/s | 4.7 MiB | 00m00s [664/690] Installing texlive-dvisvgm-11 100% | 278.6 MiB/s | 2.8 MiB | 00m00s [665/690] Installing zlib-ng-compat-dev 100% | 102.2 MiB/s | 104.6 KiB | 00m00s [666/690] Installing yosyshq-abc-libs-0 100% | 247.7 MiB/s | 13.6 MiB | 00m00s [667/690] Installing tcl-1:8.6.14-1.fc4 100% | 218.7 MiB/s | 4.6 MiB | 00m00s [668/690] Installing libstdc++-devel-0: 100% | 258.1 MiB/s | 15.2 MiB | 00m00s [669/690] Installing gcc-c++-0:14.1.1-5 100% | 269.5 MiB/s | 35.0 MiB | 00m00s [670/690] Installing tcl-devel-1:8.6.14 100% | 195.2 MiB/s | 799.4 KiB | 00m00s [671/690] Installing yosyshq-abc-0:0.41 100% | 203.6 MiB/s | 208.5 KiB | 00m00s [672/690] Installing python3-sphinx-lat 100% | 0.0 B/s | 124.0 B | 00m00s [673/690] Installing python3-furo-0:202 100% | 32.7 MiB/s | 368.3 KiB | 00m00s [674/690] Installing python3-sphinxcont 100% | 65.6 MiB/s | 335.8 KiB | 00m00s [675/690] Installing pdf2svg-0:0.2.3-20 100% | 209.6 MiB/s | 214.6 KiB | 00m00s [676/690] Installing texlive-standalone 100% | 81.4 MiB/s | 83.3 KiB | 00m00s [677/690] Installing latexmk-0:4.85-1.f 100% | 195.3 MiB/s | 1.0 MiB | 00m00s [678/690] Installing texlive-pgfplots-1 100% | 228.1 MiB/s | 3.4 MiB | 00m00s [679/690] Installing python3-click-0:8. 100% | 213.5 MiB/s | 1.1 MiB | 00m00s [680/690] Installing add-determinism-0: 100% | 253.5 MiB/s | 2.3 MiB | 00m00s [681/690] Installing readline-devel-0:8 100% | 182.5 MiB/s | 560.5 KiB | 00m00s [682/690] Installing texlive-comment-11 100% | 22.5 MiB/s | 23.0 KiB | 00m00s [683/690] Installing gcc-plugin-annobin 100% | 10.8 MiB/s | 198.5 KiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:293-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:293-1.fc41.noarch [684/690] Installing annobin-plugin-gcc 100% | 49.5 MiB/s | 1.1 MiB | 00m00s >>> Running trigger-install scriptlet: redhat-rpm-config-0:293-1.fc41.noarch >>> Stop trigger-install scriptlet: redhat-rpm-config-0:293-1.fc41.noarch [685/690] Installing bison-0:3.8.2-7.fc 100% | 212.2 MiB/s | 3.6 MiB | 00m00s [686/690] Installing flex-0:2.6.4-16.fc 100% | 154.8 MiB/s | 951.3 KiB | 00m00s [687/690] Installing txt2man-0:1.7.1-6. 100% | 47.4 MiB/s | 48.6 KiB | 00m00s [688/690] Installing libffi-devel-0:3.4 100% | 30.5 MiB/s | 31.2 KiB | 00m00s [689/690] Installing libfaketime-0:0.9. 100% | 223.4 MiB/s | 915.1 KiB | 00m00s [690/690] Installing iverilog-0:12.0-7. 100% | 178.0 KiB/s | 9.5 MiB | 00m54s >>> Running post-transaction scriptlet: texlive-base-11:20230311-84.fc41.aarch64 >>> Stop post-transaction scriptlet: texlive-base-11:20230311-84.fc41.aarch64 >>> Running post-transaction scriptlet: urw-base35-z003-fonts-0:20200910-22.fc41 >>> Stop post-transaction scriptlet: urw-base35-z003-fonts-0:20200910-22.fc41.no >>> Running post-transaction scriptlet: urw-base35-standard-symbols-ps-fonts-0:2 >>> Stop post-transaction scriptlet: urw-base35-standard-symbols-ps-fonts-0:2020 >>> Running post-transaction scriptlet: urw-base35-p052-fonts-0:20200910-22.fc41 >>> Stop post-transaction scriptlet: urw-base35-p052-fonts-0:20200910-22.fc41.no >>> Running post-transaction scriptlet: urw-base35-nimbus-sans-fonts-0:20200910- >>> Stop post-transaction scriptlet: urw-base35-nimbus-sans-fonts-0:20200910-22. >>> Running post-transaction scriptlet: urw-base35-nimbus-roman-fonts-0:20200910 >>> Stop post-transaction scriptlet: urw-base35-nimbus-roman-fonts-0:20200910-22 >>> Running post-transaction scriptlet: urw-base35-nimbus-mono-ps-fonts-0:202009 >>> Stop post-transaction scriptlet: urw-base35-nimbus-mono-ps-fonts-0:20200910- >>> Running post-transaction scriptlet: urw-base35-gothic-fonts-0:20200910-22.fc >>> Stop post-transaction scriptlet: urw-base35-gothic-fonts-0:20200910-22.fc41. >>> Running post-transaction scriptlet: urw-base35-d050000l-fonts-0:20200910-22. >>> Stop post-transaction scriptlet: urw-base35-d050000l-fonts-0:20200910-22.fc4 >>> Running post-transaction scriptlet: urw-base35-c059-fonts-0:20200910-22.fc41 >>> Stop post-transaction scriptlet: urw-base35-c059-fonts-0:20200910-22.fc41.no >>> Running post-transaction scriptlet: urw-base35-bookman-fonts-0:20200910-22.f >>> Stop post-transaction scriptlet: urw-base35-bookman-fonts-0:20200910-22.fc41 >>> Running post-transaction scriptlet: crypto-policies-scripts-0:20240521-1.git >>> Stop post-transaction scriptlet: crypto-policies-scripts-0:20240521-1.gitf71 >>> Running post-transaction scriptlet: nss-0:3.100.0-1.fc41.aarch64 >>> Stop post-transaction scriptlet: nss-0:3.100.0-1.fc41.aarch64 >>> Running post-transaction scriptlet: fontconfig-0:2.15.0-6.fc41.aarch64 >>> Stop post-transaction scriptlet: fontconfig-0:2.15.0-6.fc41.aarch64 >>> Running trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.aarch64 >>> Stop trigger-install scriptlet: glibc-common-0:2.39.9000-18.fc41.aarch64 >>> Running trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Stop trigger-install scriptlet: info-0:7.1-2.fc40.aarch64 >>> Running trigger-install scriptlet: glib2-0:2.80.2-1.fc41.aarch64 >>> Stop trigger-install scriptlet: glib2-0:2.80.2-1.fc41.aarch64 >>> Running trigger-install scriptlet: shared-mime-info-0:2.3-5.fc41.aarch64 >>> Stop trigger-install scriptlet: shared-mime-info-0:2.3-5.fc41.aarch64 >>> Running trigger-install scriptlet: gdk-pixbuf2-0:2.42.12-1.fc41.aarch64 >>> Stop trigger-install scriptlet: gdk-pixbuf2-0:2.42.12-1.fc41.aarch64 >>> Running trigger-install scriptlet: desktop-file-utils-0:0.26-12.fc40.aarch64 >>> Stop trigger-install scriptlet: desktop-file-utils-0:0.26-12.fc40.aarch64 >>> Running trigger-install scriptlet: texlive-kpathsea-11:svn66209-84.fc41.aarc >>> Stop trigger-install scriptlet: texlive-kpathsea-11:svn66209-84.fc41.aarch64 >>> Running trigger-install scriptlet: texlive-kpathsea-11:svn66209-84.fc41.aarc >>> Stop trigger-install scriptlet: texlive-kpathsea-11:svn66209-84.fc41.aarch64 >>> Running trigger-install scriptlet: texlive-kpathsea-11:svn66209-84.fc41.aarc >>> Stop trigger-install scriptlet: texlive-kpathsea-11:svn66209-84.fc41.aarch64 >>> Running trigger-install scriptlet: fontconfig-0:2.15.0-6.fc41.aarch64 >>> Stop trigger-install scriptlet: fontconfig-0:2.15.0-6.fc41.aarch64 >>> Running trigger-install scriptlet: graphviz-0:11.0.0-4.fc41.aarch64 >>> Stop trigger-install scriptlet: graphviz-0:11.0.0-4.fc41.aarch64 Warning: skipped PGP checks for 688 packages from repositories: copr_base, http_kojipkgs_fedoraproject_org_repos_rawhide_latest_basearch Finish: build setup for yosys-0.42-1.20240610git960d8e3.fc41.src.rpm Start: rpmbuild yosys-0.42-1.20240610git960d8e3.fc41.src.rpm Building target platforms: aarch64 Building for target aarch64 setting SOURCE_DATE_EPOCH=1717977600 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.7WLURV + umask 022 + cd /builddir/build/BUILD/yosys-0.42-build + test -d /builddir/build/BUILD/yosys-0.42-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/yosys-0.42-build + /usr/bin/rm -rf /builddir/build/BUILD/yosys-0.42-build + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-0.42-build + /usr/bin/mkdir -p /builddir/build/BUILD/yosys-0.42-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.8tGDU5 + umask 022 + cd /builddir/build/BUILD/yosys-0.42-build + cd /builddir/build/BUILD/yosys-0.42-build + rm -rf yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys-960d8e3.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + echo 'Patch #1 (yosys-cfginc.patch):' Patch #1 (yosys-cfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile patching file tests/various/plugin.sh Patch #2 (yosys-mancfginc.patch): + echo 'Patch #2 (yosys-mancfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .mancfginc --fuzz=0 patching file Makefile patching file docs/source/code_examples/extensions/Makefile patching file docs/source/code_examples/extensions/my_cmd.cc patching file docs/source/code_examples/stubnets/Makefile patching file docs/source/code_examples/stubnets/stubnets.cc + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD/yosys-0.42-build + cd yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/yosys_0.33-5.debian.tar.xz + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/xprop/test.py + touch -r ./tests/xprop/test.py ./tests/xprop/test.py.new + mv ./tests/xprop/test.py.new ./tests/xprop/test.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/xprop/generate.py + touch -r ./tests/xprop/generate.py ./tests/xprop/generate.py.new + mv ./tests/xprop/generate.py.new ./tests/xprop/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/rpc/frontend.py + touch -r ./tests/rpc/frontend.py ./tests/rpc/frontend.py.new + mv ./tests/rpc/frontend.py.new ./tests/rpc/frontend.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/opt_share/generate.py + touch -r ./tests/opt_share/generate.py ./tests/opt_share/generate.py.new + mv ./tests/opt_share/generate.py.new ./tests/opt_share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/memlib/generate.py + touch -r ./tests/memlib/generate.py ./tests/memlib/generate.py.new + mv ./tests/memlib/generate.py.new ./tests/memlib/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py + touch -r ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py.new + mv ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py.new ./tests/arch/quicklogic/qlf_k6n10f/mem_gen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/arch/gatemate/gen_luttrees.py + touch -r ./tests/arch/gatemate/gen_luttrees.py ./tests/arch/gatemate/gen_luttrees.py.new + mv ./tests/arch/gatemate/gen_luttrees.py.new ./tests/arch/gatemate/gen_luttrees.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/cells_xtra.py + touch -r ./techlibs/xilinx/cells_xtra.py ./techlibs/xilinx/cells_xtra.py.new + mv ./techlibs/xilinx/cells_xtra.py.new ./techlibs/xilinx/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py + touch -r ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py.new + mv ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py.new ./techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/nexus/cells_xtra.py + touch -r ./techlibs/nexus/cells_xtra.py ./techlibs/nexus/cells_xtra.py.new + mv ./techlibs/nexus/cells_xtra.py.new ./techlibs/nexus/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/lattice/cells_xtra.py + touch -r ./techlibs/lattice/cells_xtra.py ./techlibs/lattice/cells_xtra.py.new + mv ./techlibs/lattice/cells_xtra.py.new ./techlibs/lattice/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gowin/cells_xtra.py + touch -r ./techlibs/gowin/cells_xtra.py ./techlibs/gowin/cells_xtra.py.new + mv ./techlibs/gowin/cells_xtra.py.new ./techlibs/gowin/cells_xtra.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/gatemate/make_lut_tree_lib.py + touch -r ./techlibs/gatemate/make_lut_tree_lib.py ./techlibs/gatemate/make_lut_tree_lib.py.new + mv ./techlibs/gatemate/make_lut_tree_lib.py.new ./techlibs/gatemate/make_lut_tree_lib.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/tests/test_diamond_ffs.py + touch -r ./techlibs/ecp5/tests/test_diamond_ffs.py ./techlibs/ecp5/tests/test_diamond_ffs.py.new + mv ./techlibs/ecp5/tests/test_diamond_ffs.py.new ./techlibs/ecp5/tests/test_diamond_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/gen_fine_ffs.py + touch -r ./techlibs/common/gen_fine_ffs.py ./techlibs/common/gen_fine_ffs.py.new + mv ./techlibs/common/gen_fine_ffs.py.new ./techlibs/common/gen_fine_ffs.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/cmdref.py + touch -r ./docs/util/cmdref.py ./docs/util/cmdref.py.new + mv ./docs/util/cmdref.py.new ./docs/util/cmdref.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/__init__.py + touch -r ./docs/util/__init__.py ./docs/util/__init__.py.new + mv ./docs/util/__init__.py.new ./docs/util/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/YoscryptLexer.py + touch -r ./docs/util/YoscryptLexer.py ./docs/util/YoscryptLexer.py.new + mv ./docs/util/YoscryptLexer.py.new ./docs/util/YoscryptLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/util/RtlilLexer.py + touch -r ./docs/util/RtlilLexer.py ./docs/util/RtlilLexer.py.new + mv ./docs/util/RtlilLexer.py.new ./docs/util/RtlilLexer.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/tests/macro_commands.py + touch -r ./docs/tests/macro_commands.py ./docs/tests/macro_commands.py.new + mv ./docs/tests/macro_commands.py.new ./docs/tests/macro_commands.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./docs/source/conf.py + touch -r ./docs/source/conf.py ./docs/source/conf.py.new + mv ./docs/source/conf.py.new ./docs/source/conf.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/ywio.py + touch -r ./backends/smt2/ywio.py ./backends/smt2/ywio.py.new + mv ./backends/smt2/ywio.py.new ./backends/smt2/ywio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/witness.py + touch -r ./backends/smt2/witness.py ./backends/smt2/witness.py.new + mv ./backends/smt2/witness.py.new ./backends/smt2/witness.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc_incremental.py + touch -r ./backends/smt2/smtbmc_incremental.py ./backends/smt2/smtbmc_incremental.py.new + mv ./backends/smt2/smtbmc_incremental.py.new ./backends/smt2/smtbmc_incremental.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + make config-gcc rm -rf share rm -rf kernel/*.pyh rm -f kernel/version_960d8e3fedd.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o frontends/rtlil/rtlil_parser.tab.cc frontends/rtlil/rtlil_parser.tab.hh frontends/rtlil/rtlil_parser.output frontends/rtlil/rtlil_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/quicklogic/ql_dsp_macc_pm.h techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v yosys-abc yosys yosys-config yosys-filterlib yosys-smtbmc yosys-witness share/include/kernel/binding.h share/include/kernel/cellaigs.h share/include/kernel/celledges.h share/include/kernel/celltypes.h share/include/kernel/consteval.h share/include/kernel/constids.inc share/include/kernel/cost.h share/include/kernel/ff.h share/include/kernel/ffinit.h share/include/kernel/ffmerge.h share/include/kernel/fmt.h share/include/kernel/fstdata.h share/include/kernel/hashlib.h share/include/kernel/json.h share/include/kernel/log.h share/include/kernel/macc.h share/include/kernel/modtools.h share/include/kernel/mem.h share/include/kernel/qcsat.h share/include/kernel/register.h share/include/kernel/rtlil.h share/include/kernel/satgen.h share/include/kernel/scopeinfo.h share/include/kernel/sigtools.h share/include/kernel/timinginfo.h share/include/kernel/utils.h share/include/kernel/yosys.h share/include/kernel/yosys_common.h share/include/kernel/yw.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/fst/fstapi.h share/include/libs/sha1/sha1.h share/include/libs/json11/json11.hpp share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/frontends/ast/ast_binding.h share/include/frontends/blif/blifparse.h share/include/backends/rtlil/rtlil_backend.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/python3/smtio.py share/python3/ywio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/lutrams.txt share/anlogic/lutrams_map.v share/anlogic/brams.txt share/anlogic/brams_map.v share/simlib.v share/simcells.v share/techmap.v share/smtmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/mul2dsp.v share/abc9_model.v share/abc9_map.v share/abc9_unmap.v share/cmp2lcu.v share/cmp2softlogic.v share/choices/kogge-stone.v share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/cells_counter_map.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_ff.vh share/ecp5/cells_io.vh share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/lutrams_map.v share/ecp5/lutrams.txt share/ecp5/brams_map.v share/ecp5/brams.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/dsp_map.v share/efinix/cells_map.v share/efinix/arith_map.v share/efinix/cells_sim.v share/efinix/brams_map.v share/efinix/gbuf_map.v share/efinix/brams.txt share/fabulous/cells_map.v share/fabulous/prims.v share/fabulous/latches_map.v share/fabulous/ff_map.v share/fabulous/ram_regfile.txt share/fabulous/regfile_map.v share/fabulous/io_map.v share/fabulous/arith_map.v share/gatemate/reg_map.v share/gatemate/mux_map.v share/gatemate/lut_map.v share/gatemate/mul_map.v share/gatemate/arith_map.v share/gatemate/cells_sim.v share/gatemate/cells_bb.v share/gatemate/brams_map.v share/gatemate/brams.txt share/gatemate/brams_init_20.vh share/gatemate/brams_init_40.vh share/gatemate/inv_map.v share/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_map.v share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/cells_xtra.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/brams.txt share/gowin/lutrams_map.v share/gowin/lutrams.txt share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/ff_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/spram.txt share/ice40/spram_map.v share/ice40/dsp_map.v share/ice40/abc9_model.v share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams_m9k.txt share/intel/common/brams_map_m9k.v share/intel/common/ff_map.v share/intel/max10/cells_sim.v share/intel/cyclone10lp/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/cyclone10lp/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_model.v share/intel_alm/common/alm_map.v share/intel_alm/common/alm_sim.v share/intel_alm/common/arith_alm_map.v share/intel_alm/common/dff_map.v share/intel_alm/common/dff_sim.v share/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_map.v share/intel_alm/common/mem_sim.v share/intel_alm/common/misc_sim.v share/intel_alm/cyclonev/cells_sim.v share/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k_map.v share/intel_alm/common/lutram_mlab.txt share/intel_alm/common/megafunction_bb.v share/lattice/cells_ff.vh share/lattice/cells_io.vh share/lattice/cells_map.v share/lattice/common_sim.vh share/lattice/ccu2d_sim.vh share/lattice/ccu2c_sim.vh share/lattice/cells_sim_ecp5.v share/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3d.v share/lattice/cells_bb_ecp5.v share/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3d.v share/lattice/lutrams_map.v share/lattice/lutrams.txt share/lattice/brams_map_16kd.v share/lattice/brams_16kd.txt share/lattice/brams_map_8kc.v share/lattice/brams_8kc.txt share/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2d.v share/lattice/latches_map.v share/lattice/dsp_map_18x18.v share/nexus/cells_map.v share/nexus/cells_sim.v share/nexus/parse_init.vh share/nexus/cells_xtra.v share/nexus/lutrams_map.v share/nexus/lutrams.txt share/nexus/brams_map.v share/nexus/brams.txt share/nexus/lrams_map.v share/nexus/lrams.txt share/nexus/arith_map.v share/nexus/latches_map.v share/nexus/dsp_map.v share/quicklogic/common/cells_sim.v share/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/lut_map.v share/quicklogic/pp3/latches_map.v share/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_unmap.v share/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xc5v_map.v share/xilinx/brams_xcv.txt share/xilinx/brams_xcv_map.v share/xilinx/brams_defs.vh share/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v_map.v share/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v_map.v share/xilinx/brams_xc5v_map.v share/xilinx/brams_xc6v_map.v share/xilinx/brams_xcu_map.v share/xilinx/urams.txt share/xilinx/urams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/mux_map.v share/xilinx/xc3s_mult_map.v share/xilinx/xc3sda_dsp_map.v share/xilinx/xc6s_dsp_map.v share/xilinx/xc4v_dsp_map.v share/xilinx/xc5v_dsp_map.v share/xilinx/xc7_dsp_map.v share/xilinx/xcu_dsp_map.v share/xilinx/abc9_model.v passes/pmgen/test_pmgen_pm.h passes/pmgen/ice40_dsp_pm.h passes/pmgen/ice40_wrapcarry_pm.h passes/pmgen/xilinx_dsp_pm.h passes/pmgen/xilinx_dsp48a_pm.h passes/pmgen/xilinx_dsp_CREG_pm.h passes/pmgen/xilinx_dsp_cascade_pm.h passes/pmgen/peepopt_pm.h passes/pmgen/xilinx_srl_pm.h passes/techmap/filterlib.o techlibs/gatemate/lut_tree_lib.mk techlibs/quicklogic/ql_dsp_macc_pm.h .cc rm -f kernel/version_*.o kernel/version_*.cc rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp tests/various/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata make -C docs clean make[1]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs' make -C source/code_examples/extensions clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/extensions' rm -f *.d *.so *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/extensions' make -C source/code_examples/fifo clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/fifo' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/fifo' make -C source/code_examples/intro clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/intro' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/intro' make -C source/code_examples/macc clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/macc' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/macc' make -C source/code_examples/opt clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/opt' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/opt' make -C source/code_examples/scrambler clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/scrambler' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/scrambler' make -C source/code_examples/selections clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/selections' rm -rf *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/selections' make -C source/code_examples/show clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/show' rm -rf *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/show' make -C source/code_examples/stubnets clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/stubnets' rm -f test1.log test2.log test3.log rm -f stubnets.so stubnets.d make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/stubnets' make -C source/code_examples/synth_flow clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/synth_flow' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/synth_flow' make -C source/code_examples/techmap clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/techmap' rm -f *.dot make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/code_examples/techmap' rm -rf build/* rm -rf source/cmd util/__pycache__ rm -rf source/generated make -C source/_images clean make[2]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/_images' rm -f **/*.log **/*.aux rm -rf code_examples rm -f **/*.pdf **/*.svg make[2]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs/source/_images' make[1]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2/docs' make -C docs/images clean make[1]: Entering directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2' make[1]: Leaving directory '/builddir/build/BUILD/yosys-0.42-build/yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2' make[1]: *** docs/images: No such file or directory. Stop. rm -rf docs/source/cmd docs/util/__pycache__ make: [Makefile:991: clean] Error 2 (ignored) echo 'CONFIG := gcc' > Makefile.conf + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.XJ6qfm + umask 022 + cd /builddir/build/BUILD/yosys-0.42-build + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd yosys-960d8e3fedd8013267f68c60e5a4e5b9021f85d2 + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer ' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Cforce-frame-pointers=yes -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + make -j4 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common g++ -o kernel/driver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc mkdir -p techlibs/common mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.42+2 (git sha1 960d8e3fedd, g++ 14.1.1 -O2 -fexceptions -fstack-protector-strong -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os)\"; }" > kernel/version_960d8e3fedd.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ g++ -o kernel/rtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ mkdir -p kernel/ g++ -o kernel/log.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc g++ -o kernel/calc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mkdir -p kernel/ g++ -o kernel/yosys.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' -DYOSYS_PROGRAM_PREFIX='""' -DABCEXTERNAL='"/usr/bin/abc"' kernel/yosys.cc mkdir -p kernel/ g++ -o kernel/binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/binding.cc mkdir -p kernel/ g++ -o kernel/cellaigs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ g++ -o kernel/celledges.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p kernel/ g++ -o kernel/satgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/satgen.cc mkdir -p kernel/ g++ -o kernel/scopeinfo.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/scopeinfo.cc mkdir -p kernel/ g++ -o kernel/qcsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/qcsat.cc mkdir -p kernel/ g++ -o kernel/mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/mem.cc mkdir -p kernel/ g++ -o kernel/ffmerge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ffmerge.cc kernel/satgen.cc: In member function ‘bool Yosys::SatGen::importCell(Yosys::RTLIL::Cell*, int)’: kernel/satgen.cc:1240:67: warning: ‘undef_srst’ may be used uninitialized [-Wmaybe-uninitialized] 1240 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1231:37: note: ‘undef_srst’ was declared here 1231 | int undef_srst; | ^~~~~~~~~~ kernel/satgen.cc:1254:67: warning: ‘undef_ce’ may be used uninitialized [-Wmaybe-uninitialized] 1254 | std::tie(d, undef_d) = mux(ce, undef_ce, d, undef_d, old_q, undef_old_q); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1245:37: note: ‘undef_ce’ was declared here 1245 | int undef_ce; | ^~~~~~~~ kernel/satgen.cc:1268:67: warning: ‘undef_srst’ may be used uninitialized [-Wmaybe-uninitialized] 1268 | std::tie(d, undef_d) = mux(srst, undef_srst, rval, undef_rval, d, undef_d); | ~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ kernel/satgen.cc:1259:37: note: ‘undef_srst’ was declared here 1259 | int undef_srst; | ^~~~~~~~~~ mkdir -p kernel/ g++ -o kernel/ff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/ff.cc mkdir -p kernel/ g++ -o kernel/yw.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/yw.cc mkdir -p kernel/ g++ -o kernel/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/json.cc mkdir -p kernel/ g++ -o kernel/fmt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fmt.cc mkdir -p kernel/ g++ -o kernel/fstdata.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/fstdata.cc kernel/fmt.cc: In member function ‘std::string Yosys::Fmt::render() const’: kernel/fmt.cc:837:91: warning: comparison of integer expressions of different signedness: ‘std::__cxx11::basic_string::size_type’ {aka ‘long unsigned int’} and ‘int’ [-Wsign-compare] 837 | if (buf.size() % (group_size + 1) == group_size) | ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~^~~~~~~~~~~~~ mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigInteger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigIntegerUtils.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsigned.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ g++ -o libs/bigint/BigUnsignedInABase.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ g++ -o libs/sha1/sha1.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/json11/ g++ -o libs/json11/json11.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/json11/json11.cpp mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ g++ -o libs/ezsat/ezminisat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ g++ -o libs/minisat/Options.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ g++ -o libs/minisat/SimpSolver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]’ 119 | capacity(size); | ~~~~~~~~^~~~~~ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]’ 48 | void reserve(K key) { map.growTo(index(key)+1); } | ~~~~~~~~~~^~~~~~~~~~~~~~ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]’ 338 | void init (const K& idx){ occs.reserve(idx); occs[idx].clear(); dirty.reserve(idx, 0); } | ~~~~~~~~~~~~^~~~~ libs/minisat/SimpSolver.cc:92:26: required from here 92 | occurs .init (v); | ~~~~~~~~~~~~~~~~~^~~ libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ g++ -o libs/minisat/Solver.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ g++ -o libs/minisat/System.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of ‘void Minisat::vec::capacity(Size) [with T = Minisat::vec; _Size = int; Size = int]’: libs/minisat/Vec.h:119:13: required from ‘void Minisat::vec::growTo(Size) [with T = Minisat::vec; _Size = int; Size = int]’ 119 | capacity(size); | ~~~~~~~~^~~~~~ libs/minisat/IntMap.h:48:58: required from ‘void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]’ 48 | void reserve(K key) { map.growTo(index(key)+1); } | ~~~~~~~~~~^~~~~~~~~~~~~~ libs/minisat/SolverTypes.h:338:49: required from ‘void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]’ 338 | void init (const K& idx){ occs.reserve(idx); occs[idx].clear(); dirty.reserve(idx, 0); } | ~~~~~~~~~~~~^~~~~ libs/minisat/Solver.cc:134:19: required from here 134 | watches .init(mkLit(v, false)); | ~~~~~~~~~~~~~~^~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:103:33: warning: ‘void* realloc(void*, size_t)’ moving an object of non-trivially copyable type ‘class Minisat::vec’; use ‘new’ and ‘delete’ instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ libs/minisat/Vec.h:39:7: note: ‘class Minisat::vec’ declared here 39 | class vec { | ^~~ mkdir -p libs/fst/ g++ -o libs/fst/fstapi.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fstapi.cc mkdir -p libs/fst/ g++ -o libs/fst/fastlz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/fastlz.cc mkdir -p libs/fst/ g++ -o libs/fst/lz4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/fst/lz4.cc mkdir -p libs/subcircuit/ g++ -o libs/subcircuit/subcircuit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p frontends/aiger/ g++ -o frontends/aiger/aigerparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ g++ -o frontends/ast/simplify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ g++ -o frontends/ast/genrtlil.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ g++ -o frontends/ast/dpicall.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/ast/ g++ -o frontends/ast/ast_binding.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast_binding.cc mkdir -p frontends/blif/ g++ -o frontends/blif/blifparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/json/ g++ -o frontends/json/jsonparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ g++ -o frontends/liberty/liberty.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/rpc/ g++ -o frontends/rpc/rpc_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rpc/rpc_frontend.cc mkdir -p frontends/rtlil/ bison -o frontends/rtlil/rtlil_parser.tab.cc -d -r all -b frontends/rtlil/rtlil_parser frontends/rtlil/rtlil_parser.y mkdir -p frontends/rtlil/ flex -o frontends/rtlil/rtlil_lexer.cc frontends/rtlil/rtlil_lexer.l mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_frontend.cc mkdir -p frontends/verific/ g++ -o frontends/verific/verific.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -Wall -Werror -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ g++ -o frontends/verilog/preproc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_frontend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/const2ast.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ g++ -o passes/cmds/exec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/exec.cc mkdir -p passes/cmds/ g++ -o passes/cmds/add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ g++ -o passes/cmds/delete.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ g++ -o passes/cmds/design.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ g++ -o passes/cmds/select.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ g++ -o passes/cmds/show.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ g++ -o passes/cmds/viz.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/viz.cc mkdir -p passes/cmds/ g++ -o passes/cmds/rename.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ g++ -o passes/cmds/autoname.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/autoname.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scatter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setundef.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitnets.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splitcells.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitcells.cc mkdir -p passes/cmds/ g++ -o passes/cmds/stat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ g++ -o passes/cmds/setattr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ g++ -o passes/cmds/copy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ g++ -o passes/cmds/splice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ g++ -o passes/cmds/glift.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/glift.cc mkdir -p passes/cmds/ g++ -o passes/cmds/torder.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logcmd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ g++ -o passes/cmds/tee.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ g++ -o passes/cmds/write_file.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ g++ -o passes/cmds/connwrappers.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ g++ -o passes/cmds/cover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ g++ -o passes/cmds/trace.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ g++ -o passes/cmds/plugin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ g++ -o passes/cmds/check.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ g++ -o passes/cmds/qwp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/edgetypes.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ g++ -o passes/cmds/portlist.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/portlist.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chformal.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ g++ -o passes/cmds/chtype.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ g++ -o passes/cmds/blackbox.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ g++ -o passes/cmds/ltp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ g++ -o passes/cmds/bugpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/cmds/ g++ -o passes/cmds/scratchpad.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scratchpad.cc mkdir -p passes/cmds/ g++ -o passes/cmds/logger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logger.cc mkdir -p passes/cmds/ g++ -o passes/cmds/printattrs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/printattrs.cc mkdir -p passes/cmds/ g++ -o passes/cmds/sta.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/sta.cc mkdir -p passes/cmds/ g++ -o passes/cmds/clean_zerowidth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/clean_zerowidth.cc mkdir -p passes/cmds/ g++ -o passes/cmds/xprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/xprop.cc mkdir -p passes/cmds/ g++ -o passes/cmds/dft_tag.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/dft_tag.cc mkdir -p passes/cmds/ g++ -o passes/cmds/future.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/future.cc mkdir -p passes/cmds/ g++ -o passes/cmds/box_derive.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/box_derive.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_make.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_simple.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_status.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_add.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_remove.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_induct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_struct.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_purge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_mark.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ g++ -o passes/equiv/equiv_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_detect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_expand.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_recode.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_info.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_export.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ g++ -o passes/fsm/fsm_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/hierarchy.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/uniquify.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ g++ -o passes/hierarchy/submod.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ g++ -o passes/memory/memory.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_collect.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_unpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bram.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_map.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_memx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_nordff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_narrow.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_narrow.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_libmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_libmap.cc mkdir -p passes/memory/ g++ -o passes/memory/memory_bmux2rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bmux2rom.cc mkdir -p passes/memory/ g++ -o passes/memory/memlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memlib.cc mkdir -p passes/opt/ g++ -o passes/opt/opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_feedback.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_feedback.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_priority.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_priority.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_mem_widen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_mem_widen.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_muxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_dff.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_share.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_expr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ g++ -o passes/opt/share.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ g++ -o passes/opt/wreduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_demorgan.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ g++ -o passes/opt/rmports.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_lut_ins.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut_ins.cc mkdir -p passes/opt/ g++ -o passes/opt/opt_ffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_ffinv.cc mkdir -p passes/opt/ g++ -o passes/opt/pmux2shiftx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ g++ -o passes/opt/muxpack.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/test_pmgen_pm.h -p test_pmgen passes/pmgen/test_pmgen.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul_right.pmg passes/pmgen/peepopt_shiftmul_left.pmg passes/pmgen/peepopt_shiftadd.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_srl_pm.h -p xilinx_srl passes/pmgen/xilinx_srl.pmg mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_wrapcarry_pm.h -p ice40_wrapcarry passes/pmgen/ice40_wrapcarry.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_pm.h -p xilinx_dsp passes/pmgen/xilinx_dsp.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp48a_pm.h -p xilinx_dsp48a passes/pmgen/xilinx_dsp48a.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_CREG_pm.h -p xilinx_dsp_CREG passes/pmgen/xilinx_dsp_CREG.pmg mkdir -p passes/pmgen/ && python3 passes/pmgen/pmgen.py -o passes/pmgen/xilinx_dsp_cascade_pm.h -p xilinx_dsp_cascade passes/pmgen/xilinx_dsp_cascade.pmg mkdir -p passes/pmgen/ g++ -o passes/pmgen/peepopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_srl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_srl.cc mkdir -p passes/proc/ g++ -o passes/proc/proc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_prune.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_prune.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_clean.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rmdead.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_init.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_arst.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_rom.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rom.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dlatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_dff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/proc/ g++ -o passes/proc/proc_memwr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_memwr.cc mkdir -p passes/sat/ g++ -o passes/sat/sat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ g++ -o passes/sat/freduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ g++ -o passes/sat/eval.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ g++ -o passes/sat/sim.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ g++ -o passes/sat/miter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ g++ -o passes/sat/expose.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ g++ -o passes/sat/assertpmux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ g++ -o passes/sat/clk2fflogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ g++ -o passes/sat/async2sync.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ g++ -o passes/sat/formalff.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/formalff.cc mkdir -p passes/sat/ g++ -o passes/sat/supercover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ g++ -o passes/sat/fmcombine.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ g++ -o passes/sat/mutate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ g++ -o passes/sat/cutpoint.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/sat/ g++ -o passes/sat/fminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fminit.cc mkdir -p passes/sat/ g++ -o passes/sat/recover_names.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/recover_names.cc mkdir -p passes/sat/ g++ -o passes/sat/qbfsat.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/qbfsat.cc mkdir -p passes/sat/ g++ -o passes/sat/synthprop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/synthprop.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flatten.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flatten.cc mkdir -p passes/techmap/ g++ -o passes/techmap/techmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/simplemap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflibmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/maccmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/booth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/booth.cc mkdir -p passes/techmap/ g++ -o passes/techmap/libparse.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_exe.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc9_exe.cc mkdir -p passes/techmap/ g++ -o passes/techmap/abc9_ops.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/abc9_ops.cc mkdir -p passes/techmap/ g++ -o passes/techmap/iopadmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/clkbufmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/clkbufmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/hilomap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_fa.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_counter.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extract_reduce.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ g++ -o passes/techmap/alumacc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/pmuxtree.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ g++ -o passes/techmap/bmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bmuxmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/demuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/demuxmap.cc mkdir -p passes/techmap/ mkdir -p passes/techmap/ g++ -o passes/techmap/bwmuxmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/bwmuxmap.cc g++ -o passes/techmap/muxcover.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ g++ -o passes/techmap/aigmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/tribuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/lut2mux.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ g++ -o passes/techmap/nlutmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/shregmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/deminout.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ g++ -o passes/techmap/insbuf.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmvcp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ g++ -o passes/techmap/attrmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/zinit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dfflegalize.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflegalize.cc mkdir -p passes/techmap/ g++ -o passes/techmap/dffunmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffunmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/flowmap.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/techmap/ g++ -o passes/techmap/extractinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extractinv.cc mkdir -p passes/techmap/ g++ -o passes/techmap/cellmatch.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/cellmatch.cc mkdir -p passes/tests/ g++ -o passes/tests/test_autotb.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ g++ -o passes/tests/test_cell.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ g++ -o passes/tests/test_abcloop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ g++ -o backends/aiger/aiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/aiger/ g++ -o backends/aiger/xaiger.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/xaiger.cc mkdir -p backends/blif/ g++ -o backends/blif/blif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ g++ -o backends/btor/btor.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/cxxrtl/ g++ -o backends/cxxrtl/cxxrtl_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/cxxrtl/cxxrtl_backend.cc mkdir -p backends/edif/ g++ -o backends/edif/edif.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ g++ -o backends/firrtl/firrtl.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/intersynth/ g++ -o backends/intersynth/intersynth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/jny/ g++ -o backends/jny/jny.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/jny/jny.cc mkdir -p backends/json/ g++ -o backends/json/json.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/rtlil/ g++ -o backends/rtlil/rtlil_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/rtlil/rtlil_backend.cc mkdir -p backends/simplec/ g++ -o backends/simplec/simplec.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ g++ -o backends/smt2/smt2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ g++ -o backends/smv/smv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ g++ -o backends/spice/spice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ g++ -o backends/table/table.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ g++ -o backends/verilog/verilog_backend.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ g++ -o techlibs/achronix/synth_achronix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/synth_anlogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_eqn.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ g++ -o techlibs/anlogic/anlogic_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_fixcarry.cc mkdir -p techlibs/common/ g++ -o techlibs/common/synth.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ g++ -o techlibs/common/prep.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/coolrunner2/ g++ -o techlibs/coolrunner2/coolrunner2_fixup.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_fixup.cc mkdir -p techlibs/easic/ g++ -o techlibs/easic/synth_easic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ g++ -o techlibs/ecp5/synth_ecp5.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/synth_efinix.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/synth_efinix.cc mkdir -p techlibs/efinix/ g++ -o techlibs/efinix/efinix_fixcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/efinix/efinix_fixcarry.cc mkdir -p techlibs/fabulous/ g++ -o techlibs/fabulous/synth_fabulous.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/fabulous/synth_fabulous.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/synth_gatemate.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/synth_gatemate.cc mkdir -p techlibs/gatemate/ g++ -o techlibs/gatemate/gatemate_foldinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gatemate/gatemate_foldinv.cc mkdir -p techlibs/gowin/ g++ -o techlibs/gowin/synth_gowin.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ g++ -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/synth_ice40.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_braminit.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ g++ -o techlibs/ice40/ice40_opt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/intel/ g++ -o techlibs/intel/synth_intel.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/intel_alm/ g++ -o techlibs/intel_alm/synth_intel_alm.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel_alm/synth_intel_alm.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/synth_lattice.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/synth_lattice.cc mkdir -p techlibs/lattice/ g++ -o techlibs/lattice/lattice_gsr.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/lattice/lattice_gsr.cc mkdir -p techlibs/nexus/ g++ -o techlibs/nexus/synth_nexus.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/nexus/synth_nexus.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/synth_quicklogic.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/synth_quicklogic.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_merge.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_merge.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_bram_types.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_bram_types.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_simd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_simd.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_io_regs.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_io_regs.cc mkdir -p techlibs/quicklogic/ && python3 passes/pmgen/pmgen.py -o techlibs/quicklogic/ql_dsp_macc_pm.h -p ql_dsp_macc techlibs/quicklogic/ql_dsp_macc.pmg mkdir -p techlibs/sf2/ g++ -o techlibs/sf2/synth_sf2.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/synth_xilinx.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc mkdir -p techlibs/xilinx/ g++ -o techlibs/xilinx/xilinx_dffopt.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/xilinx_dffopt.cc sed -e 's#@CXXFLAGS@#-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#g++#;' -e 's#@LINKFLAGS@#-rdynamic#;' -e 's#@LIBS@#-lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ g++ -o passes/techmap/filterlib.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/witness.py > yosys-witness.new chmod +x yosys-witness.new mv yosys-witness.new yosys-witness mkdir -p share/include/kernel/ cp "./"/kernel/binding.h share/include/kernel/binding.h mkdir -p share/include/kernel/ cp "./"/kernel/cellaigs.h share/include/kernel/cellaigs.h mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h mkdir -p share/include/kernel/ cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ cp "./"/kernel/constids.inc share/include/kernel/constids.inc mkdir -p share/include/kernel/ cp "./"/kernel/cost.h share/include/kernel/cost.h mkdir -p share/include/kernel/ cp "./"/kernel/ff.h share/include/kernel/ff.h mkdir -p share/include/kernel/ cp "./"/kernel/ffinit.h share/include/kernel/ffinit.h mkdir -p share/include/kernel/ cp "./"/kernel/ffmerge.h share/include/kernel/ffmerge.h mkdir -p share/include/kernel/ cp "./"/kernel/fmt.h share/include/kernel/fmt.h mkdir -p share/include/kernel/ cp "./"/kernel/fstdata.h share/include/kernel/fstdata.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ cp "./"/kernel/json.h share/include/kernel/json.h mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h mkdir -p share/include/kernel/ cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ cp "./"/kernel/mem.h share/include/kernel/mem.h mkdir -p share/include/kernel/ cp "./"/kernel/qcsat.h share/include/kernel/qcsat.h mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h mkdir -p share/include/kernel/ cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h mkdir -p share/include/kernel/ cp "./"/kernel/scopeinfo.h share/include/kernel/scopeinfo.h mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h mkdir -p share/include/kernel/ cp "./"/kernel/timinginfo.h share/include/kernel/timinginfo.h mkdir -p share/include/kernel/ cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/yosys_common.h share/include/kernel/yosys_common.h mkdir -p share/include/kernel/ cp "./"/kernel/yw.h share/include/kernel/yw.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h mkdir -p share/include/libs/fst/ cp "./"/libs/fst/fstapi.h share/include/libs/fst/fstapi.h mkdir -p share/include/libs/sha1/ cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/libs/json11/ cp "./"/libs/json11/json11.hpp share/include/libs/json11/json11.hpp mkdir -p share/include/passes/fsm/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/frontends/ast/ cp "./"/frontends/ast/ast_binding.h share/include/frontends/ast/ast_binding.h mkdir -p share/include/frontends/blif/ cp "./"/frontends/blif/blifparse.h share/include/frontends/blif/blifparse.h mkdir -p share/include/backends/rtlil/ cp "./"/backends/rtlil/rtlil_backend.h share/include/backends/rtlil/rtlil_backend.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_vcd.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_time.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/ cp "./"/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h share/include/backends/cxxrtl/runtime/cxxrtl/cxxrtl_replay.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi.h mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.cc mkdir -p share/include/backends/cxxrtl/runtime/cxxrtl/capi/ cp "./"/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h share/include/backends/cxxrtl/runtime/cxxrtl/capi/cxxrtl_capi_vcd.h mkdir -p share/python3 cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/python3 cp "./"/backends/smt2/ywio.py share/python3/ywio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams.txt share/anlogic/lutrams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/lutrams_map.v share/anlogic/lutrams_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams.txt share/anlogic/brams.txt mkdir -p share/anlogic cp "./"/techlibs/anlogic/brams_map.v share/anlogic/brams_map.v mkdir -p share cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/smtmap.v share/smtmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share cp "./"/techlibs/common/mul2dsp.v share/mul2dsp.v mkdir -p share cp "./"/techlibs/common/abc9_model.v share/abc9_model.v mkdir -p share cp "./"/techlibs/common/abc9_map.v share/abc9_map.v mkdir -p share cp "./"/techlibs/common/abc9_unmap.v share/abc9_unmap.v mkdir -p share cp "./"/techlibs/common/cmp2lcu.v share/cmp2lcu.v mkdir -p share cp "./"/techlibs/common/cmp2softlogic.v share/cmp2softlogic.v mkdir -p share/choices cp "./"/techlibs/common/choices/kogge-stone.v share/choices/kogge-stone.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_counter_map.v share/coolrunner2/cells_counter_map.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_ff.vh share/ecp5/cells_ff.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_io.vh share/ecp5/cells_io.vh mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams_map.v share/ecp5/lutrams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/lutrams.txt share/ecp5/lutrams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams.txt share/ecp5/brams.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/dsp_map.v share/ecp5/dsp_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_map.v share/efinix/cells_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/arith_map.v share/efinix/arith_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/cells_sim.v share/efinix/cells_sim.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams_map.v share/efinix/brams_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/gbuf_map.v share/efinix/gbuf_map.v mkdir -p share/efinix cp "./"/techlibs/efinix/brams.txt share/efinix/brams.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/cells_map.v share/fabulous/cells_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/prims.v share/fabulous/prims.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/latches_map.v share/fabulous/latches_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ff_map.v share/fabulous/ff_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/ram_regfile.txt share/fabulous/ram_regfile.txt mkdir -p share/fabulous cp "./"/techlibs/fabulous/regfile_map.v share/fabulous/regfile_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/io_map.v share/fabulous/io_map.v mkdir -p share/fabulous cp "./"/techlibs/fabulous/arith_map.v share/fabulous/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/reg_map.v share/gatemate/reg_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mux_map.v share/gatemate/mux_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/lut_map.v share/gatemate/lut_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/mul_map.v share/gatemate/mul_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/arith_map.v share/gatemate/arith_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_sim.v share/gatemate/cells_sim.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/cells_bb.v share/gatemate/cells_bb.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_map.v share/gatemate/brams_map.v mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams.txt share/gatemate/brams.txt mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_20.vh share/gatemate/brams_init_20.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/brams_init_40.vh share/gatemate/brams_init_40.vh mkdir -p share/gatemate cp "./"/techlibs/gatemate/inv_map.v share/gatemate/inv_map.v mkdir -p techlibs/gatemate python3 techlibs/gatemate/make_lut_tree_lib.py touch techlibs/gatemate/lut_tree_lib.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v mkdir -p share/gowin cp "./"/techlibs/gowin/cells_xtra.v share/gowin/cells_xtra.v mkdir -p share/gowin cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams.txt share/gowin/brams.txt mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams_map.v share/gowin/lutrams_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/lutrams.txt share/gowin/lutrams.txt mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/ff_map.v share/ice40/ff_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/spram.txt share/ice40/spram.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/spram_map.v share/ice40/spram_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/dsp_map.v share/ice40/dsp_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/abc9_model.v share/ice40/abc9_model.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_m9k.txt share/intel/common/brams_m9k.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map_m9k.v share/intel/common/brams_map_m9k.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/ff_map.v share/intel/common/ff_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_sim.v share/intel/cyclone10lp/cells_sim.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/cyclone10lp cp "./"/techlibs/intel/cyclone10lp/cells_map.v share/intel/cyclone10lp/cells_map.v mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_map.v share/intel_alm/common/abc9_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_unmap.v share/intel_alm/common/abc9_unmap.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/abc9_model.v share/intel_alm/common/abc9_model.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_map.v share/intel_alm/common/alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/alm_sim.v share/intel_alm/common/alm_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/arith_alm_map.v share/intel_alm/common/arith_alm_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_map.v share/intel_alm/common/dff_map.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dff_sim.v share/intel_alm/common/dff_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_sim.v share/intel_alm/common/dsp_sim.v mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/dsp_map.v share/intel_alm/common/dsp_map.v mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/mem_sim.v share/intel_alm/common/mem_sim.v cp "./"/techlibs/intel_alm/common/misc_sim.v share/intel_alm/common/misc_sim.v mkdir -p share/intel_alm/cyclonev mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/cyclonev/cells_sim.v share/intel_alm/cyclonev/cells_sim.v cp "./"/techlibs/intel_alm/common/bram_m10k.txt share/intel_alm/common/bram_m10k.txt mkdir -p share/intel_alm/common mkdir -p share/intel_alm/common cp "./"/techlibs/intel_alm/common/bram_m10k_map.v share/intel_alm/common/bram_m10k_map.v cp "./"/techlibs/intel_alm/common/lutram_mlab.txt share/intel_alm/common/lutram_mlab.txt mkdir -p share/intel_alm/common mkdir -p share/lattice cp "./"/techlibs/intel_alm/common/megafunction_bb.v share/intel_alm/common/megafunction_bb.v cp "./"/techlibs/lattice/cells_ff.vh share/lattice/cells_ff.vh mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_io.vh share/lattice/cells_io.vh cp "./"/techlibs/lattice/cells_map.v share/lattice/cells_map.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/common_sim.vh share/lattice/common_sim.vh cp "./"/techlibs/lattice/ccu2d_sim.vh share/lattice/ccu2d_sim.vh mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/ccu2c_sim.vh share/lattice/ccu2c_sim.vh cp "./"/techlibs/lattice/cells_sim_ecp5.v share/lattice/cells_sim_ecp5.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo2.v share/lattice/cells_sim_xo2.v cp "./"/techlibs/lattice/cells_sim_xo3.v share/lattice/cells_sim_xo3.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_sim_xo3d.v share/lattice/cells_sim_xo3d.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_ecp5.v share/lattice/cells_bb_ecp5.v mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo2.v share/lattice/cells_bb_xo2.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/cells_bb_xo3.v share/lattice/cells_bb_xo3.v cp "./"/techlibs/lattice/cells_bb_xo3d.v share/lattice/cells_bb_xo3d.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/lutrams_map.v share/lattice/lutrams_map.v cp "./"/techlibs/lattice/lutrams.txt share/lattice/lutrams.txt mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_16kd.v share/lattice/brams_map_16kd.v cp "./"/techlibs/lattice/brams_16kd.txt share/lattice/brams_16kd.txt mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/brams_map_8kc.v share/lattice/brams_map_8kc.v cp "./"/techlibs/lattice/brams_8kc.txt share/lattice/brams_8kc.txt mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/arith_map_ccu2c.v share/lattice/arith_map_ccu2c.v cp "./"/techlibs/lattice/arith_map_ccu2d.v share/lattice/arith_map_ccu2d.v mkdir -p share/lattice mkdir -p share/lattice cp "./"/techlibs/lattice/latches_map.v share/lattice/latches_map.v cp "./"/techlibs/lattice/dsp_map_18x18.v share/lattice/dsp_map_18x18.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/cells_map.v share/nexus/cells_map.v cp "./"/techlibs/nexus/cells_sim.v share/nexus/cells_sim.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/parse_init.vh share/nexus/parse_init.vh cp "./"/techlibs/nexus/cells_xtra.v share/nexus/cells_xtra.v mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lutrams_map.v share/nexus/lutrams_map.v cp "./"/techlibs/nexus/lutrams.txt share/nexus/lutrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/brams_map.v share/nexus/brams_map.v cp "./"/techlibs/nexus/brams.txt share/nexus/brams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/lrams_map.v share/nexus/lrams_map.v cp "./"/techlibs/nexus/lrams.txt share/nexus/lrams.txt mkdir -p share/nexus mkdir -p share/nexus cp "./"/techlibs/nexus/arith_map.v share/nexus/arith_map.v cp "./"/techlibs/nexus/latches_map.v share/nexus/latches_map.v mkdir -p share/nexus mkdir -p share/quicklogic/common cp "./"/techlibs/nexus/dsp_map.v share/nexus/dsp_map.v cp "./"/techlibs/quicklogic/common/cells_sim.v share/quicklogic/common/cells_sim.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/ffs_map.v share/quicklogic/pp3/ffs_map.v cp "./"/techlibs/quicklogic/pp3/lut_map.v share/quicklogic/pp3/lut_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/latches_map.v share/quicklogic/pp3/latches_map.v cp "./"/techlibs/quicklogic/pp3/cells_map.v share/quicklogic/pp3/cells_map.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/cells_sim.v share/quicklogic/pp3/cells_sim.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_model.v share/quicklogic/pp3/abc9_model.v mkdir -p share/quicklogic/pp3 cp "./"/techlibs/quicklogic/pp3/abc9_map.v share/quicklogic/pp3/abc9_map.v mkdir -p share/quicklogic/pp3 mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/pp3/abc9_unmap.v share/quicklogic/pp3/abc9_unmap.v cp "./"/techlibs/quicklogic/qlf_k6n10f/arith_map.v share/quicklogic/qlf_k6n10f/arith_map.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams.txt share/quicklogic/qlf_k6n10f/libmap_brams.txt cp "./"/techlibs/quicklogic/qlf_k6n10f/libmap_brams_map.v share/quicklogic/qlf_k6n10f/libmap_brams_map.v mkdir -p share/quicklogic/qlf_k6n10f mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_map.v share/quicklogic/qlf_k6n10f/brams_map.v cp "./"/techlibs/quicklogic/qlf_k6n10f/brams_sim.v share/quicklogic/qlf_k6n10f/brams_sim.v mkdir -p techlibs/quicklogic/qlf_k6n10f/ && python3 techlibs/quicklogic/qlf_k6n10f/generate_bram_types_sim.py techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/cells_sim.v share/quicklogic/qlf_k6n10f/cells_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ffs_map.v share/quicklogic/qlf_k6n10f/ffs_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_sim.v share/quicklogic/qlf_k6n10f/dsp_sim.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_map.v share/quicklogic/qlf_k6n10f/dsp_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/dsp_final_map.v share/quicklogic/qlf_k6n10f/dsp_final_map.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/TDP18K_FIFO.v share/quicklogic/qlf_k6n10f/TDP18K_FIFO.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/ufifo_ctl.v share/quicklogic/qlf_k6n10f/ufifo_ctl.v mkdir -p share/quicklogic/qlf_k6n10f cp "./"/techlibs/quicklogic/qlf_k6n10f/sram1024x18_mem.v share/quicklogic/qlf_k6n10f/sram1024x18_mem.v mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v cp "./"/techlibs/xilinx/lutrams_xcv.txt share/xilinx/lutrams_xcv.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcv_map.v share/xilinx/lutrams_xcv_map.v cp "./"/techlibs/xilinx/lutrams_xc5v.txt share/xilinx/lutrams_xc5v.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/lutrams_xcu.txt share/xilinx/lutrams_xcu.txt cp "./"/techlibs/xilinx/lutrams_xc5v_map.v share/xilinx/lutrams_xc5v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcv.txt share/xilinx/brams_xcv.txt cp "./"/techlibs/xilinx/brams_xcv_map.v share/xilinx/brams_xcv_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_defs.vh share/xilinx/brams_defs.vh mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc2v.txt share/xilinx/brams_xc2v.txt cp "./"/techlibs/xilinx/brams_xc2v_map.v share/xilinx/brams_xc2v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda.txt share/xilinx/brams_xc3sda.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc3sda_map.v share/xilinx/brams_xc3sda_map.v cp "./"/techlibs/xilinx/brams_xc4v.txt share/xilinx/brams_xc4v.txt mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc4v_map.v share/xilinx/brams_xc4v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xc5v_map.v share/xilinx/brams_xc5v_map.v cp "./"/techlibs/xilinx/brams_xc6v_map.v share/xilinx/brams_xc6v_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_xcu_map.v share/xilinx/brams_xcu_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/urams.txt share/xilinx/urams.txt cp "./"/techlibs/xilinx/urams_map.v share/xilinx/urams_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/mux_map.v share/xilinx/mux_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc3s_mult_map.v share/xilinx/xc3s_mult_map.v cp "./"/techlibs/xilinx/xc3sda_dsp_map.v share/xilinx/xc3sda_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc6s_dsp_map.v share/xilinx/xc6s_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc4v_dsp_map.v share/xilinx/xc4v_dsp_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/xc5v_dsp_map.v share/xilinx/xc5v_dsp_map.v cp "./"/techlibs/xilinx/xc7_dsp_map.v share/xilinx/xc7_dsp_map.v mkdir -p share/xilinx cp "./"/techlibs/xilinx/xcu_dsp_map.v share/xilinx/xcu_dsp_map.v mkdir -p share/xilinx mkdir -p kernel/ cp "./"/techlibs/xilinx/abc9_model.v share/xilinx/abc9_model.v g++ -o kernel/version_960d8e3fedd.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_960d8e3fedd.cc mkdir -p kernel/ mkdir -p frontends/rtlil/ g++ -o kernel/register.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc g++ -o frontends/rtlil/rtlil_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_parser.tab.cc mkdir -p frontends/rtlil/ g++ -o frontends/rtlil/rtlil_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/rtlil/rtlil_lexer.cc mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_parser.tab.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p passes/pmgen/ g++ -o passes/pmgen/test_pmgen.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/test_pmgen.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/ice40_wrapcarry.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_wrapcarry.cc mkdir -p passes/pmgen/ g++ -o passes/pmgen/xilinx_dsp.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/xilinx_dsp.cc mkdir -p techlibs/quicklogic/ g++ -o techlibs/quicklogic/ql_dsp_macc.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/quicklogic/ql_dsp_macc.cc mkdir -p ./ g++ -o yosys-filterlib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_cells.genlib share/gatemate/lut_tree_cells.genlib mkdir -p share/gatemate cp techlibs/gatemate/lut_tree_map.v share/gatemate/lut_tree_map.v mkdir -p share/quicklogic/qlf_k6n10f cp techlibs/quicklogic/qlf_k6n10f/bram_types_sim.v share/quicklogic/qlf_k6n10f/bram_types_sim.v mkdir -p frontends/verilog/ g++ -o frontends/verilog/verilog_lexer.o -c -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -Wall -Wextra -ggdb -I. -I"./" -MD -MP -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_ZLIB -DWITH_GZFILEOP -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc g++ -o yosys -rdynamic kernel/version_960d8e3fedd.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/binding.o kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/scopeinfo.o kernel/qcsat.o kernel/mem.o kernel/ffmerge.o kernel/ff.o kernel/yw.o kernel/json.o kernel/fmt.o kernel/fstdata.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/json11/json11.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o libs/fst/fstapi.o libs/fst/fastlz.o libs/fst/lz4.o libs/subcircuit/subcircuit.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/ast/ast_binding.o frontends/blif/blifparse.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/rpc/rpc_frontend.o frontends/rtlil/rtlil_parser.tab.o frontends/rtlil/rtlil_lexer.o frontends/rtlil/rtlil_frontend.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/exec.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/viz.o passes/cmds/rename.o passes/cmds/autoname.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/splitcells.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/glift.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/portlist.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/cmds/scratchpad.o passes/cmds/logger.o passes/cmds/printattrs.o passes/cmds/sta.o passes/cmds/clean_zerowidth.o passes/cmds/xprop.o passes/cmds/dft_tag.o passes/cmds/future.o passes/cmds/box_derive.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/memory/memory_narrow.o passes/memory/memory_libmap.o passes/memory/memory_bmux2rom.o passes/memory/memlib.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_mem.o passes/opt/opt_mem_feedback.o passes/opt/opt_mem_priority.o passes/opt/opt_mem_widen.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_dff.o passes/opt/opt_share.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/opt_lut_ins.o passes/opt/opt_ffinv.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/test_pmgen.o passes/pmgen/ice40_dsp.o passes/pmgen/ice40_wrapcarry.o passes/pmgen/xilinx_dsp.o passes/pmgen/peepopt.o passes/pmgen/xilinx_srl.o passes/proc/proc.o passes/proc/proc_prune.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_rom.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/proc/proc_memwr.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/formalff.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/sat/fminit.o passes/sat/recover_names.o passes/sat/qbfsat.o passes/sat/synthprop.o passes/techmap/flatten.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/booth.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/abc9.o passes/techmap/abc9_exe.o passes/techmap/abc9_ops.o passes/techmap/iopadmap.o passes/techmap/clkbufmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/bmuxmap.o passes/techmap/demuxmap.o passes/techmap/bwmuxmap.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dfflegalize.o passes/techmap/dffunmap.o passes/techmap/flowmap.o passes/techmap/extractinv.o passes/techmap/cellmatch.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/aiger/xaiger.o backends/blif/blif.o backends/btor/btor.o backends/cxxrtl/cxxrtl_backend.o backends/edif/edif.o backends/firrtl/firrtl.o backends/intersynth/intersynth.o backends/jny/jny.o backends/json/json.o backends/rtlil/rtlil_backend.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_fixcarry.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/coolrunner2/coolrunner2_fixup.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/efinix/synth_efinix.o techlibs/efinix/efinix_fixcarry.o techlibs/fabulous/synth_fabulous.o techlibs/gatemate/synth_gatemate.o techlibs/gatemate/gatemate_foldinv.o techlibs/gowin/synth_gowin.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_opt.o techlibs/intel/synth_intel.o techlibs/intel_alm/synth_intel_alm.o techlibs/lattice/synth_lattice.o techlibs/lattice/lattice_gsr.o techlibs/nexus/synth_nexus.o techlibs/quicklogic/synth_quicklogic.o techlibs/quicklogic/ql_bram_merge.o techlibs/quicklogic/ql_bram_types.o techlibs/quicklogic/ql_dsp_simd.o techlibs/quicklogic/ql_dsp_io_regs.o techlibs/quicklogic/ql_dsp_macc.o techlibs/sf2/synth_sf2.o techlibs/xilinx/synth_xilinx.o techlibs/xilinx/xilinx_dffopt.o -lstdc++ -lm -lrt -lreadline -L/usr/lib64/../lib64 -lffi -ldl -lz -ltcl8.6 -ltclstub8.6 Build successful. + make ABCEXTERNAL=/usr/bin/abc DOC_TARGET=latexpdf docs [Makefile.conf] CONFIG := gcc mkdir -p docs/source/cmd ./yosys -p 'help -write-rst-command-reference-manual' /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2024 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.42+2 (git sha1 960d8e3fedd, g++ 14.1.1 -O2 -fexceptions -fstack-protector-strong -mbranch-protection=standard -fasynchronous-unwind-tables -fstack-clash-protection -fno-omit-frame-pointer -mno-omit-leaf-frame-pointer -fPIC -Os) -- Running command `help -write-rst-command-reference-manual' -- abc [options] [selection] This pass uses the ABC tool [1] for technology mapping of yosys's internal gate library to a target architecture. -exe use the specified command instead of "/usr/bin/abc" to execute ABC. This can e.g. be used to call a specific version of ABC or a wrapper. -script use the specified ABC script file instead of the default script. if starts with a plus sign (+), then the rest of the filename string is interpreted as the command string to be passed to ABC. The leading plus sign is removed and all commas (,) in the string are replaced with blanks before the string is passed to ABC. if no -script parameter is given, the following scripts are used: for -liberty/-genlib without -constr: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put for -liberty/-genlib with -constr: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put; buffer; upsize {D}; dnsize {D}; stime -p for -lut/-luts (only one LUT size): strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; dch -f; if; mfs2; lutpack {S} for -lut/-luts (different LUT sizes): strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; dch -f; if; mfs2 for -sop: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; dch -f; cover {I} {P} otherwise: strash; &get -n; &fraig -x; &put; scorr; dc2; dretime; strash; &get -n; &dch -f; &nf {D}; &put -fast use different default scripts that are slightly faster (at the cost of output quality): for -liberty/-genlib without -constr: strash; dretime; map {D} for -liberty/-genlib with -constr: strash; dretime; map {D}; buffer; upsize {D}; dnsize {D}; stime -p for -lut/-luts: strash; dretime; if for -sop: strash; dretime; cover {I} {P} otherwise: strash; dretime; map -liberty generate netlists for the specified cell library (using the liberty file format). -dont_use generate netlists for the specified cell library (using the liberty file format). -genlib generate netlists for the specified cell library (using the SIS Genlib file format). -constr pass this file with timing constraints to ABC. use with -liberty/-genlib. a constr file contains two lines: set_driving_cell set_load the set_driving_cell statement defines which cell type is assumed to drive the primary inputs and the set_load statement sets the load in femtofarads for each primary output. -D set delay target. the string {D} in the default scripts above is replaced by this option when used, and an empty string otherwise. this also replaces 'dretime' with 'dretime; retime -o {D}' in the default scripts above. -I maximum number of SOP inputs. (replaces {I} in the default scripts above) -P maximum number of SOP products. (replaces {P} in the default scripts above) -S maximum number of LUT inputs shared. (replaces {S} in the default scripts above, default: -S 1) -lut generate netlist using luts of (max) the specified width. -lut : generate netlist using luts of (max) the specified width . All luts with width <= have constant cost. for luts larger than the area cost doubles with each additional input bit. the delay cost is still constant for all lut widths. -luts ,,,:,.. generate netlist using luts. Use the specified costs for luts with 1, 2, 3, .. inputs. -sop map to sum-of-product cells and inverters -g type1,type2,... Map to the specified list of gate types. Supported gates types are: AND, NAND, OR, NOR, XOR, XNOR, ANDNOT, ORNOT, MUX, NMUX, AOI3, OAI3, AOI4, OAI4. (The NOT gate is always added to this list automatically.) The following aliases can be used to reference common sets of gate types: simple: AND OR XOR MUX cmos2: NAND NOR cmos3: NAND NOR AOI3 OAI3 cmos4: NAND NOR AOI3 OAI3 AOI4 OAI4 cmos: NAND NOR AOI3 OAI3 AOI4 OAI4 NMUX MUX XOR XNOR gates: AND NAND OR NOR XOR XNOR ANDNOT ORNOT aig: AND NAND OR NOR ANDNOT ORNOT The alias 'all' represent the full set of all gate types. Prefix a gate type with a '-' to remove it from the list. For example the arguments 'AND,OR,XOR' and 'simple,-MUX' are equivalent. The default is 'all,-NMUX,-AOI3,-OAI3,-AOI4,-OAI4'. -dff also pass $_DFF_?_ and $_DFFE_??_ cells through ABC. modules with many clock domains are automatically partitioned in clock domains and each domain is passed through ABC independently. -clk [!][,[!]] use only the specified clock domain. this is like -dff, but only FF cells that belong to the specified clock domain are used. -keepff set the "keep" attribute on flip-flop output wires. (and thus preserve them, for example for equivalence checking.) -nocleanup when this option is used, the temporary files created by this pass are not removed. this is useful for debugging. -showtmp print the temp dir name in log. usually this is suppressed so that the command output is identical across runs. -markgroups set a 'abcgroup' attribute on all objects created by ABC. The value of this attribute is a unique integer for each ABC process started. This is useful for debugging the partitioning of clock domains. -dress run the 'dress' command after all other ABC commands. This aims to preserve naming by an equivalence check between the original and post-ABC netlists (experimental). When no target cell library is specified the Yosys standard cell library is loaded into ABC before the ABC script is executed. Note that this is a logic optimization pass within Yosys that is calling ABC internally. This is not going to "run ABC on your design". It will instead run ABC on logic snippets extracted from your design. You will not get any useful output when passing an ABC script that writes a file. Instead write your full design as BLIF file with write_blif and then load that into ABC externally if you want to use ABC to convert your design into another format. [1] http://www.eecs.berkeley.edu/~alanmi/abc/ abc9 [options] [selection] This script pass performs a sequence of commands to facilitate the use of the ABC tool [1] for technology mapping of the current design to a target FPGA architecture. Only fully-selected modules are supported. -run : only run the commands between the labels (see below). an empty from label is synonymous to 'begin', and empty to label is synonymous to the end of the command list. -exe use the specified command instead of "/usr/bin/abc" to execute ABC. This can e.g. be used to call a specific version of ABC or a wrapper. -script use the specified ABC script file instead of the default script. if starts with a plus sign (+), then the rest of the filename string is interpreted as the command string to be passed to ABC. The leading plus sign is removed and all commas (,) in the string are replaced with blanks before the string is passed to ABC. if no -script parameter is given, the following scripts are used: &scorr; &sweep; &dc2; &dch -f; &ps; &if {C} {W} {D} {R} -v; &mfs -fast use different default scripts that are slightly faster (at the cost of output quality): &if {C} {W} {D} {R} -v -D set delay target. the string {D} in the default scripts above is replaced by this option when used, and an empty string otherwise (indicating best possible delay). -lut generate netlist using luts of (max) the specified width. -lut : generate netlist using luts of (max) the specified width . All luts with width <= have constant cost. for luts larger than the area cost doubles with each additional input bit. the delay cost is still constant for all lut widths. -lut pass this file with lut library to ABC. -luts ,,,:,.. generate netlist using luts. Use the specified costs for luts with 1, 2, 3, .. inputs. -maxlut when auto-generating the lut library, discard all luts equal to or greater than this size (applicable when neither -lut nor -luts is specified). -dff also pass $_DFF_[NP]_ cells through to ABC. modules with many clock domains are supported and automatically partitioned by ABC. -nocleanup when this option is used, the temporary files created by this pass are not removed. this is useful for debugging. -showtmp print the temp dir name in log. usually this is suppressed so that the command output is identical across runs. -box pass this file with box library to ABC. Note that this is a logic optimization pass within Yosys that is calling ABC internally. This is not going to "run ABC on your design". It will instead run ABC on logic snippets extracted from your design. You will not get any useful output when passing an ABC script that writes a file. Instead write your full design as an XAIGER file with `write_xaiger' and then load that into ABC externally if you want to use ABC to convert your design into another format. [1] http://www.eecs.berkeley.edu/~alanmi/abc/ check: abc9_ops -check [-dff] (option if -dff) map: abc9_ops -prep_hier [-dff] (option if -dff) scc -specify -set_attr abc9_scc_id {} abc9_ops -prep_bypass [-prep_dff] (option if -dff) design -stash $abc9 design -load $abc9_map proc wbflip techmap -wb -map %$abc9 -map +/techmap.v A:abc9_flop opt -nodffe -nosdff abc9_ops -prep_dff_submod (only if -dff) setattr -set submod "$abc9_flop" t:$_DFF_?_ %ci* %co* t:$_DFF_?_ %d (only if -dff) submod (only if -dff) setattr -mod -set whitebox 1 -set abc9_flop 1 -set abc9_box 1 *_$abc9_flop (only if -dff) foreach module in design rename _$abc9_flop _TECHMAP_REPLACE_ (only if -dff) abc9_ops -prep_dff_unmap (only if -dff) design -copy-to $abc9 =*_$abc9_flop (only if -dff) delete =*_$abc9_flop (only if -dff) design -stash $abc9_map design -load $abc9 design -delete $abc9 techmap -wb -max_iter 1 -map %$abc9_map -map +/abc9_map.v [-D DFF] (option if -dff) design -delete $abc9_map pre: read_verilog -icells -lib -specify +/abc9_model.v abc9_ops -break_scc -prep_delays -prep_xaiger [-dff] (option for -dff) abc9_ops -prep_lut (skip if -lut or -luts) abc9_ops -prep_box (skip if -box) design -stash $abc9 design -load $abc9_holes techmap -wb -map %$abc9 -map +/techmap.v opt -purge aigmap design -stash $abc9_holes design -load $abc9 design -delete $abc9 exe: aigmap foreach module in selection abc9_ops -write_lut /input.lut (skip if '-lut' or '-luts') abc9_ops -write_box /input.box (skip if '-box') write_xaiger -map /input.sym [-dff] /input.xaig abc9_exe [options] -cwd -lut [/input.lut] -box [/input.box] read_aiger -xaiger -wideports -module_name $abc9 -map /input.sym /output.aig abc9_ops -reintegrate [-dff] unmap: techmap -wb -map %$abc9_unmap -map +/abc9_unmap.v design -delete $abc9_unmap design -delete $abc9_holes delete =*_$abc9_byp setattr -mod -unset abc9_box_id abc9_exe [options] This pass uses the ABC tool [1] for technology mapping of the top module (according to the (* top *) attribute or if only one module is currently selected) to a target FPGA architecture. -exe use the specified command instead of "/usr/bin/abc" to execute ABC. This can e.g. be used to call a specific version of ABC or a wrapper. -script use the specified ABC script file instead of the default script. if starts with a plus sign (+), then the rest of the filename string is interpreted as the command string to be passed to ABC. The leading plus sign is removed and all commas (,) in the string are replaced with blanks before the string is passed to ABC. if no -script parameter is given, the following scripts are used: &scorr; &sweep; &dc2; &dch -f; &ps; &if {C} {W} {D} {R} -v; &mfs -fast use different default scripts that are slightly faster (at the cost of output quality): &if {C} {W} {D} {R} -v -D set delay target. the string {D} in the default scripts above is replaced by this option when used, and an empty string otherwise (indicating best possible delay). -lut generate netlist using luts of (max) the specified width. -lut : generate netlist using luts of (max) the specified width . All luts with width <= have constant cost. for luts larger than the area cost doubles with each additional input bit. the delay cost is still constant for all lut widths. -lut pass this file with lut library to ABC. -luts ,,,:,.. generate netlist using luts. Use the specified costs for luts with 1, 2, 3, .. inputs. -showtmp print the temp dir name in log. usually this is suppressed so that the command output is identical across runs. -box pass this file with box library to ABC. -cwd use this as the current working directory, inside which the 'input.xaig' file is expected. temporary files will be created in this directory, and the mapped result will be written to 'output.aig'. Note that this is a logic optimization pass within Yosys that is calling ABC internally. This is not going to "run ABC on your design". It will instead run ABC on logic snippets extracted from your design. You will not get any useful output when passing an ABC script that writes a file. Instead write your full design as BLIF file with write_blif and then load that into ABC externally if you want to use ABC to convert your design into another format. [1] http://www.eecs.berkeley.edu/~alanmi/abc/ abc9_ops [options] [selection] This pass contains a set of supporting operations for use during ABC technology mapping, and is expected to be called in conjunction with other operations from the `abc9' script pass. Only fully-selected modules are supported. -check check that the design is valid, e.g. (* abc9_box_id *) values are unique, (* abc9_carry *) is only given for one input/output port, etc. -prep_hier derive all used (* abc9_box *) or (* abc9_flop *) (if -dff option) whitebox modules. with (* abc9_flop *) modules, only those containing $dff/$_DFF_[NP]_ cells with zero initial state -- due to an ABC limitation -- will be derived. -prep_bypass create techmap rules in the '$abc9_map' and '$abc9_unmap' designs for bypassing sequential (* abc9_box *) modules using a combinatorial box (named *_$abc9_byp). bypassing is necessary if sequential elements (e.g. $dff, $mem, etc.) are discovered inside so that any combinatorial paths will be correctly captured. this bypass box will only contain ports that are referenced by a simple path declaration ($specify2 cell) inside a specify block. -prep_dff select all (* abc9_flop *) modules instantiated in the design and store in the named selection '$abc9_flops'. -prep_dff_submod within (* abc9_flop *) modules, rewrite all edge-sensitive path declarations and $setup() timing checks ($specify3 and $specrule cells) that share a 'DST' port with the $_DFF_[NP]_.Q port from this 'Q' port to the DFF's 'D' port. this is to prepare such specify cells to be moved into the flop box. -prep_dff_unmap populate the '$abc9_unmap' design with techmap rules for mapping *_$abc9_flop cells back into their derived cell types (where the rules created by -prep_hier will then map back to the original cell with parameters). -prep_delays insert `$__ABC9_DELAY' blackbox cells into the design to account for certain required times. -break_scc for an arbitrarily chosen cell in each unique SCC of each selected module (tagged with an (* abc9_scc_id = *) attribute) interrupt all wires driven by this cell's outputs with a temporary $__ABC9_SCC_BREAKER cell to break the SCC. -prep_xaiger prepare the design for XAIGER output. this includes computing the topological ordering of ABC9 boxes, as well as preparing the '$abc9_holes' design that contains the logic behaviour of ABC9 whiteboxes. -dff consider flop cells (those instantiating modules marked with (* abc9_flop *)) during -prep_{delays,xaiger,box}. -prep_lut pre-compute the lut library by analysing all modules marked with (* abc9_lut= *). -write_lut write the pre-computed lut library to . -prep_box pre-compute the box library by analysing all modules marked with (* abc9_box *). -write_box write the pre-computed box library to . -reintegrate for each selected module, re-intergrate the module '$abc9' by first recovering ABC9 boxes, and then stitching in the remaining primary inputs and outputs. add [selection] This command adds objects to the design. It operates on all fully selected modules. So e.g. 'add -wire foo' will add a wire foo to all selected modules. add {-wire|-input|-inout|-output} [selection] Add a wire (input, inout, output port) with the given name and width. The command will fail if the object exists already and has different properties than the object to be created. add -global_input [selection] Like 'add -input', but also connect the signal between instances of the selected modules. add {-assert|-assume|-live|-fair|-cover} [-if ] Add an $assert, $assume, etc. cell connected to a wire named name1, with its enable signal optionally connected to a wire named name2 (default: 1'b1). add -mod Add module[s] with the specified name[s]. aigmap [options] [selection] Replace all logic cells with circuits made of only $_AND_ and $_NOT_ cells. -nand Enable creation of $_NAND_ cells -select Overwrite replaced cells in the current selection with new $_AND_, $_NOT_, and $_NAND_, cells alumacc [selection] This pass translates arithmetic operations like $add, $mul, $lt, etc. to $alu and $macc cells. anlogic_eqn [selection] Calculate equations for luts since bitstream generator depends on it. anlogic_fixcarry [options] [selection] Add Anlogic adders to fix carry chain if needed. assertpmux [options] [selection] This command adds asserts to the design that assert that all parallel muxes ($pmux cells) have a maximum of one of their inputs enable at any time. -noinit do not enforce the pmux condition during the init state -always usually the $pmux condition is only checked when the $pmux output is used by the mux tree it drives. this option will deactivate this additional constraint and check the $pmux condition always. async2sync [options] [selection] This command replaces async FF inputs with sync circuits emulating the same behavior for when the async signals are actually synchronized to the clock. This pass assumes negative hold time for the async FF inputs. For example when a reset deasserts with the clock edge, then the FF output will still drive the reset value in the next cycle regardless of the data-in value at the time of the clock edge. -nolower Do not automatically run 'chformal -lower' to lower $check cells. attrmap [options] [selection] This command renames attributes and/or maps key/value pairs to other key/value pairs. -tocase Match attribute names case-insensitively and set it to the specified name. -rename Rename attributes as specified -map = = Map key/value pairs as indicated. -imap = = Like -map, but use case-insensitive match for when it is a string value. -remove = Remove attributes matching this pattern. -modattr Operate on module attributes instead of attributes on wires and cells. For example, mapping Xilinx-style "keep" attributes to Yosys-style: attrmap -tocase keep -imap keep="true" keep=1 \ -imap keep="false" keep=0 -remove keep=0 attrmvcp [options] [selection] Move or copy attributes on wires to the cells driving them. -copy By default, attributes are moved. This will only add the attribute to the cell, without removing it from the wire. -purge If no selected cell consumes the attribute, then it is left on the wire by default. This option will cause the attribute to be removed from the wire, even if no selected cell takes it. -driven By default, attriburtes are moved to the cell driving the wire. With this option set it will be moved to the cell driven by the wire instead. -attr Move or copy this attribute. This option can be used multiple times. autoname [selection] Assign auto-generated public names to objects with private names (the ones with $-prefix). blackbox [options] [selection] Convert modules into blackbox modules (remove contents and set the blackbox module attribute). bmuxmap [selection] This pass transforms $bmux cells to trees of $mux cells. -pmux transform to $pmux instead of $mux cells. booth [selection] This pass replaces multiplier cells with a radix-4 Booth-encoded implementation. It operates on $mul cells whose width of operands is at least 4x4 and whose width of result is at least 8. -lowpower use an alternative low-power architecture for the generated multiplier (signed multipliers only) box_derive [-base ] [-naming_attr ] [selection] As part of the assembly of the design hierarchy done by the 'hierarchy' command, specializations of parametric modules are derived on demand: for each choice of parameter values appearing in the design, a copy of the parametric module is derived which is specialized to that choice. This derivation process ignores blackboxes and whiteboxes (boxes). To supplement, this 'box_derive' command can be used to request the derivation of modules based on box instances appearing in the design, which is desirable in certain use cases. Only the selected cells are considered as the instances that steer the derivation process. -base instead of deriving the module that directly corresponds to each box instance, derive a specialization of (this option applies to all selected box cells) -naming_attr once a specialization is derived, use the value of the module attribute for a name which should be used for the derived module (this replaces the internal Yosys naming scheme in which the names of derived modules start with '$paramod$') bugpoint [options] [-script | -command ""] This command minimizes the current design that is known to crash Yosys with the given script into a smaller testcase. It does this by removing an arbitrary part of the design and recursively invokes a new Yosys process with this modified design and the same script, repeating these steps while it can find a smaller design that still causes a crash. Once this command finishes, it replaces the current design with the smallest testcase it was able to produce. In order to save the reduced testcase you must write this out to a file with another command after `bugpoint` like `write_rtlil` or `write_verilog`. -script | -command "" use this script file or command to crash Yosys. required. -yosys use this Yosys binary. if not specified, `yosys` is used. -grep "" only consider crashes that place this string in the log file. -fast run `proc_clean; clean -purge` after each minimization step. converges faster, but produces larger testcases, and may fail to produce any testcase at all if the crash is related to dangling wires. -clean run `proc_clean; clean -purge` before checking testcase and after finishing. produces smaller and more useful testcases, but may fail to produce any testcase at all if the crash is related to dangling wires. It is possible to constrain which parts of the design will be considered for removal. Unless one or more of the following options are specified, all parts will be considered. -modules try to remove modules. modules with a (* bugpoint_keep *) attribute will be skipped. -ports try to remove module ports. ports with a (* bugpoint_keep *) attribute will be skipped (useful for clocks, resets, etc.) -cells try to remove cells. cells with a (* bugpoint_keep *) attribute will be skipped. -connections try to reconnect ports to 'x. -processes try to remove processes. processes with a (* bugpoint_keep *) attribute will be skipped. -assigns try to remove process assigns from cases. -updates try to remove process updates from syncs. -runner "" child process wrapping command, e.g., "timeout 30", or valgrind. bwmxumap [options] [selection] This pass replaces $bwmux cells with equivalent logic cd This is just a shortcut for 'select -module '. cd When no module with the specified name is found, but there is a cell with the specified name in the current module, then this is equivalent to 'cd '. cd .. Remove trailing substrings that start with '.' in current module name until the name of a module in the current design is generated, then switch to that module. Otherwise clear the current selection. cd This is just a shortcut for 'select -clear'. cellmatch -lib [module selection] This pass identifies functionally equivalent counterparts between each of the selected modules and a module from the secondary design . For every such correspondence found, a techmap rule is generated for mapping instances of the former to instances of the latter. This techmap rule is saved in yet another design called '$cellmatch', which is created if non-existent. This pass restricts itself to combinational modules. Modules are functionally equivalent as long as their truth tables are identical upto a permutation of inputs and outputs. The supported number of inputs is limited to 6. check [options] [selection] This pass identifies the following problems in the current design: - combinatorial loops - two or more conflicting drivers for one wire - used wires that do not have a driver Options: -noinit also check for wires which have the 'init' attribute set -initdrv also check for wires that have the 'init' attribute set and are not driven by an FF cell type -mapped also check for internal cells that have not been mapped to cells of the target architecture -allow-tbuf modify the -mapped behavior to still allow $_TBUF_ cells -assert produce a runtime error if any problems are found in the current design chformal [types] [mode] [options] [selection] Make changes to the formal constraints of the design. The [types] options the type of constraint to operate on. If none of the following options are given, the command will operate on all constraint types: -assert $assert cells, representing assert(...) constraints -assume $assume cells, representing assume(...) constraints -live $live cells, representing assert(s_eventually ...) -fair $fair cells, representing assume(s_eventually ...) -cover $cover cells, representing cover() statements Additionally chformal will operate on $check cells corresponding to the selected constraint types. Exactly one of the following modes must be specified: -remove remove the cells and thus constraints from the design -early bypass FFs that only delay the activation of a constraint. When inputs of the bypassed FFs do not remain stable between clock edges, this may result in unexpected behavior. -delay delay activation of the constraint by clock cycles -skip ignore activation of the constraint in the first clock cycles -coverenable add cover statements for the enable signals of the constraints -assert2assume -assume2assert -live2fair -fair2live change the roles of cells as indicated. these options can be combined -lower convert each $check cell into an $assert, $assume, $live, $fair or $cover cell. If the $check cell contains a message, also produce a $print cell. chparam [ -set name value ]... [selection] Re-evaluate the selected modules with new parameters. String values must be passed in double quotes ("). chparam -list [selection] List the available parameters of the selected modules. chtype [options] [selection] Change the types of cells in the design. -set set the cell type to the given type -map change cells types that match to clean [options] [selection] This is identical to 'opt_clean', but less verbose. When commands are separated using the ';;' token, this command will be executed between the commands. When commands are separated using the ';;;' token, this command will be executed in -purge mode between the commands. clean_zerowidth [selection] Fixes the selected cells and processes to contain no zero-width connections. Depending on the cell type, this may be implemented by removing the connection, widening it to 1-bit, or removing the cell altogether. clk2fflogic [options] [selection] This command replaces clocked flip-flops with generic $ff cells that use the implicit global clock. This is useful for formal verification of designs with multiple clocks. This pass assumes negative hold time for the async FF inputs. For example when a reset deasserts with the clock edge, then the FF output will still drive the reset value in the next cycle regardless of the data-in value at the time of the clock edge. -nolower Do not automatically run 'chformal -lower' to lower $check cells. clkbufmap [options] [selection] Inserts clock buffers between nets connected to clock inputs and their drivers. In the absence of any selection, all wires without the 'clkbuf_inhibit' attribute will be considered for clock buffer insertion. Alternatively, to consider all wires without the 'buffer_type' attribute set to 'none' or 'bufr' one would specify: 'w:* a:buffer_type=none a:buffer_type=bufr %u %d' as the selection. -buf : Specifies the cell type to use for the clock buffers and its port names. The first port will be connected to the clock network sinks, and the second will be connected to the actual clock source. -inpad : If specified, a PAD cell of the given type is inserted on clock nets that are also top module's inputs (in addition to the clock buffer, if any). At least one of -buf or -inpad should be specified. connect [-nomap] [-nounset] -set [selection] Create a connection. This is equivalent to adding the statement 'assign = ;' to the Verilog input. Per default, all existing drivers for are unconnected. This can be overwritten by using the -nounset option. connect [-nomap] -unset [selection] Unconnect all existing drivers for the specified expression. connect [-nomap] [-assert] -port [selection] Connect the specified cell port to the specified cell port. Per default signal alias names are resolved and all signal names are mapped the the signal name of the primary driver. Using the -nomap option deactivates this behavior. The connect command operates in one module only. Either only one module must be selected or an active module must be set using the 'cd' command. The -assert option verifies that the connection already exists, instead of making it. This command does not operate on module with processes. connect_rpc -exec [args...] connect_rpc -path Load modules using an out-of-process frontend. -exec [args...] run with arguments [args...]. send requests on stdin, read responses from stdout. -path connect to Unix domain socket at . (Unix) connect to bidirectional byte-type named pipe at . (Windows) A simple JSON-based, newline-delimited protocol is used for communicating with the frontend. Yosys requests data from the frontend by sending exactly 1 line of JSON. Frontend responds with data or error message by replying with exactly 1 line of JSON as well. -> {"method": "modules"} <- {"modules": ["", ...]} <- {"error": ""} request for the list of modules that can be derived by this frontend. the 'hierarchy' command will call back into this frontend if a cell with type is instantiated in the design. -> {"method": "derive", "module": ", "parameters": { "": {"type": "[unsigned|signed|string|real]", "value": ""}, ...}} <- {"frontend": "[rtlil|verilog|...]","source": ""}} <- {"error": ""} request for the module to be derived for a specific set of parameters. starts with \ for named parameters, and with $ for unnamed parameters, which are numbered starting at 1. for integer parameters is always specified as a binary string of unlimited precision. the returned by the frontend is hygienically parsedby a built-in Yosys , allowing the RPC frontend to return anyconvenient representation of the module. the derived module is cached,so the response should be the same whenever the same set of parameters is provided. connwrappers [options] [selection] Wrappers are used in coarse-grain synthesis to wrap cells with smaller ports in wrapper cells with a (larger) constant port size. I.e. the upper bits of the wrapper output are signed/unsigned bit extended. This command uses this knowledge to rewire the inputs of the driven cells to match the output of the driving cell. -signed -unsigned consider the specified signed/unsigned wrapper output -port use the specified parameter to decide if signed or unsigned The options -signed, -unsigned, and -port can be specified multiple times. coolrunner2_fixup [options] [selection] Insert necessary buffer cells for CoolRunner-II architecture. coolrunner2_sop [options] [selection] Break $sop cells into ANDTERM/ORTERM cells. copy old_name new_name Copy the specified module. Note that selection patterns are not supported by this command. cover [options] [pattern] Print the code coverage counters collected using the cover() macro in the Yosys C++ code. This is useful to figure out what parts of Yosys are utilized by a test bench. -q Do not print output to the normal destination (console and/or log file) -o file Write output to this file, truncate if exists. -a file Write output to this file, append if exists. -d dir Write output to a newly created file in the specified directory. When one or more pattern (shell wildcards) are specified, then only counters matching at least one pattern are printed. It is also possible to instruct Yosys to print the coverage counters on program exit to a file using environment variables: YOSYS_COVER_DIR="{dir-name}" yosys {args} This will create a file (with an auto-generated name) in this directory and write the coverage counters to it. YOSYS_COVER_FILE="{file-name}" yosys {args} This will append the coverage counters to the specified file. Hint: Use the following AWK command to consolidate Yosys coverage files: gawk '{ p[$3] = $1; c[$3] += $2; } END { for (i in p) printf "%-60s %10d %s\n", p[i], c[i], i; }' {files} | sort -k3 Coverage counters are only available in Yosys for Linux. cutpoint [options] [selection] This command adds formal cut points to the design. -undef set cupoint nets to undef (x). the default behavior is to create a $anyseq cell and drive the cutpoint net from that debug cmd Execute the specified command with debug log messages enabled delete [selection] Deletes the selected objects. This will also remove entire modules, if the whole module is selected. delete {-input|-output|-port} [selection] Does not delete any object but removes the input and/or output flag on the selected wires, thus 'deleting' module ports. deminout [options] [selection] "Demote" inout ports to input or output ports, if possible. demuxmap [selection] This pass transforms $demux cells to a bunch of equality comparisons. design -reset Clear the current design. design -save Save the current design under the given name. design -stash Save the current design under the given name and then clear the current design. design -push Push the current design to the stack and then clear the current design. design -push-copy Push the current design to the stack without clearing the current design. design -pop Reset the current design and pop the last design from the stack. design -load Reset the current design and load the design previously saved under the given name. design -copy-from [-as ] Copy modules from the specified design into the current one. The selection is evaluated in the other design. design -copy-to [-as ] [selection] Copy modules from the current design into the specified one. design -import [-as ] [selection] Import the specified design into the current design. The source design must either have a selected top module or the selection must contain exactly one module that is then used as top module for this command. design -reset-vlog The Verilog front-end remembers defined macros and top-level declarations between calls to 'read_verilog'. This command resets this memory. design -delete Delete the design previously saved under the given name. dffinit [options] [selection] This pass sets an FF cell parameter to the the initial value of the net it drives. (This is primarily used in FPGA flows.) -ff operate on the specified cell type. this option can be used multiple times. -highlow use the string values "high" and "low" to represent a single-bit initial value of 1 or 0. (multi-bit values are not supported in this mode.) -strinit use string values in the command line to represent a single-bit initial value of 1 or 0. (multi-bit values are not supported in this mode.) -noreinit fail if the FF cell has already a defined initial value set in other passes and the initial value of the net it drives is not equal to the already defined initial value. dfflegalize [options] [selection] Converts FFs to types supported by the target. -cell specifies a supported group of FF cells. is a yosys internal fine cell name, where ? characters can be as a wildcard matching any character. specifies which initialization values these FF cells can support, and can be one of: - x (no init value supported) - 0 - 1 - r (init value has to match reset value, only for some FF types) - 01 (both 0 and 1 supported). -mince specifies a minimum number of FFs that should be using any given clock enable signal. If a clock enable signal doesn't meet this threshold, it is unmapped into soft logic. -minsrst specifies a minimum number of FFs that should be using any given sync set/reset signal. If a sync set/reset signal doesn't meet this threshold, it is unmapped into soft logic. The following cells are supported by this pass (ie. will be ingested, and can be specified as allowed targets): - $_DFF_[NP]_ - $_DFFE_[NP][NP]_ - $_DFF_[NP][NP][01]_ - $_DFFE_[NP][NP][01][NP]_ - $_ALDFF_[NP][NP]_ - $_ALDFFE_[NP][NP][NP]_ - $_DFFSR_[NP][NP][NP]_ - $_DFFSRE_[NP][NP][NP][NP]_ - $_SDFF_[NP][NP][01]_ - $_SDFFE_[NP][NP][01][NP]_ - $_SDFFCE_[NP][NP][01][NP]_ - $_SR_[NP][NP]_ - $_DLATCH_[NP]_ - $_DLATCH_[NP][NP][01]_ - $_DLATCHSR_[NP][NP][NP]_ The following transformations are performed by this pass: - upconversion from a less capable cell to a more capable cell, if the less capable cell is not supported (eg. dff -> dffe, or adff -> dffsr) - unmapping FFs with clock enable (due to unsupported cell type or -mince) - unmapping FFs with sync reset (due to unsupported cell type or -minsrst) - adding inverters on the control pins (due to unsupported polarity) - adding inverters on the D and Q pins and inverting the init/reset values (due to unsupported init or reset value) - converting sr into adlatch (by tying D to 1 and using E as set input) - emulating unsupported dffsr cell by adff + adff + sr + mux - emulating unsupported dlatchsr cell by adlatch + adlatch + sr + mux - emulating adff when the (reset, init) value combination is unsupported by dff + adff + dlatch + mux - emulating adlatch when the (reset, init) value combination is unsupported by - dlatch + adlatch + dlatch + mux If the pass is unable to realize a given cell type (eg. adff when only plain dff is available), an error is raised. dfflibmap [-prepare] [-map-only] [-info] [-dont_use ] -liberty [selection] Map internal flip-flop cells to the flip-flop cells in the technology library specified in the given liberty file. This pass may add inverters as needed. Therefore it is recommended to first run this pass and then map the logic paths to the target technology. When called with -prepare, this command will convert the internal FF cells to the internal cell types that best match the cells found in the given liberty file, but won't actually map them to the target cells. When called with -map-only, this command will only map internal cell types that are already of exactly the right type to match the target cells, leaving remaining internal cells untouched. When called with -info, this command will only print the target cell list, along with their associated internal cell types, and the arguments that would be passed to the dfflegalize pass. The design will not be changed. When called with -dont_use, this command will not map to the specified cell name as an alternative to setting the dont_use property in the Liberty file. This argument can be called multiple times with different cell names. This argument also supports simple glob patterns in the cell name. dffunmap [options] [selection] This pass transforms FF types with clock enable and/or synchronous reset into their base type (with neither clock enable nor sync reset) by emulating the clock enable and synchronous reset with multiplexers on the cell input. -ce-only unmap only clock enables, leave synchronous resets alone. -srst-only unmap only synchronous resets, leave clock enables alone. dft_tag [options] [selection] This pass... TODO -overwrite-only Only process $overwrite_tag and $original_tag cells. -tag-public For each public wire that may carry tagged data, create a new public wire (named :) that carries the tag bits. Note that without this, tagging logic will only be emitted as required for uses of $get_tag. dump [options] [selection] Write the selected parts of the design to the console or specified file in RTLIL format. -m also dump the module headers, even if only parts of a single module is selected -n only dump the module headers if the entire module is selected -o write to the specified file. -a like -outfile but append instead of overwrite echo on Print all commands to log before executing them. echo off Do not print all commands to log before executing them. (default) edgetypes [options] [selection] This command lists all unique types of 'edges' found in the selection. An 'edge' is a 4-tuple of source and sink cell type and port name. efinix_fixcarry [options] [selection] Add Efinix adders to fix carry chain if needed. equiv_add [-try] gold_sig gate_sig This command adds an $equiv cell for the specified signals. equiv_add [-try] -cell gold_cell gate_cell This command adds $equiv cells for the ports of the specified cells. equiv_induct [options] [selection] Uses a version of temporal induction to prove $equiv cells. Only selected $equiv cells are proven and only selected cells are used to perform the proof. -undef enable modelling of undef states -seq the max. number of time steps to be considered (default = 4) This command is very effective in proving complex sequential circuits, when the internal state of the circuit quickly propagates to $equiv cells. However, this command uses a weak definition of 'equivalence': This command proves that the two circuits will not diverge after they produce equal outputs (observable points via $equiv) for at least cycles (the specified via -seq). Combined with simulation this is very powerful because simulation can give you confidence that the circuits start out synced for at least cycles after reset. equiv_make [options] gold_module gate_module equiv_module This creates a module annotated with $equiv cells from two presumably equivalent modules. Use commands such as 'equiv_simple' and 'equiv_status' to work with the created equivalent checking module. -inames Also match cells and wires with $... names. -blacklist Do not match cells or signals that match the names in the file. -encfile Match FSM encodings using the description from the file. See 'help fsm_recode' for details. -make_assert Check equivalence with $assert cells instead of $equiv. $eqx (===) is used to compare signals. Note: The circuit created by this command is not a miter (with something like a trigger output), but instead uses $equiv cells to encode the equivalence checking problem. Use 'miter -equiv' if you want to create a miter circuit. equiv_mark [options] [selection] This command marks the regions in an equivalence checking module. Region 0 is the proven part of the circuit. Regions with higher numbers are connected unproven subcricuits. The integer attribute 'equiv_region' is set on all wires and cells. equiv_miter [options] miter_module [selection] This creates a miter module for further analysis of the selected $equiv cells. -trigger Create a trigger output -cmp Create cmp_* outputs for individual unproven $equiv cells -assert Create a $assert cell for each unproven $equiv cell -undef Create compare logic that handles undefs correctly equiv_opt [options] [command] This command uses temporal induction to check circuit equivalence before and after an optimization pass. -run : only run the commands between the labels (see below). an empty from label is synonymous to the start of the command list, and empty to label is synonymous to the end of the command list. -map expand the modules in this file before proving equivalence. this is useful for handling architecture-specific primitives. -blacklist Do not match cells or signals that match the names in the file (passed to equiv_make). -assert produce an error if the circuits are not equivalent. -multiclock run clk2fflogic before equivalence checking. -async2sync run async2sync before equivalence checking. -undef enable modelling of undef states during equiv_induct. -nocheck disable running check before and after the command under test. The following commands are executed by this verification command: run_pass: hierarchy -auto-top design -save preopt check -assert (unless -nocheck) [command] check -assert (unless -nocheck) design -stash postopt prepare: design -copy-from preopt -as gold A:top design -copy-from postopt -as gate A:top techmap: (only with -map) techmap -wb -D EQUIV -autoproc -map ... prove: clk2fflogic (only with -multiclock) async2sync (only with -async2sync) equiv_make -blacklist ... gold gate equiv equiv_induct [-undef] equiv equiv_status [-assert] equiv restore: design -load preopt equiv_purge [options] [selection] This command removes the proven part of an equivalence checking module, leaving only the unproven segments in the design. This will also remove and add module ports as needed. equiv_remove [options] [selection] This command removes the selected $equiv cells. If neither -gold nor -gate is used then only proven cells are removed. -gold keep gold circuit -gate keep gate circuit equiv_simple [options] [selection] This command tries to prove $equiv cells using a simple direct SAT approach. -v verbose output -undef enable modelling of undef states -short create shorter input cones that stop at shared nodes. This yields simpler SAT problems but sometimes fails to prove equivalence. -nogroup disabling grouping of $equiv cells by output wire -seq the max. number of time steps to be considered (default = 1) equiv_status [options] [selection] This command prints status information for all selected $equiv cells. -assert produce an error if any unproven $equiv cell is found equiv_struct [options] [selection] This command adds additional $equiv cells based on the assumption that the gold and gate circuit are structurally equivalent. Note that this can introduce bad $equiv cells in cases where the netlists are not structurally equivalent, for example when analyzing circuits with cells with commutative inputs. This command will also de-duplicate gates. -fwd by default this command performans forward sweeps until nothing can be merged by forwards sweeps, then backward sweeps until forward sweeps are effective again. with this option set only forward sweeps are performed. -fwonly add the specified cell type to the list of cell types that are only merged in forward sweeps and never in backward sweeps. $equiv is in this list automatically. -icells by default, the internal RTL and gate cell types are ignored. add this option to also process those cell types with this command. -maxiter maximum number of iterations to run before aborting eval [options] [selection] This command evaluates the value of a signal given the value of all required inputs. -set set the specified signal to the specified value. -set-undef set all unspecified source signals to undef (x) -table create a truth table using the specified input signals -show show the value for the specified signal. if no -show option is passed then all output ports of the current module are used. exec [options] -- [command] Execute a command in the operating system shell. All supplied arguments are concatenated and passed as a command to popen(3). Whitespace is not guaranteed to be preserved, even if quoted. stdin and stderr are not connected, while stdout is logged unless the "-q" option is specified. -q Suppress stdout and stderr from subprocess -expect-return Generate an error if popen() does not return specified value. May only be specified once; the final specified value is controlling if specified multiple times. -expect-stdout Generate an error if the specified regex does not match any line in subprocess's stdout. May be specified multiple times. -not-expect-stdout Generate an error if the specified regex matches any line in subprocess's stdout. May be specified multiple times. Example: exec -q -expect-return 0 -- echo "bananapie" | grep "nana" expose [options] [selection] This command exposes all selected internal signals of a module as additional outputs. -dff only consider wires that are directly driven by register cell. -cut when exposing a wire, create an input/output pair and cut the internal signal path at that wire. -input when exposing a wire, create an input port and disconnect the internal driver. -shared only expose those signals that are shared among the selected modules. this is useful for preparing modules for equivalence checking. -evert also turn connections to instances of other modules to additional inputs and outputs and remove the module instances. -evert-dff turn flip-flops to sets of inputs and outputs. -sep when creating new wire/port names, the original object name is suffixed with this separator (default: '.') and the port name or a type designator for the exposed signal. extract -map [options] [selection] extract -mine [options] [selection] This pass looks for subcircuits that are isomorphic to any of the modules in the given map file and replaces them with instances of this modules. The map file can be a Verilog source file (*.v) or an RTLIL source file (*.il). -map use the modules in this file as reference. This option can be used multiple times. -map % use the modules in this in-memory design as reference. This option can be used multiple times. -verbose print debug output while analyzing -constports also find instances with constant drivers. this may be much slower than the normal operation. -nodefaultswaps normally builtin port swapping rules for internal cells are used per default. This turns that off, so e.g. 'a^b' does not match 'b^a' when this option is used. -compat Per default, the cells in the map file (needle) must have the type as the cells in the active design (haystack). This option can be used to register additional pairs of types that should match. This option can be used multiple times. -swap ,[,...] Register a set of swappable ports for a needle cell type. This option can be used multiple times. -perm ,[,...] ,[,...] Register a valid permutation of swappable ports for a needle cell type. This option can be used multiple times. -cell_attr Attributes on cells with the given name must match. -wire_attr Attributes on wires with the given name must match. -ignore_parameters Do not use parameters when matching cells. -ignore_param Do not use this parameter when matching cells. This pass does not operate on modules with unprocessed processes in it. (I.e. the 'proc' pass should be used first to convert processes to netlists.) This pass can also be used for mining for frequent subcircuits. In this mode the following options are to be used instead of the -map option. -mine mine for frequent subcircuits and write them to the given RTLIL file -mine_cells_span only mine for subcircuits with the specified number of cells default value: 3 5 -mine_min_freq only mine for subcircuits with at least the specified number of matches default value: 10 -mine_limit_matches_per_module when calculating the number of matches for a subcircuit, don't count more than the specified number of matches per module -mine_max_fanout don't consider internal signals with more than connections The modules in the map file may have the attribute 'extract_order' set to an integer value. Then this value is used to determine the order in which the pass tries to map the modules to the design (ascending, default value is 0). See 'help techmap' for a pass that does the opposite thing. extract_counter [options] [selection] This pass converts non-resettable or async resettable counters to counter cells. Use a target-specific 'techmap' map file to convert those cells to the actual target cells. -maxwidth N Only extract counters up to N bits wide (default 64) -minwidth N Only extract counters at least N bits wide (default 2) -allow_arst yes|no Allow counters to have async reset (default yes) -dir up|down|both Look for up-counters, down-counters, or both (default down) -pout X,Y,... Only allow parallel output from the counter to the listed cell types (if not specified, parallel outputs are not restricted) extract_fa [options] [selection] This pass extracts full/half adders from a gate-level design. -fa, -ha Enable cell types (fa=full adder, ha=half adder) All types are enabled if none of this options is used -d Set maximum depth for extracted logic cones (default=20) -b Set maximum breadth for extracted logic cones (default=6) -v Verbose output extract_reduce [options] [selection] converts gate chains into $reduce_* cells This command finds chains of $_AND_, $_OR_, and $_XOR_ cells and replaces them with their corresponding $reduce_* cells. Because this command only operates on these cell types, it is recommended to map the design to only these cell types using the `abc -g` command. Note that, in some cases, it may be more effective to map the design to only $_AND_ cells, run extract_reduce, map the remaining parts of the design to AND/OR/XOR cells, and run extract_reduce a second time. -allow-off-chain Allows matching of cells that have loads outside the chain. These cells will be replicated and folded into the $reduce_* cell, but the original cell will remain, driving its original loads. extractinv [options] [selection] Searches the design for all cells with invertible pins controlled by a cell parameter (eg. IS_CLK_INVERTED on many Xilinx cells) and removes the parameter. If the parameter was set to 1, inserts an explicit inverter cell in front of the pin instead. Normally used for output to ISE, which does not support the inversion parameters. To mark a cell port as invertible, use (* invertible_pin = "param_name" *) on the wire in the blackbox module. The parameter value should have the same width as the port, and will be effectively XORed with it. -inv : Specifies the cell type to use for the inverters and its port names. This option is required. flatten [options] [selection] This pass flattens the design by replacing cells by their implementation. This pass is very similar to the 'techmap' pass. The only difference is that this pass is using the current design as mapping library. Cells and/or modules with the 'keep_hierarchy' attribute set will not be flattened by this command. -wb Ignore the 'whitebox' attribute on cell implementations. -noscopeinfo Do not create '$scopeinfo' cells that preserve attributes of cells and modules that were removed during flattening. With this option, the 'src' attribute of a given cell is merged into all objects replacing that cell, with multiple distinct 'src' locations separated by '|'. Without this option these 'src' locations can be found via the cell_src' and 'module_src' attribute of '$scopeinfo' cells. -scopename Create 'scopename' attributes for objects with a private name. This attribute records the 'hdlname' of the enclosing scope. For objects with a public name the enclosing scope can be found via their 'hdlname' attribute. flowmap [options] [selection] This pass uses the FlowMap technology mapping algorithm to pack logic gates into k-LUTs with optimal depth. It allows mapping any circuit elements that can be evaluated with the `eval` pass, including cells with multiple output ports and multi-bit input and output ports. -maxlut k perform technology mapping for a k-LUT architecture. if not specified, defaults to 3. -minlut n only produce n-input or larger LUTs. if not specified, defaults to 1. -cells [,,...] map specified cells. if not specified, maps $_NOT_, $_AND_, $_OR_, $_XOR_ and $_MUX_, which are the outputs of the `simplemap` pass. -relax perform depth relaxation and area minimization. -r-alpha n, -r-beta n, -r-gamma n parameters of depth relaxation heuristic potential function. if not specified, alpha=8, beta=2, gamma=1. -optarea n optimize for area by trading off at most n logic levels for fewer LUTs. n may be zero, to optimize for area without increasing depth. implies -relax. -debug dump intermediate graphs. -debug-relax explain decisions performed during depth relaxation. fmcombine [options] module_name gold_cell gate_cell This pass takes two cells, which are instances of the same module, and replaces them with one instance of a special 'combined' module, that effectively contains two copies of the original module, plus some formal properties. This is useful for formal test benches that check what differences in behavior a slight difference in input causes in a module. -initeq Insert assumptions that initially all FFs in both circuits have the same initial values. -anyeq Do not duplicate $anyseq/$anyconst cells. -fwd Insert forward hint assumptions into the combined module. -bwd Insert backward hint assumptions into the combined module. (Backward hints are logically equivalend to fordward hits, but some solvers are faster with bwd hints, or even both -bwd and -fwd.) -nop Don't insert hint assumptions into the combined module. (This should not provide any speedup over the original design, but strangely sometimes it does.) If none of -fwd, -bwd, and -nop is given, then -fwd is used as default. fminit [options] This pass creates init constraints (for example for reset sequences) in a formal model. -seq Set sequence using comma-separated list of values, use 'z for unconstrained bits. The last value is used for the remainder of the trace. -set Add constant value constraint -posedge -negedge Set clock for init sequences formalff [options] [selection] This pass transforms clocked flip-flops to prepare a design for formal verification. If a design contains latches and/or multiple different clocks run the async2sync or clk2fflogic passes before using this pass. -clk2ff Replace all clocked flip-flops with $ff cells that use the implicit global clock. This assumes, without checking, that the design uses a single global clock. If that is not the case, the clk2fflogic pass should be used instead. -ff2anyinit Replace uninitialized bits of $ff cells with $anyinit cells. An $anyinit cell behaves exactly like an $ff cell with an undefined initialization value. The difference is that $anyinit inhibits don't-care optimizations and is used to track solver-provided values in witness traces. If combined with -clk2ff this also affects newly created $ff cells. -anyinit2ff Replaces $anyinit cells with uninitialized $ff cells. This performs the reverse of -ff2anyinit and can be used, before running a backend pass (or similar) that is not yet aware of $anyinit cells. Note that after running -anyinit2ff, in general, performing don't-care optimizations is not sound in a formal verification setting. -fine Emit fine-grained $_FF_ cells instead of coarse-grained $ff cells for -anyinit2ff. Cannot be combined with -clk2ff or -ff2anyinit. -setundef Find FFs with undefined initialization values for which changing the initialization does not change the observable behavior and initialize them. For -ff2anyinit, this reduces the number of generated $anyinit cells that drive wires with private names. -hierarchy Propagates the 'replaced_by_gclk' attribute set by clk2ff upwards through the design hierarchy towards the toplevel inputs. This option works on the whole design and ignores the selection. -assume Add assumptions that constrain wires with the 'replaced_by_gclk' attribute to the value they would have before an active clock edge. freduce [options] [selection] This pass performs functional reduction in the circuit. I.e. if two nodes are equivalent, they are merged to one node and one of the redundant drivers is disconnected. A subsequent call to 'clean' will remove the redundant drivers. -v, -vv enable verbose or very verbose output -inv enable explicit handling of inverted signals -stop stop after reduction operations. this is mostly used for debugging the freduce command itself. -dump dump the design to __.il after each reduction operation. this is mostly used for debugging the freduce command. This pass is undef-aware, i.e. it considers don't-care values for detecting equivalent nodes. All selected wires are considered for rewiring. The selected cells cover the circuit that is analyzed. fsm [options] [selection] This pass calls all the other fsm_* passes in a useful order. This performs FSM extraction and optimization. It also calls opt_clean as needed: fsm_detect unless got option -nodetect fsm_extract fsm_opt opt_clean fsm_opt fsm_expand if got option -expand opt_clean if got option -expand fsm_opt if got option -expand fsm_recode unless got option -norecode fsm_info fsm_export if got option -export fsm_map unless got option -nomap Options: -expand, -norecode, -export, -nomap enable or disable passes as indicated above -fullexpand call expand with -full option -encoding type -fm_set_fsm_file file -encfile file passed through to fsm_recode pass This pass uses a subset of FF types to detect FSMs. Run 'opt -nosdff -nodffe' before this pass to prepare the design. fsm_detect [options] [selection] This pass detects finite state machines by identifying the state signal. The state signal is then marked by setting the attribute 'fsm_encoding' on the state signal to "auto". -ignore-self-reset Mark FSMs even if they are self-resetting Existing 'fsm_encoding' attributes are not changed by this pass. Signals can be protected from being detected by this pass by setting the 'fsm_encoding' attribute to "none". This pass uses a subset of FF types to detect FSMs. Run 'opt -nosdff -nodffe' before this pass to prepare the design for fsm_detect. fsm_expand [-full] [selection] The fsm_extract pass is conservative about the cells that belong to a finite state machine. This pass can be used to merge additional auxiliary gates into the finite state machine. By default, fsm_expand is still a bit conservative regarding merging larger word-wide cells. Call with -full to consider all cells for merging. fsm_export [-noauto] [-o filename] [-origenc] [selection] This pass creates a KISS2 file for every selected FSM. For FSMs with the 'fsm_export' attribute set, the attribute value is used as filename, otherwise the module and cell name is used as filename. If the parameter '-o' is given, the first exported FSM is written to the specified filename. This overwrites the setting as specified with the 'fsm_export' attribute. All other FSMs are exported to the default name as mentioned above. -noauto only export FSMs that have the 'fsm_export' attribute set -o filename filename of the first exported FSM -origenc use binary state encoding as state names instead of s0, s1, ... fsm_extract [selection] This pass operates on all signals marked as FSM state signals using the 'fsm_encoding' attribute. It consumes the logic that creates the state signal and uses the state signal to generate control signal and replaces it with an FSM cell. The generated FSM cell still generates the original state signal with its original encoding. The 'fsm_opt' pass can be used in combination with the 'opt_clean' pass to eliminate this signal. fsm_info [selection] This pass dumps all internal information on FSM cells. It can be useful for analyzing the synthesis process and is called automatically by the 'fsm' pass so that this information is included in the synthesis log file. fsm_map [selection] This pass translates FSM cells to flip-flops and logic. fsm_opt [selection] This pass optimizes FSM cells. It detects which output signals are actually not used and removes them from the FSM. This pass is usually used in combination with the 'opt_clean' pass (see also 'help fsm'). fsm_recode [options] [selection] This pass reassign the state encodings for FSM cells. At the moment only one-hot encoding and binary encoding is supported. -encoding specify the encoding scheme used for FSMs without the 'fsm_encoding' attribute or with the attribute set to `auto'. -fm_set_fsm_file generate a file containing the mapping from old to new FSM encoding in form of Synopsys Formality set_fsm_* commands. -encfile write the mappings from old to new FSM encoding to a file in the following format: .fsm .map fst2tb [options] [top-level] This command generates testbench for the circuit using the given top-level module and simulus signal from FST file -tb generated testbench name. files .v and .txt are created as result. -r read simulation FST file -clock name of top-level clock input -clockn name of top-level clock input (inverse polarity) -scope scope of simulation top model -start